Symposium Organizers
Oliver Hayden SIEMENS AG
Kornelius Nielsch Max-Planck-Institute of Microstructure Physics
Nina Kovtyukhova The Pennsylvania State University
Frank Caruso University of Melbourne
Teodor Veres Industrial Materials Institute-NRC
FF1: Lithography
Session Chairs
Teodor Veres
Martin Wegener
Monday PM, November 26, 2007
Room 200 (Hynes)
9:30 AM - **FF1.1
Nanoimprint Lithography for 3D Surface Nanostructuring and Photonic Devices.
C. Sotomayor Torres 1 2 3 , V. Reboud 1 , N. Kehagias 1 , M. Zelsmann 4 , P. Loveral 1 , F. Reuther 5 , G. Gruetzner 5 , G. Redmond 1 , C. Schuster 5 , M. Kubenz 5
1 , Tyndall National Institute, Cork Ireland, 2 , Catalan Insitute of Nanotechnology, Bellaterra Spain, 3 , Spain and Catalan Insitute for Research and Advanced Studies ICREA, Barcelona Spain, 4 , LTM-CNRS, Grenoble France, 5 , Micro Resist Technology GmbH, Berlin Germany
Show AbstractThree-dimensional (3D) nanopatterning is an enabling fabrication technology with impact in several research fields and application areas [1]. The latter includes, for example, advanced optical elements [2] and circuits, adaptive optics, biosensors, supramolecular chemistry, studies of cell behaviour in 3D among others.In this presentation we will review the state of the art in top-down, bottom-up and mix-and-match 3D nanopatterning methods, considering their advantages and disadvantages from the perspective of becoming a technology. Examples of combinatory approaches will be provided, for example, Reverse UV-NIL [3] among others. Some of the specific challenges faced in 3D nanofabrication for photonic and biological applications will be discussed. The example of a polymer photonic crystal band edge laser made by nanoimprint lithography will be presented.The support of the EC-funded project NaPa (Contract No. NMP4-CT-2003-500120), of the EC-funded project PHOREMOST (FP6/2003/IST/2-511616) and of Science Foundation Ireland is gratefully acknowledged. The content of this work is the sole responsibility of the authors.References:1. K. J . Vahala, Nature 424, 839 (2003)2. S. Y. Lin, J. G. Fleming, D. L. Hetherington, B. K. Smith, R. Biswas, K. M. Ho, M. M. Sigalas, W. Zubrzycki, S. R. Kurtz & Jim Bur, Nature, 294, 251 (1998),3. N. Kehagias, V. Reboud, G. Chansin, M. Zelsmann, C. Jeppesen, C. Schuster, M. Kubenz, F. Reuther, G. Gruetzner and C. M. Sotomayor Torres, Reverse contact UV nanoimprint lithography for multilayered structure fabrication, Nanotechnology, 18, 175303, (2007)
10:00 AM - FF1.2
Nanoimprint Lithography Molding of ``Clickable" Polymer Patterns.
Yuval Ofir 1 , Brian Jordan 1 , Bappaditya Samanta 1 , Isaac Moran 2 , Kenneth Carter 2 , Vincent Rotello 1
1 Chemistry, University of Massachusetts Amherst, Amherst, Massachusetts, United States, 2 Polymer Science and Engineering, University of Massachusetts Amherst, Amherst, Massachusetts, United States
Show AbstractImprint lithography techniques, including nanocontact molding, show great promise in the ability to generate nanoscale patterns in an efficient and economic fashion. These techniques are already in wide use in microelectronics, photonics, magnetic storage, and micro/nanofluidics. The traditional use of polymer patterns as sacrificial resist layers, however, does not take advantage of the chemistry available on the surface of the patterned polymer. In our research we have used the Huisgen 1,3-dipolar cycloaddition reaction, (i.e. the “click” reaction) to react functionality on the polymer patterns with a variety of chemical elements, including fluorophores, other polymers, and nanoparticles. This simple yet powerful technique combines controllable surface chemistry with an advanced patterning technique, allowing the fabrication of useful magnetic, metallic and semiconductor patterns featuring useful chemical functionality.
10:15 AM - FF1.3
Fabrication of Tunable SERS Substrates by Nanoimprint Lithography.
Kebin Li 1 , Bo Cui 1 , Liviu Clime 1 , Matthias Geissler 1 , Teodor Veres 1
1 , Industrial Materials Institute,National Research Council, Canada, Boucherville, , Quebec, Canada
Show AbstractSince its discovery in 1974[1], surface enhanced Raman scattering (SERS) has proven to be a sensitive technique to detect individual molecules[2], and because of its chemical specificity and label-free nature, it finds increasing attention in the analytical, biomedical, environmental, as well as global and homeland security domains[3]. Various methods were developed to fabricate the SERS substrates but most of them are still lacking in stability and/or reproducibility and usually consists of a series of expensive fabrication processes. In this paper, we will report the fabrication of the SERS substrates at low-cost, fast and reproducible way based on nanoimprint lithography (NIL) process. We will demonstrate the SERS enhancement on two model nanostructures containing Ag and/or Au nano-crescent and nano-wells. Both of them are simply created by deposition of Ag and/or Au thin film at room temperature on Si substrates which are pre-nano-structured using NIL. We have shown that the surface plasmonic resonant frequency can be tunned towards to the near infrared regime [4] by using NIL pre-nano-structured Si substrates. The fabricated nano-crescents and nano-wells were characterized by using SEM and AFM. The diameter of two circular arcs of the nano-crescent is about 200 nm and 300 nm, respectively. The opening of two horns of the crescent can be adjusted by controlling the fabrication process. The out diameter of the nano-well is about 120nm and the inner diameter of the well is about 60nm. The height of the well is about 100nm. Because of the special topographic structure of the nano-wells, two types of Ag nano-structure, namely the Ag nano-donuts and Ag nano-disks with diameter of about 60nm are formed in the nano-wells. The SERS effect of these Ag nano-structures is confirmed by the Raman spectra of the Rhodamine (R6G) molecule attached to the substrate. At the defect location, there is no R6G peak observable except for the peak at 520cm-1 which originates from the Si substrate. We can observe the standard R6G spectra on the patterned area with different intensities at different location which are probably due to the slightly different shape and opening of the horns of the Ag nano-crescent. The local electromagnetic field is increased with decreasing the opening of the horns of the Ag nano-crescent. SERS spectra were also observed in the structure of Ag-nano-wells. The enhancement factor of the SERS observed in these specific nano-structured Ag films is estimated to be in the magnitude order of 108. References:[1] M. Fleischmann et al. Chem. Phys. Lett. 26, 163 (1974).[2] S. Nie and S.R. Emory Science 275,1102 (1997).[3] Gary A. Baker and D. S. Moore, Anal Bioanal Chem 382, 1751 (2005).[4] Ramon Alvarez-Puebla, Bo Cui et al., J. Phys. Chem. C. 111, 6720(2007); Bo Cui, Teodor Veres, Microelectronic Engineering 84, 1544 (2007).
11:00 AM - **FF1.4
Optical Waveguides Embedded in PCBs - A Real World Application of 3D Structures Written by TPA.
Ruth Houbertz 1 , Herbert Wolter 1 , Volker Schmidt 2 , Ladislav Kuna 2 , Valentin Satzinger 2 , Christoph Wächter 3 , Gregor Langer 4
1 Hybrid Materials for Microsystems and Micromedicine, Fraunhofer ISC, Wuerzburg Germany, 2 Institute of Nanostructured Materials and Photonics, Joanneum Research, Weiz Austria, 3 , Fraunhofer IOF, Jena Germany, 4 , Austria Technology & System Technology AT&S, Leoben Austria
Show AbstractThe integration of optical interconnections in printed-circuit boards (PCB) is a rapidly growing field worldwide. There are many concepts discussed so far, among which are the integration of optical fibers or the generation of waveguides by UV lithography, embossing, or direct laser writing. However, all these technologies require complex assemblies, thus being very cost-intensive. A key issue is to identify materials and processes enabling the realization of optical interconnections in PCBs compatible to the PCB manufacturing process. This results in strong requirements for optical materials with respect to resistance against high temperatures and pressure during the PCB lamination process, or with respect to wet chemical processing. Besides, thick layers with high mechanical stability, a high refractive index step as well as low absorption losses at 850 nm are required.An innovative concept for the integration of embedded optical interconnections in PCBs is presented. In order to fulfil all requirements, nanoscaled inorganic-organic hybrid polymers (ORMOCER®s) were combined with two-photon absorption (TPA) 3D lithography, allowing one to create multimode waveguides within one and the same material. Multifunctional acrylate alkoxysilanes were used as precursors for catalytically controlled hydrolysis and polycondensation reactions, thus yielding acrylate-modified Si-O-Si units with a negative resist behavior. For UV or two-photon based cross-linking of the organic moieties, a suitable photoinitiator is introduced into the material. The latter method allows one to directly write waveguide structures into the hybrid polymer bulk in three dimensions.The hybrid polymer is casted onto a PCB substrate with a laser- and a photodiode attached to its surface. The polymer forms a thick layer on the board which completely embeds the optoelectronic components. In order to create the waveguide, a femtosecond laser is focussed in the volume of the ORMOCER® material and scanned from the laser- to the photodiode. Only within the focus of the laser, the energy density is high enough to initiate the cross-linking of the organic moieties by simultaneous absorption of two photons, while the outer focal regions are not affected. The locally confined cross-linking increases the refractive index, thus forming the core of an embedded waveguide. At the same time, the surrounding unexposed material acts as cladding layer, with no development step necessary. Subsequently, the PCB is laminated at elevated temperature and pressure, which also thermally cures the cladding. Beside the requirement for only one optical material, the TPA 3D lithography enables the in-situ coupling of two-photon written waveguides to optoelectronic components as an intrinsic part of the patterning, thus significantly simplifying the fabrication processes. The material properties and the underlying processes will be discussed with respect to the possibility of optical data transfer on PCB.
11:30 AM - FF1.5
2PP Laser Microfabrication of Ossicular Replacement Prostheses.
Aleksandr Ovsianikov 3 , Anand Doraiswamy 1 , Oliver Adunka 2 , Harold Pillsbury 2 , Roger Narayan 1 , Boris Chichkov 3 , Ravi Aggarwal 4
3 Nanotechnology, Laser Zentrum Hannover, Hannover Germany, 1 Biomedical Engineering, University of North Carolina, Chapel Hill, North Carolina, United States, 2 Otolaryngology, University of North Carolina, Chapel Hill, North Carolina, United States, 4 Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina, United States
Show Abstract11:45 AM - FF1.6
Hybrid Approaches to Nanolithography and Chemical Patterning.
Charan Srinivasan 1 , J. Hohman 1 , Mary Anderson 1 , Pengpeng Zhang 1 , Thomas Mullen 1 , Anne Andrews 1 , Mark Horn 1 , Paul Weiss 1
1 Center for Nanoscale Science, Penn State University, University Park, Pennsylvania, United States
Show AbstractDespite the impressive pace of innovations in photolithography, this technology faces fundamental physical and economic limitations for patterning sub-30-nm features. We have combined photolithography, a top-down approach, synergistically with bottom-up chemical self-assembly to fabricate nanometer-scale features in a low-cost, scalable process – molecular-ruler nanolithography.[1,2] Here, we describe the strategies that have been developed to integrate this technique with CMOS-compatible materials and processes,[3] patterns registered nanometer-scale features on quartz for use as the mold in nanoimprint lithography,[4] with double the spatial frequency of features created by conventional lithographic techniques.[5] We also use this methodology to fabricate connected device structures for ultranarrow channel length organic thin-film transistors and aligned metallic and semiconducting nanowires. One of the limitations of photolithography is its inability to pattern chemical functionality on surfaces; chemically functionalized surfaces have applications ranging from biospecific recognition to molecular electronics.[6] To address this issue, we developed lithography-assisted chemical patterning. This technique utilizes a robust lithographic resist (LOR) that is capable of withstanding self-assembly deposition conditions to create high-quality chemical patterns. The ability to pattern chemical functionalities without intercalation and with registered, parallel processing are some of its unique advantages.[7,8]1.A. Hatzor and P. S. Weiss, Science 291, 1019 (2001).2.M. E. Anderson, L. P. Tan, M. Mihok, H. Tanaka, M. W. Horn, G. S. McCarty, P. S. Weiss, Adv. Mater. 18, 1020 (2006).3.C. Srinivasan, M. E. Anderson, E. M. Carter, J. N. Hohman, S. S. N. Bharathwaja, S. Trolier-Mckinstry, P. S. Weiss, M. W. Horn, J. Vac. Sci. Technol. B 24, 3200 (2006).4.C. Srinivasan, J. N. Hohman, M. E. Anderson, P. S. Weiss, M. W. Horn (submitted for publication).5.C. Srinivasan, J. N. Hohman, M. E. Anderson, P. Zhang, P. S. Weiss, M. W. Horn, Proc. SPIE 6517, 65171I (2007).6.Y. Xia and G. M. Whitesides, Angew. Chem., Int. Ed. 37, 551 (1998).7.M. E. Anderson, C. Srinivasan, J. N. Hohman, E. M. Carter, M. W. Horn, P. S. Weiss, Adv. Mater. 18, 3258 (2006).8.C. Srinivasan, T. J. Mullen, J. N. Hohman, M. E. Anderson, A. A. Dameron, A. M. Andrews, E. C. Dickey, M. W. Horn, P. S. Weiss (submitted for publication).
12:00 PM - FF1.7
Micro/Nano Fabrication of Surface Architectures on Polymers and Copolymers Using Direct Laser Interference Patterning.
Diego Acevedo 2 , Andres Lasagni 1 , Cesar Barbero 2 , Frank Muecklich 1
2 Departamento de Química, Universidad de Río Cuarto, Río Cuarto, Córdoba, Argentina, 1 Materials Science, Saarland University, Saarbruecken, Saarland, Germany
Show AbstractNovel surface engineering techniques of polymeric materials are essential to produce advanced topographies which could for example serve to modulate cell and tissue response in bio-materials. Direct Laser Interference Patterning (DLIP) permits the fabrication of repetitive features and microstructures by irradiation of the sample surface with coherent beams of light. Furthermore, the most important advantage of this method is that no additional process steps are required in comparison with other top-down or bottom-up techniques (e.g. mask/mould fabrication, etching, etc.). In this study, we report a novel method for the advanced design of architectures in polymers using a single step process, as well as photo-activation of polymers with low absorption coefficient (PMMA) using a second polymer with relative high absorption coefficient (PS). Previously calculated interference patterns using the well known interference theory could be reproduced on polymeric surface. Moreover, the cross-section of the structured polymers changes depending on the intensity of the laser beams, and photomachinability of polymers is highly influenced by laser wavelength. High absorbance of the polymeric materials at specific wavelengths allows the reduction of the intensity of the laser required to achieve a determined structure depth. For PMMA/PS (60:40 %) copolymer substrate, different structures types were observed depending on the laser intensity. For moderate laser intensities, the regions at interference maxima positions inflate due to photochemical decomposition of PMMA which produces bond breakages and vaporous ablation products (such carbon dioxide). Apart from that, the copolymer PMMA/PS can be structured using the same laser fluence range of PS. If the laser fluence is increased, the subsurface formed bubbles have sufficiently energy to rise to the surface and burst, resulting in a cratered structure with a long-range order in the lateral scale given by the periodical intensity distribution of the laser beams.
12:15 PM - **FF1.8
Two-photon Engineering of Three-dimensional Micro/nano Structures: Photopolymerization, Photoreduction and Photoisomerization.
Satoshi Kawata 1 2 , Takuo Tanaka 1 3 , Nobuyuki Takeyasu 1
1 , RIKEN, Wako, Saitama Japan, 2 , Osaka University, Suita, Osaka Japan, 3 , JST PRESTO, Kawaguchi, Saitama Japan
Show AbstractWe present three-dimensional micro/nano-structures engineered by two-photon fabrication techniques. Two-photon-induced photopolymerization is demonstrated for fabricating arbitrary 3D polymer structures [1]. Recently, a micro-lens array with 2500 lenses is used to produce a mass of structures in parallel. By using this micro-lens array system, we fabricated 800 micro-springs and micro-cubic structures by single laser scanning [2]. Metallization techniques of fabricated polymer structures are also investigated. We successfully coated metal only on the surface of polymer by electroless metal plating, but not on the substrate [3]. This selective coating was performed by using hydrophobic pre-coating on the glass substrates and modification of polymer surface with Sn2+-ions. With this method micro-coil array was fabricated [4,5]. In the presentation, we also propose the modification of the polymerisable resin for site-selective metal deposition [6]. As a fabrication technique of 3D metallic microstructures, we report two-photon-induced metal-ion reduction method [7,8]. A femtosecond near-infrared laser is focused by a high-NA objective lens into a metal-ion aqueous solution such as Ag+ or Au3+. We demonstrate the fabrication of a continuous and electrically conductive silver wire whose minimum width is 400 nm. Electrical measurement shows that the resistivity of the fabricated silver wire is 5.30 × 10-8 Ωm, which is only 3.3 times larger than that of bulk silver (1.62 × 10-8 Ωm). We also discuss the resolution of our technique in terms of ions diffusion based on the Fick’s first law and the mobility of metal-ions in aqueous solution. Moreover, the realization of a self-standing 3D silver microstructure on the substrate is demonstrated. This method will become a promising technique for fabricating 3D plasmonic micro/nano structures with arbitrary shape [9,10]. In the end, we will talk about photoisomerization techniques.[1] S. Kawata, et. al, Nature 412 (2001) 697. [2] J. Kato, et al, Appl. Phys. Lett. 86 (2005) 044102. [3] N. Takeyasu, et al, Jpn. J. Appl. Phys. Part2, 44 (2005) L1134. [4] F. Florian, et al, Appl. Phys. Lett. 88 (2006) 83110. [5] F. Florian, et al, Opt. Express 14 (2006) 800.[6] N. Takeyasu, et al, Appl. Phys. A (submitted).[7] T. Tanaka, et al, Appl. Phys. Lett. 88 (2006) 81107. [8] A. Ishikawa, et al, Appl. Phys. Lett. 89 (2006) 113102 .[9] A. Ishikawa, et al, Phys. Rev. Lett. 95 (2005) 237401.[10] T. Tanaka, et al, Phys. Rev. B 73 (2006) 125423.
FF2: Photonic Crystal
Session Chairs
Monday PM, November 26, 2007
Room 200 (Hynes)
2:30 PM - **FF2.1
3D Photonic Crystals and Metamaterials: Band Gaps, Chirality, Quasicrystals, and Magnetism.
Martin Wegener 1 2 , Michael Thiel 1 , Michael Rill 1 , Martin Hermatschweiler 1 , Alexandra Ledermann 1 2 , Gunnar Dolling 1 , Geoffrey Ozin 3 , Stefan Linden 1 2 , Georg Freymann 1 2
1 DFG-Center for Functional Nanostructures, University of Karlsruhe, Karlsruhe Germany, 2 Institut fuer Nanotechnologie, Forschungszentrum Karlsruhe, Karlsruhe Germany, 3 Department of Chemistry, University Toronto, Toronto, Ontario, Canada
Show AbstractDirect laser writing (DLW) [1,2] based on tightly focused femtosecond laser pulses has become a routine technique for the rapid fabrication of complex three-dimensional (3D) photoresist structures with lateral feature sizes down to 100 nm. Indeed, DLW has even become commercially available quite recently (see, e.g., www.nanoscribe.de ). Combined with atomic layer deposition (ALD) and chemical vapor deposition (CVD) techniques of dielectrics (e.g., SiO2 or Si) and metals (e.g., Ag), the 3D analogue of 2D electron-beam lithography is now at hand [3,4].In this talk, we review our recent corresponding work. Examples are the first realization of 3D silicon inverse woodpile structures [4], 3D photonic quasicrystals [5], polarization stop bands from 3D circular spiral photonic crystals [6] and heterostructures based thereupon [7] (thin-film polarizers, poor man’s optical isolators, optical diodes), 3D chiral layer-by-layer photonic crystal structures [8], or first steps towards 3D magnetic metamaterials at optical frequencies using DLW and silver CVD [9]. The latter offers new opportunities with respect to our previous (negative-index) metamaterial work based on electron-beam lithography [10].[1] S. Kawata et al., Nature 412, 697 (2001).[2] M. Deubel et al., Nature Mater. 3, 444 (2004); Appl. Phys. Lett. 85, 1895 (2004); Appl. Phys. Lett. 87, 221104 (2005); Opt. Lett. 31, 805 (2006).[3] N. Tétreault et al., Adv. Mater. 18, 457 (2006).[4] M. Hermatschweiler et al., Adv. Funct. Mater., in press (2007).[5] A. Ledermann et al., Nature Materials 5, 942 (2006).[6] M. Thiel et al., Adv. Mater. 19, 207 (2007).[7] M. Thiel et al., Appl. Phys. Lett., submitted (2007).[8] M. Thiel et al., Opt. Lett., submitted (2007).[9] M. Rill et al., unpublished (2007).[10] G. Dolling et al., Science 312, 892 (2006); Opt. Lett. 31, 1800 (2006); Opt. Lett. 32, 53 (2007); Opt. Lett. 32, 551 (2007); Science 315, 47 (2007).
3:00 PM - FF2.2
Enabling Technology Based on Leaky-Mode Resonance Effects in Periodic Films.
Robert Magnusson 1 , Mehrdad Shokooh-Saremi 1 , Kyu Lee 1 , Debra Wawro 2
1 Electrical & Computer Engineering, University of Connecticut, Storrs, Connecticut, United States, 2 , Resonant Sensors Incorporated, Arlington, Texas, United States
Show AbstractLeaky waveguide modes arise on photonic-crystal films when an incident light beam couples to the layer system. This results in generation of a guided-mode resonance (GMR) field response in the spectrum. The resonance effect leads to dramatic redistribution of the diffracted energy and may manifest as sharp reflection and transmission peaks radiating from the structure. The operative physical processes are understood in terms of the photonic band structure and associated leaky-wave effects near the second stop band. This effect is the basis for numerous new applications in the field of photonics and sensor technology. This paper provides computed and experimental results demonstrating its utility. In particular, use of the GMR operational principle enables highly accurate biosensors. The sensors are broadly applicable in terms of materials, operating spectral regions, and design configurations. They are multifunctional as only a surface layer needs to be chemically altered to detect different species. Since no foreign chemical labels are required in operation, unperturbed biochemical processes can be quantified in real time. Due to predicted low cost, high integratability, flexible designs, and high performance, this technology can significantly impact the pharmaceutical and homeland security marketplace. Moreover, applications in polarization control and filtering have been identified. Predicted devices include single-layer wideband bandstop and bandpass filters, wideband polarizers, and polarization independent elements. Additional functionality associated with the GMR concept is spectral tunability achievable by perturbing the structural parameters (layer thickness, refractive index distribution, symmetry). Advances in nanoscale fabrication processes enable tuning of GMR devices using nano/microelectromechanical methods. Applications such as tunable filters, variable reflectors, modulators, and tunable pixels appear feasible. It is envisioned that these devices will be useful as pixels in new, planar, ultra thin spatial light modulators for display applications as well as in other systems including tunable multispectral detectors, multispectral analysis systems, polarization discrimination and analysis systems, and tunable lasers.
3:15 PM - FF2.3
Planarization for Optical Three Dimensional Photonic Crystals and other Multilevel Nanostructures Fabricated in a Layer-by-layer Approach.
Ganapathi Subramania 1 , Yun-ju Lee 1
1 , Sandia National Laboratories, Albuquerque, New Mexico, United States
Show AbstractNanostructures with three dimensional architecture such as photonic crystals (PC) have become increasingly important in nanophotonics. A properly designed three dimensional photonic crystal composed of a suitable material system is capable of completely suppressing electromagnetic modes over a range of frequencies for all spatial directions (omnidirectional photonic band gap). Such a property has enormous implications for classical as well as quantum optics such as light guiding, localization, spontaneous emission, lasing and non-linear phenomena. A large number of application areas that can utilize the above aspects are in the near infrared and visible frequency regime where typical periodicity is in the range of 200-800 nm with feature sizes ranging from 80-200nm. Lithography offers an elegant way of fabricating these structures in a layer-by-layer fashion with great accuracy and reproducibility which is essential for experimental verification of theoretically predicted phenomena. However, the nanometer dimensions involved precludes the use of conventional contact photolithography and one has to resort to approaches such as electron beam lithography. In a layer-by-layer fabrication, each layer needs to be planarized to within a few percent of the layer thickness. This can prove to be extremely challenging because techniques such as chemical mechanical planarization typically used in semiconductor industry becomes impractical due to the following reasons: each layer thickness is very small ranging from 70-200nm, devices can be sparsely distributed over the wafer and are likely to be composed of non-standard materials. To address this issue we describe a planarization approach based on a combination of pre-fill-in and spin on glass application to achieve a global degree of planarization[1] > 90% . Using this approach we will demonstrate the fabrication of 3D “logpile” photonic crystal composed of titanium dioxide rods with visible frequency omnidirectional bandgap. The planarization technique described can also be extended to large scale fabrication of PCs or other multilevel nanostructures including metamaterials and nanomagnets with little or no modification.The research at Sandia National Laboratories is supported by the US Department of Energy. Sandia is a multiprogram laboratory operated by the Sandia Corporation, a Lockheed Martin Company, for the US Department of Energy’s National Nuclear Security Administration under contract DE-AC04-94AL 85000. 1.G.Subramania , Nanotechnology, 18, 035303(2007).
4:00 PM - **FF2.4
Bi-continuous 3D Periodic Polymeric Nanostructures via Self Assembly and Interference Lithography.
Edwin Thomas 1
1 , MIT, Cambridge, Massachusetts, United States
Show AbstractPeriodic structures interact with waves (electromagnetic and elastic waves) in interesting ways when the wavelength is on the order of the structural length scale. In order to have strong wave-structure interactions, the dielectric contrast or impedance contrast needs to be high. Polymers are a versatile platform for the creation of periodic 3D structures via the self assembly of block polymers or by interference lithography using photopolymers. High dielectric contrast can be achieved by selectively sequestering high index nanoparticles in a particular component and by etching away one component. High impedance contrast can be accessed by using glassy/rubbery components or by employing bicontinuous polymer/air structures. Another way to create structures with higher refractive-index contrast or higher impedance contrast is via infiltration or CVD.Block polymers (e.g. A/B diblocks and A/B/C terblocks) can self assemble into several bicontinuous periodic structures with lattice parameters scaling with the molecular weight. However, at present there are only a small number of periodic 3D bi-continuous structures (e.g. double diamond, double gyroid etc.), moreover, due to the nature of self assembly and the low energetic penalty for imperfections, such structures always have a considerable number of undesirable defects. On the other hand, it is straightforward using the interference of multiple beams of coherent light to engineer targeted periodic structures and to fabricate essentially defect-free specimens in negative or positive photoresist polymers. Periodic 3D bi-continuous polymeric structures afford opportunities for a variety of uses in photonic and phononic applications.
4:30 PM - FF2.5
Self-assembling Process and Structures of Colloidal Crystalline Arrays in a Fluidic Cell.
Masahiko Ishii 1 , Masashi Harada 1 , Azusa Tsukigase 1 , Hiroshi Nakamura 1
1 , TOYOTA Central R&D Labs., Inc., Aichi Japan
Show AbstractTo apply colloidal crystals, which are periodically-arranged monodispersed colloidal spheres, for photonic crystals, we have to fabricate the crystals with excellent crystallinity. Recently, several methods that control the evaporation of the solvent in a colloidal suspension, such as vertical deposition, withdrawal, and physical confinement, have been explored to improve the crystallinity. However, self-assembled colloidal crystals prepared by these methods still have numerous intrinsic defects and often have striped structures. Therefore, an understanding of the ordering process and ordered structure is important for minimaization of defects.In the present study, we attempted in situ observations of the ordering process of colloidal spheres in a fluidic cell [1] and analyzed the ordered structure using Bragg diffraction in visible light. The observations showed that the growth direction varied with the growth rate. At an extremely low growth rate, the array grew toward the <112> direction of face-centered-cubic lattice. At a moderate growth rate, it grew toward the <110> direction. However, an extremely high growth rate induced random arrays of the spheres. We were also able to visualize the generation and/or annihilation processes of several kinds of defects. The variation of the growth direction with the growth rate was discussed on the basis of the difference in water-flow resistance in the crystalline arrays. In addition, visible light diffraction revealed that the striped structure was due to twinned structures. A color derived from the (110) plane was observed in every other lines of the striped structure. Another color due to the (113) plane was observed in another lines. From these results, we concluded that the stripped structure was caused by repeated twins parallel to the <110> direction.[1] M. Ishii, M. Harada, and H. Nakamura, Soft Matter, 2 (2007) 872.
4:45 PM - FF2.6
Inorganic Photoresist Materials for Direct Fabrication of Photonic Crystals Using Multiphoton Phase Mask Lithography.
Matthew George 1 , Raphael Dror 2 , Matthew Highland 1 , David Cahill 1 , Bruno Sfez 2 , John Rogers 1 , Paul Braun 1
1 Materials Science and Engineering, University of Illinois at Urbana-Champaign, Urbana, Illinois, United States, 2 Applied Materials Group, Electro-Optics Division, Soreq Nuclear Research Institute, Yavne Israel
Show AbstractDirect fabrication of two- and three-dimensional inorganic photonic crystals has been achieved using interference lithography and two markedly different inorganic photoresists. A conformal phase mask element was used to generate the interference pattern through a process termed ‘Proximity Field Nano-Patterning’ (PFnP). We fabricated photonic crystals of varying lattice parameter and complexity using both 1-photon UV, and 2-photon near-IR PFnP. We also demonstrate compatibility with maskless PFnP where the surface of the photoresist film is embossed via imprint lithography to form the phase mask element. Aperiodic features were added to the resulting photonic crystals through 2-photon laser direct writing. The two inorganic photoresists, one oxide based and the other a chalcogenide glass, have competing strengths. The oxide resist is based on the acid catalyzed condensation of poly(methyl silsesquioxane) end groups. After calcination, the silsesquioxane is thermally stable, allowing for infiltration with high index of refraction materials. We have used this oxide resist and silicon LPCVD to form high index 3D photonic crystals. The chalcogenide glass based photoresist is composed of As2S3. This material already has a high index of refraction, making further template infiltration and removal processing steps unnecessary. As2S3 is also very sensitive to pulsed near-IR radiation, allowing us to directly pattern high quality photonic crystals from this chalcogenide glass using multiphoton PFnP. We measure and compare the 2-photon sensitivity and resist contrast of the oxide and chalcogenide glass materials and show that both of these inorganic photoresists allow for facile fabrication of large area photonic band gap materials with embedded functional defects.
5:00 PM - FF2.7
Techniques for Fabrication of Photonic Crystals Using Silicon Nanomembranes.
R. Jacobson 1 , Frank Flack 1 , Max Lagally 1
1 Engineering Experiment Station Materials Science and Engineering, University of Wisconsin-Madison, Madison, Wisconsin, United States
Show AbstractPhotonic crystals (PhCs) show great promise for the complete control of light propagation. Control of the light propagation is determined by the geometry of the PhC, thus allowing the engineering of optical band gaps. The creation of the necessary geometries to produce these band gaps has proven to be very difficult by current methods.We have recently developed processes for the fabrication, transfer, stacking, and boding of Si nanomembranes (SiNMs), potentially allowing us to go beyond the 2D photonic crystals that have been made in thin Si so far. One of the advantages of SiNMs is that they are patternable with standard Si processing. We have already shown that we can stack SiNMs to create a Bragg mirror [1], effectively a 1D photonic crystal. We demonstrate, using proven electron beam lithography techniques [2], the patterning and stacking of SiNMs required to create a photonic diamond crystal waveguide and a woodpile waveguide. The synthesis and processing steps involved include patterning of individual membranes to create the appropriate patterns for each layer, the release and transfer of membranes, and the proper stacking and alignment to create a 3D structure.In our approach stacking is a parameter that enables precise pattern control of PhC ‘defects’, something that is crucial to engineering band gaps and optical devices. We discuss ideas relevant to this processing, including broken-symmetry models, and the use of hydrophobic/hydrophilic nanopatterning on the PhC carrier for membrane alignment. Research supported by AFOSR and DOE. 1. W. Peng, et al., [Appl. Phys. Lett. 90, 183107 (2007)]2. M. Loncar, et al., [Journal of Lightwave Technology, vol. 18, No. 10, pp. 1402-1411, October 2000]
5:15 PM - FF2.8
Making Iron Oxide Colorful: from Rational Synthesis to Highly Tunable Photonic Crystals.
Yadong Yin 1 , Jianping Ge 1
1 Department of Chemistry, University of California, Riverside, Riverside, California, United States
Show AbstractWe report the rational synthesis, self-assembly and photonic application of monodisperse colloidal nanocrystal clusters (CNCs) of magnetite with tunable sizes from ~30 to ~180 nm. These CNCs are prepared through a high-temperature hydrolysis process using polyelectrolyte as a surfactant. Each cluster is a three-dimensional aggregate of many single magnetite crystallites of ~10 nm, thus retaining the superparamagnetic properties at room temperature. The CNCs show strong responses to external magnetic field due to their much higher magnetization per particle than that of individual magnetite nanodots. The use of polyelectrolyte as surfactant in synthesis provides the clusters highly charged surfaces. The combination of superparamagnetic property, high magnetization per particle, monodispersity, and highly charged surfaces makes the CNCs ideal candidates for various important applications. In particular, we demonstrate that these specially designed nanostructures can self-assemble into three-dimensional ordered lattices in solution in response to an external magnetic field. Such colloidal lattices show photonic bandgaps magnetically tunable in the entire visible spectrum, and their optical response to the external magnetic field is rapid and fully reversible.
5:30 PM - FF2.9
Engineering Photonic Band Structures via Structural Modification in Self-Assembled 3D Photonic Crystals.
Jeremy Galusha 1 , Michael Bartl 1
1 Chemistry, University of Utah, Salt Lake CIty, Utah, United States
Show Abstract
Symposium Organizers
Oliver Hayden SIEMENS AG
Kornelius Nielsch Max-Planck-Institute of Microstructure Physics
Nina Kovtyukhova The Pennsylvania State University
Frank Caruso University of Melbourne
Teodor Veres Industrial Materials Institute-NRC
FF3: Atomic Layer Deposition
Session Chairs
Kornelius Nielsch
Mikko Ritala
Tuesday AM, November 27, 2007
Room 200 (Hynes)
9:00 AM - **FF3.1
Step Coverage in Atomic Layer Deposition (ALD) on 3D Nanostructures.
Roy Gordon 1
1 Chemistry and Chemical Biology, Harvard University, Cambridge, Massachusetts, United States
Show AbstractALD is usually presented as a technique that produces completely conformal coatings. In fact, ALD process conditions can be set to produce any desired degree of conformality. The conformality of ALD coatings varies according to a simple formula relating the aspect ratio approximately to the square root of the exposure to the precursor vapor. Exposure is defined as the partial pressure of a precursor vapor integrated over the time that the vapor remains at the open end of a hole or trench. Examples of the same chemistry are shown to produce either conformal coating inside holes with high aspect ratio using high exposure, or coating just at the open ends of holes under low exposure. Deviations from complete conformality can also result from non-ideal surface chemistry, such as thermal decomposition, competitive adsorption of byproducts, or etching by reactants or byproducts. Reactions showing each of these non-ideal behaviors will be reviewed. Highly conformal ALD coatings are produced commercially in DRAM memory elements, read/write heads in magnetic disk memories, in optical phase plates and polarizers, and on irregular phosphor particles. Potential future uses of conformal ALD coatings include photonic crystals, MEMS devices, and coatings of heterogeneous catalysts. Non-conformal ALD coatings can be used for sealing pores in porous dielectrics or other porous materials.
9:30 AM - FF3.2
Controlled Replication of Butterfly Wings for Achieving Tunable Photonic Properties.
Xudong Wang 1 , Jinyun Huang 1 , Zhong Lin Wang 1
1 Materials Science and Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States
Show AbstractNature provides abundant selections of micro- to nanostructures that can be used as templates for fabricating a wide range of photonic related structures. Replication is a method of using biotemplates for achieving nanostructures made of more stable, harder, and high-temperature-tolerable inorganic materials that may have some designed functionalities for practical applications. Butterfly, beetle, and sea mouse are the typical templates used for building photonicrelated structures. Inorganic structures replicated from biological templates may combine the merits offered by both the material and biological structures. For practical applications, it is, however, still a challenge to replicate not only the morphological structures of the biotemplate but also their unique property or performance. We have successfully replicated the photonic structures of a Morpho Peleides butterfly wings using atomic layer deposition at the temperature below 100 degree C. The fine structure of the wing scale of butterfly was carefully examined and the entire configuration was completely replicated by a uniform Al2O3 coating. The reflectance spectra measurement demonstrates that the replica preserves not only the photonic property of the original butterfly wing but also the tuneable color through precise control over the thickness of the inorganic layer. An inverted structure was achieved by removing the butterfly wing template at elevated temperature, forming a polycrystalline Al2O3 shell structure with precisely controlled thickness. Other than the copy of the morphology of the structure, the optical property, such as the existence of PBG, was also inherited by the alumina replica. Reflection peaks at the violet/blue range were detected on both original wings and their replica. The alumina replicas also exhibited similar functional structures as waveguide and beam splitter, which may be used as the building blocks for photonic ICs with high reproducibility and lower fabrication cost comparing to traditional lithography techniques.
9:45 AM - FF3.3
Fabrication of Large-Area Microbowl Arrays.
Jenny Morber 1 , Xudong Wang 1 , Robert Snyder 1 , Zhong Wang 1
1 Materials Science and Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States
Show AbstractRecently, a novel approach has been developed in our group for large-scale fabrication of ordered nanobowl arrays, which have been successfully demonstrated as a platform for hosting and ordering spherical structures of comparable size. The process started with a highly-ordered monolayer of polystyrene (PS) spheres assembled through a water surface tension assisted self-assembly process. Atomic layer depositions (ALD), ion-milling, toluene-etching and annealing were then used to fabricate the nanobowl arrays. The size of the nanobowl therefore depends on the size of PS sphere template. Favorable sphere size for assembling a high quality monolayer by reported techniques is 300-1000 nm. Large size bowls are needed for some applications. In our previous method the highly packed arrangement of spheres is self-assembled on the water surface by “pushing” from surfactant molecules, bigger spheres are more difficult to push together to form an ordered structure, and cannot reliably be assembled in arrays [1, 2]. To address this challenge, we adopt “confinement cell” technology for making highly ordered monolayer from large PS spheres (>2μm). This technique was originally invented for making opal structures, where colloidal spheres were collected and self-assembled around the photoresist wall of a confinement cell. When the thickness of the wall was comparable to the diameter of the spheres, highly ordered monolayer could be formed. We investigated the monolayer morphology of 10 μm PS spheres with various wall thicknesses to identify the optimal confinement cell construction. Highly ordered microbowl arrays with 10 μm in diameter were then realized after ALD, ion-beam etching and PS removal. With this improved self-assembly technique, the microbowl array can uniformly cover an area of more than 1 square inch on a glass substrate and provide more than 1 million nanobowls for cell hosting. Thus, for the first time, cells can be loaded and organized in an ordered array for precise analysis. The nanobowl platform is expected to show great potential in biomedical analysis and disease detection.[1]Xu Dong Wang, Elton Graugnard, Jeffrey S. King, Zhong Lin Wang and Christopher J. Summers " Large-scale Fabrication of Ordered Nano-Bowl Arrays", Nano Letter, 4 (2004) 2223-2226.[2]Xudong Wang, Changshi Lao, Elton Graugnard, Christopher J. Summers and Zhong L. Wang “Large-size liftable inverted-nanobowl sheets as reusable masks for nanolithiography”, Nano Letters, 5 (2005) 1784-1788.
10:00 AM - FF3.4
Iron Oxide Nanotubes of Tunable Geometry Prepared in Ordered Arrays by Templated Atomic Layer Deposition.
Julien Bachmann 1 , Jing Jing 1 , Sanjay Mathur 2 , Ulrich Goesele 1 , Kornelius Nielsch 1
1 Experimental Department II, Max Planck Institute of Microstructure Physics, Halle Germany, 2 , Leibnitz Institute of New Materials, Saarbruecken Germany
Show AbstractAtomic layer deposition (ALD) is particularly suitable for the creation of conformal thin films of inorganic materials on non-planar substrates. Thus, ALD can be combined with the use of a structured template in order to create nanoobjects the geometry of which is defined by the template. Application of this preparative strategy to a porous anodic alumina template yields arrays of hexagonally ordered parallel nanotubes with very smooth walls. With this approach, the length of the tubes can be tuned accurately between 1 and 50 μm and their diameter between 20 and 200 nm via the anodization conditions of the porous alumina, while the wall thickness is directly determined between 1 and 40 nm by the number of ALD cycles. For the ALD of iron oxides, several different precursor chemistries (based on organometallic, alkoxy, and carbonyl complexes) have been explored, each of which is tailored to specific applications. These preparative tools enable the experimentalist to systematically study how physical properties are affected by geometry. Of particular interest are the electrical and magnetic properties of such iron oxide tube arrays, which have been shown to strongly vary with geometry. The biocompatibility of iron oxides should facilitate future applications of such ordered or isolated hollow nanoobjects. Finally, we envision that similar methods may serve to design nanoobjects of more complex geometries, such as concentric tubes and objects of modulated diameter.
10:15 AM - **FF3.5
Deposition of Highly Ordered Complex Nanostructures Using Nanoporous Alumina.
Mats Boman 1 , Inna Soroka 1 , Marten Rooth 1 , Anders Johansson 1 , Leif Nyholm 1 , Anders Harsta 1
1 , Uppsala University, Dep. of Materials Chemistry, Uppsala Sweden
Show AbstractNanotubes of a wide variety of materials have been fabricated using nanoporous alumina as a template and the use of different deposition techniques. The alumina template, which was synthesized as a membrane, was made by anodization of aluminium in two steps. The inter-pore distance was typically 100 nm and the pore diameter typically 60 nm. Both the length of the pores (the membrane thickness) and the pore diameter were varied in a wide range, 0.5 to 50 μm and 10 to 400 nm, respectively. The pores were parallel and well ordered in a hexagonal pattern. Atomic layer deposition (ALD) is a gas phase method, which can evenly coat the thin pore walls of nanoporous alumina. In ALD the precursors are not mixed but are introduced into the reactor in a sequential way. This means that the chemical reactions occur sequentially on adsorbed layers. In the present paper, different metal oxide nanotubes were manufactured by ALD using nanoporous alumina as a template. Results from single layered nanotubes of Nb2O5, Fe2O3 and TiO2 will be presented as well as some preliminary results from multi-layered TiO2/Fe2O3 nanotubes.Electrodeposition is another method having a step coverage permitting filling of nanoporous alumina. By combining ALD and electrodeposition new and unique nanostructured magnetic materials have been made. Results from antiferromagnetic ferromagnetic nanotubes and low-dimensional magneto-resistance structures will be shown.
11:15 AM - **FF3.6
Atomic Layer Deposition in Preparation of Three-Dimensional Nanostructures.
Mikko Ritala 1 , Marianna Kemell 1 , Tero Pilvi 1 , Viljami Pore 1 , Santala Eero 1 , Elina Farm 1 , Markku Leskela 1
1 , University of Helsinki, Helsinki Finland
Show AbstractThis presentation gives various examples about the use of atomic layer deposition (ALD) in preparation of various three dimensional nanostructures. The unique self-limiting growth mechanism makes ALD nearly an ideal tool for coating objects that are three dimensional from macro to nanoscale. ALD provides perfect conformality and uniformity over large areas, and easy and accurate thickness control down to an atomic layer level. ALD does not come without difficulty, however. For conventional thin film applications low deposition rate has been the limiting factor but for nanostructures this appears to be of a less importance because of small film thicknesses. On the other hand, if the nanostructured substrates are macroscopic in all three dimensions, precursor transportation by diffusion in and out of the porous object may become a limiting factor. In the case of through-porous substrates the process may be speeded up substantially by a novel reactor design where the precursors are forced to flow through the substrate.
11:45 AM - FF3.7
Fabrication of Nanostructure Arrays from Metal-patterned Si-on-insulator.
Jeremy Robinson 1 2 , Paul Evans 3 , James Liddle 2 , Oscar Dubon 1 2
1 Materials Science and Engineering, University of California, Berkeley, California, United States, 2 , Lawrence Berkeley National Laboratory, Berkeley, California, United States, 3 Materials Science and Engineering, University of Wisconsin, Madison, Wisconsin, United States
Show Abstract The fabrication of semiconductor nanostructures and their organization into functional macroassemblies and metamaterials remain a fundamental challenge in nanoscience and nanotechnology, requiring new strategies in materials processing. Here we present a simple process for the fabrication of Si nanostructure arrays from Au-patterned Si-on-insulator (SOI) [1]. The process is based on the spontaneous, local oxidation of Si induced by Au upon exposure to air, which is selectively evaporated onto the Si surface. The Au-catalyzed oxide forms a pattern that serves as a robust mask for the underlying Si, enabling the use of simple wet chemistry to sculpt arrays of nanostructures of diverse shapes including rings, pillars, wires, and nanopores. Using a stencil mask containing windows of various geometries, we selectively evaporate Au onto SOI that has been rinsed in HF. Spontaneous oxidation enhancement occurs through two distinct processes, one occurring directly within each Au feature by the diffusion of the underlying Si through the Au to the surface where it oxidizes and the other in the immediate perimeter of each Au feature by an anodization process that is driven by the electrochemical potential difference between Si and Au. While rinsing in HF and subsequent re-exposure to an oxidizing atmosphere result in the restoration of the anodic oxide, the oxide formed over the Au does not reform. This intriguing difference between the formation of the anodic oxide and the Si oxide formed by Si diffusion through the Au allows for machining of SOI into unique nanostructure assemblies by selectively removing one oxide and not the other. For example, pillars are formed from SOI that is decorated with an array of Au squares by etching in a KOH solution, which does not attack SiO2. Rings rather than pillars are produced simply by immersing a similarly Au-patterned SOI sample in HF, which removed the oxide over the Au, prior to etching with KOH. Extensive arrays are readily detected by optical diffraction. When the Au-squares are sufficiently close to each other, the anodic oxide coronae surrounding the Au squares overlap to form a continuous surface oxide. In this case, etching with HF followed by KOH produces a Si film with a periodic array of holes through the Si device layer and terminating at the buried oxide layer. Decreasing hole dimensions at the Si device layer/SiO2 interface to less than 20 nm has been realized by decreasing the initial size of the Au-patterned features. Control over the dimensions of this nanopore is achieved through a combination of Au-feature dimension and Si device layer thickness. The remarkable simplicity of this nanofabrication process makes it widely accessible as an enabling technique for applications from photonics to biotechnology.[1] J.T. Robinson, P.G. Evans, J.A. Liddle, O.D. Dubon, Nano Letters, in press (2007).
12:00 PM - FF3.8
3-Dimensional Al2O3 Fiber Networks using Low Temperature Atomic Layer Deposition on a Cotton Template.
Daisuke Hojo 1 , Kevin Hyde 1 , Joseph Spagnola 2 , Gregory Parsons 1
1 Chemical and Biomolecular Engineering, North Carolina State University, Raleigh, North Carolina, United States, 2 Material Science and Engineering, North Carolina State University, Raleigh, North Carolina, United States
Show Abstract There is interest in controlling the surface properties of 3 dimensional objects by deposition of conformal coatings. We have recently utilized atomic layer deposition (ALD) to deposit metal oxide and nitride coating on a variety of fibrous surfaces including natural cotton. For this study, Al2O3 thin films were coated onto natural woven cotton samples using a binary reaction of trimethylaluminum and water at 100°C. By adjusting the number of ALD cycles, the film thickness was controlled between 50 to 175nm, as observed by transmission electron microscopy (TEM). The Al2O3 growth rate, determined from the TEM data, was estimated to be ~0.31 nm/cycle on the cotton surface, which is larger than ~0.12 nm/cycle measured under the same conditions for deposition on a planar surface. The higher growth rate on the 3D surface is ascribed to adsorption of excess water within the cotton network under the conditions utilized. Natural cotton fibers take on a complex surface structure, and TEM images demonstrate that the ALD process can readily penetrate the network and result in a highly uniform surface coating. After deposition, the coated samples were heated to 450°C in flowing air for 90 minutes to oxide and consume the woven cotton, leaving behind Al2O3 microtubules in a woven network that replicates the original cotton fibers. Energy dispersive X-ray spectroscopy and X-ray diffraction confirm complete removal of the cotton material. By measuring the mass of the Al2O3 after cotton removal for a range of ALD cycle numbers, and using an estimated value for Al2O3 density of 3.0 g/cm3, the thickness and growth rate of the conformal Al2O3 coating can be estimated independently from the TEM data. The measured mass of Al2O3 was found to increase linearly with ALD cycle number, and the slope resulted in an estimated growth rate of ~ 0.12 nm/cycle, which is less than that measured from TEM. The difference is ascribed to some non-uniformity in the film thickness across the thickness of the woven sample, and is consistent with the higher growth rate observed from TEM analysis. Results demonstrate the capability of ALD to penetrate into the complex 3D network structure of natural woven cotton to form uniform coatings at low temperature, and give insight into general understanding of methodology to translate deposition processes from a 2D surface to a 3D network to obtain a uniform coating throughout the sample network bulk.
12:15 PM - FF3.9
Microstructured Optical Fibers as 3D Templates for the Deposition of Semiconductor, Metal, and Insulator Micro- and Nanotubes and Wires.
Neil Baril 1 , John Badding 1 , Venkatraman Gopalan 2 , Pier Sazio 3 , Dong-Jin Won 2 , Adrian Amezcua-Correa 3 , Jacob Calkins 1 , Anna Peacock 3
1 Chemistry, Pennsylvania State University, University Park, Pennsylvania, United States, 2 Materials Science and Engineering, Pennsylvania State Uviversity, University Park, Pennsylvania, United States, 3 Optoelectronics Research Centre, University of Southampton, University Park, Southampton, United Kingdom
Show AbstractDesign flexibility in template materials is a highly desirable featute in any micro or nanostructure platform. Microstructured optical fibers (MOFs) are a new class of highly designable templates for the deposition of micro- and nanostructures. The MOFs possess great potential for organizing periodic and aperiodic arrays of capillaries, which can be impregnated with various active or passive materials. High pressure chemical vapor deposition (CVD) is used for the deposition of semiconductor, metal, and insulator tubes and wires with extreme aspect ratios onto the walls of the capillaries within the MOFs. By taking advantage of the decades long knowledge base in CVD we are able to introduce structural complexity such as radial heterojunctions within the capillaries of the MOFs. Thus combining the optical properties of MOFs with the optical and electrical properties of semiconductors in a highly designable template. Structures formed within the MOFs have many potential applications including but not limited to in-fiber sensing, light modulation, and light generation.
12:30 PM - **FF3.10
Al2O3 and W/Al2O3 Atomic Layer Deposition on Quantities of Multiwalled Carbon Nanotubes.
Steven George 1
1 Chemistry and Chemical Engineering, University of Colorado, Boulder, Colorado, United States
Show AbstractAtomic layer deposition (ALD) can be employed to coat individual single-walled (SW) and multi-walled (MW) carbon nanotubes (CNTs). We have performed Al2O3 and W/Al2O3 ALD on quantities of multiwalled carbon nanotubes (MWCNTs) in a rotary reactor designed for ALD on high surface area nanoparticles. Al2O3 ALD was performed using trimethylaluminum (TMA) and H2O. W ALD was performed using WF6 and Si2H6. Al2O3 ALD on MWCNTs yielded nanospheres that grew with the number of trimethylaluminum and H2O reaction cycles. Al2O3 ALD is believed to nucleate only at defect sites on the surface because the graphene surface of MWCNTs is unreactive. The NO2/TMA nucleation procedure developed by Farmer and Gordon [Nano Letters 6, 699 (2006)] for ALD on SWCNTs was used to obtain very conformal Al2O3 ALD films on gram quantities of MWCNTs. The Al2O3 ALD films grew linearly with the number of TMA/H2O reaction cycles. This Al2O3 ALD film is not covalently attached to the MWCNTs. Evidence for only a physisorption interaction was provided by ALD-coated MWCNTs where the Al2O3 ALD coating had broken to yield "ALD macaroni on a CNT string". W ALD also grew on the conformal Al2O3 ALD coating to create W/Al2O3 bilayers. X-ray photoelectron spectroscopy indicated that the surface of the metallic W was oxidized to form WO3. The W ALD oxidization should be avoided by passivation with Al2O3 ALD. Calculations show that a metallic W ALD coating significantly enhances the CNT conductivity.
FF4: Porous Materials
Session Chairs
Oliver Hayden
Michael Rubner
Tuesday PM, November 27, 2007
Room 200 (Hynes)
2:30 PM - **FF4.1
Architectural Design, 1-D Walls, 3-D Plumbing, and Interior Design en route to Scaleable 3D Multifunctional Nanoarchitectures.
Debra Rolison 1 , Jeffrey Long 1 , Justin Lytle 1 , Anne Fischer 1 , Katherine Pettigrew 1 , Trevor Zimmerman 1 , Matthew Saunders 1 , Amanda Barrow 1
1 Surface Chemistry Branch, Naval Research Laboratory, Washington, District of Columbia, United States
Show AbstractWhen multifunction and molecular transport paths are critical, as they are in rate-critical applications such as catalysis, energy storage and conversion, sensing, and fabrication, the challenge is to move beyond the creation of a functional nanoscale object or feature. High performance and large-scale construction requires architectural design [1,2]. Aerogels and ambigels, which are sol-gel-derived ultraporous, aperiodic nanoarchitectures, unite high surface area for heterogeneous reactions, including post-synthesis modifications, with a continuous, porous network for rapid diffusional flux of molecular and nanoscopic reactants. The surface area is expressed by "walls" that are defined by the nanoscopic, covalently bonded, one-dimensional solid network of the gel—and because the walls are erected by sol-gel chemistry, the architecture is readily scaled from nanometer to meter length scales. The vast open, interconnected space characteristic of a building is represented by the interpenetrating nanoscopic pore network (3D plumbing). Combining the 1D interconnected nanoscopic solid with the 3D interconnected nanoscopic pore network creates nanoarchitectures that yield high performance in rate-critical applications; for instance, response times to gas-phase analytes are >10 times faster than those of the same chemistry expressed in a xerogel [3,4]. As one example of these ideas, we can "paint" the walls of a conductive nanoarchitecture with conformal nanoscopic coatings of redox-active polymers or oxides in order to produce high performance electrochemical capacitors [5,6]. An architectural viewpoint provides a powerful metaphor to guide the chemist and materials scientist in the design of aerogel-like nanoarchitectures and in their physical and chemical transformation into multifunctional objects that yield high performance. [1] D.R. Rolison, Science 299 (2003) 1698.[2] D.R. Rolison, J.W. Long, Acct. Chem. Res. (2007) DOI: 10.1021/ar6000445; web release 26 May 2007[3] N. Leventis, I. Elder, D.R. Rolison, M.L. Anderson, C.I. Merzbacher, Chem. Mater. 11 (1999) 2837.[4] J.M. Wallace, J.K. Rice, J.J. Pietron, R.M. Stroud, J.W. Long, D.R. Rolison, Nano Lett. 3 (2003) 1463.[5] J.W. Long, B.M. Dening, T.M. McEvoy, D.R. Rolison, J. Non-Cryst. Solids. 350 (2004) 97.[6] A.E. Fischer, K.A. Pettigrew, D.R. Rolison, R.M. Stroud, J.W. Long, Nano Lett. 7 (2007) 281.
3:00 PM - FF4.2
Nanoporous Polymer Nanocomposites Synthesized within High Internal Phase Emulsions.
Michael Silverstein 1 , Jenny Normatov 1
1 Materials Engineering, Technion, Haifa Israel
Show AbstractPolyHIPE are nanoporous polymers whose unique and advantageous structures and properties are of potential interest for advanced technological applications. A high internal phase emulsion (HIPE) is defined as an emulsion in which the dispersed phase occupies more than 74% of the volume. PolyHIPE are typically based on water-in-oil (W/O) HIPE. The continuous organic phase (~10 vol%) contains monomers, crosslinking comonomers, and emulsifiers. The dispersed aqueous phase (~90 vol%), containing a water-soluble initiator and stabilizers, is removed following polymerization. Typical polyHIPE have bulk densities of less than 0.15 g/cc and surface areas of more than 5 sq.m/g. A typical polyHIPE open-pore structure consists of spherical voids (~10 µm) whose walls are perforated by numerous holes (~0.5 µm). Nanoporosity was introduced into the polyHIPE by adding a porogen to the HIPE’s continuous phase. Nanocomposite polyHIPE with 3D hybrid nanostructures are presently being developed using several different routes: (1) a trialkoxysilane bearing a vinyl group, added as a comonomer, underwent hydrolysis and condensation to form a silsesquioxane network; (2) a polyhedral oligomeric silsesquioxane bearing a vinyl group was added as a comonomer; (3) a tetraalkoxysilane added to the organic phase underwent hydrolysis and condensation to form a silsesquioxane network. The syntheses, molecular structures, porous structures, and properties will be discussed.
3:15 PM - FF4.3
High Sensitivity Bio-sensor Using Optical Waveguides Comprising High Aspect Ratio Cylindrical Nanoholes.
Koichi Awazu 1 , Makoto Fujimaki 1 , Junji Tominaga 1 , Carsten Rockstuhl 2 , Tetsuro Komatsubara 4 , Katsuaki Sugimoto 3 , Akihiro Ikeda 3 , Yoshimichi Ohki 3
1 CAN-FOR, AIST, Tsukuba Japan, 2 , Friedrich Schiller University Jena, Jena Germany, 4 , University of Tsukuba, Tsukuba Japan, 3 , Waseda University, Shinjyuku Japan
Show AbstractThe passage of an atomic particle with high energy through SiO2 can result in the creation of a cylindrical, latent nuclear track that extends along a straight line corresponding to the path of the atomic particle. The presence of tracks in SiO2 is revealed after etching the structure in hydrofluoric acid. However, the shape of the etched hole is usually not cylindrical, but conical, with the largest diameter near the surface. We have used vapor etching of tracks made by ion atoms to create high aspect ratio, isolated cylindrical holes of diameter of 50 nm through 600 nm-2000 nm thick silica films on gold (Au) films on glass substrates. The present paper reported that creation of isolated cylindrical nanoholes of high aspect ratio in SiO2 waveguide and its application to biosensor with strongly enhanced sensitivity. Fur that purpose in the field of nano-photonics one usually relies on surface plasmon resonance (SPR) excited on a thin metallic film as a powerful tool for measuring bio-molecular interactions in real time in a label-free environment. Recently we found that modes in waveguides can be employed for the same purpose and are even more sensitive than sensors based on SPP resonances. The line width of waveguide modes is much narrower, hence making it possible to enable a sensitive detection scheme if nanoholes are etched into the waveguide material. At the origin of the enhanced sensitivity we found the surface enlargement by the cylindrical nanoholes. Moreover, we found by calculating the field distributions of the eigenmodes that for both polarization the electric field localizes in the central region of the SiO2 waveguide. By employing the nanoholes with high aspect ratio the mode perturbation by the adsorbents infiltrated into the nanoholes could be maximized. The waveguide mode itself did not showed a significant increase in scattering losses by the nanoholes as it experiences merely an effective medium rather than the perforated SiO2 material. This was possible because the size of the nanoholes as compared to the operating wavelength is much smaller.It was found that 500 microM avidin, which cannot be detected in the SiO2 waveguide without nanoholes, can be detected by using the SiO2 waveguide that comprises nano-holes. The peak shift of the TE0 waveguide mode upon adsorption of 500nM streptavidin increased from 0.06°to 0.53° for the perforated sample. Note that this is only a fraction of the line width of the waveguide resonance. In summary, the present innovative results were enabled by a joint research effort among scientists working in different fields, such as fabrication of three-dimensional nanostructures, nano-photonics and biology. It could represent a holy grail for biosensing schemes as both criteria, strongly confined eigenmodes for narrow resonance line shapes and strong perturbation by adsorbants to cause a large shift of the resonance, are met ultimately in a single device.
4:00 PM - FF4.4
Mechanistic Insights into Breath Figure Templating of Novel Porous Polymer Morphologies.
Ronan Daly 1 , John Boland 1 , John Donegan 2 , Graham Cross 2 , Adriele Prina Mello 2
1 School of Chemistry and Centre for Research on Adaptive Nanostructures and Nanodevices, Trinity College Dublin, Dublin Ireland, 2 School of Physics and Centre for Research on Adaptive Nanostructures and Nanodevices, Trinity College Dublin, Dublin Ireland
Show Abstract“Breath figures”1,2 can be templated to form mono-dispersed ordered pores in polymer films ranging from micron-sized pores to several 100nm. So far there is no definitive mechanism and to date the literature has focused on hexagonally close packed arrays of spherical pores.This presentation studies the dynamics of pore formation, including the formation of new pore morphologies never before reported. These new observations, along with our ability to manipulate the packing density, suggest an unexplored richness with numerous potential applications.Through a combination of three different experimental approaches; Atomic Force Microscopy, Scanning Electron Microscopy and a novel use of Confocal Microscopy, we provide new insights into the mechanism of self-assembly and pore formation.Our ability to form these substrates with controlled pore sizes and morphologies has also led to a number of collaborations, which we will briefly describe at the end of the presentation.(i)Photonics: The study of optical properties, emission patterns and mode structures of different assemblies of microspheres using the porous structure.(ii)Nanomechanics: Defining the mechanical properties of the porous substrates and the influence of morphology.(iii)Biophysics: Exploring cellular mechano-response to functionalised micro-porous substrate topographies.References:[1] Lord Rayleigh, Nature, 86, 416 (1911)[2] D. Beysens, Atmospheric Research, 39, 215 (1995)
4:15 PM - FF4.5
Shape Control of Polypyrrole Microcontainers in Template-free Synthesis.
Ji Tae Kim 1 , Seung Kwon Seol 1 , Keun Ho Lee 1 , Jung Ho Je 1 , Yeukuang Hwu 2 , Giorgio Margaritondo 3
1 X-ray Imaging Center, Department of Materials Science & Engineering, POSTECH, Pohang Korea (the Republic of), 2 Institute of Physics, Academia Sinica, Taipei Taiwan, 3 Faculté des Sciences de Base, Ecole Polytechnique Fédérale, Lausanne Switzerland
Show AbstractMicro- and nano-structures of conducting polymer are emerging as an attractive material in modern technology. They have a variety of potential applications in electronics, photonics, and biomedical science because of their electrical and optical properties similar to metals or semiconductors while retaining flexibility, ease of processing, and biocompatibility. In particular, microcontainers of conducting polymer, which have additional outstanding properties of low density and large specific area, can be applied for bio-sensor, catalyst, and drug delivery systems strongly depending on their shapes. However, the shape control of microcontainer, which is one of the issues, is quite difficult in conventional hard template methods. Here, we present a strategy to control the shape of polypyrrole (PPy) microcontainers fabricated electrochemically on the electrogenerated H2 bubbles acting as soft template. By monitoring the fabrication procedure in in-situ using synchrotron X-ray microradiology, we reveal that the shape of the microcontainer is modulated by the polymerization force of PPy that causes to change the bubble shape during the synthesis. The polymerization force is governed by the applied voltage. We demonstrate the fabrication of the microcontainers from spherical to cylindrical shapes simply by controlling the applied voltage.
4:30 PM - FF4.6
Chemical Reduction of Complex, Three-Dimensional Silica Micro-Assemblies (Diatom Microshells) into Microporous Silicon Replicas.
Zhihao Bao 1 , Michael Weatherspoon 1 , Samuel Shian 1 , Ye Cai 1 , Phillip Graham 1 , Shawn Allan 1 , Gul Ahmad 1 , Matthew Dickerson 1 , Benjamin Church 1 , Zhitao Kang 1 , Harry Abernathy 1 , Christopher Summers 1 , Meilin Liu 1 , Kenneth Sandhage 1
1 Materials Science & Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States
Show AbstractThe conventional carbothermal reduction of silica SiO2 into silicon requires the use of temperatures well above the melting point of silicon. While silica has been converted into solid silicon at much lower temperatures (<850°C) via electrochemical reduction in molten salts, the silicon products of such reduction did not retain the microscale morphology of the starting silica reactants. Here we demonstrate, for the first time, a method for converting complex, three-dimensional (3-D) silica micro-assemblies into silicon replicas that retain micro- and nanoscale features of the starting silica. In this demonstration, the intricate silica microshells (frustules) of diatoms (unicellular algae) were allowed to undergo an oxidation-reduction reaction with magnesium gas at only 650°C to yield co-continuous, nanocrystalline mixtures of silicon and magnesia (MgO). Selective dissolution of the magnesia then yielded an interconnected network of nanocrystalline silicon that retained the 3-D morphology and fine features (101-102 nm pores, channels) of the starting frustules. The reduction in solid volume associated with the conversion of SiO2 into Si resulted in the generation of additional open porosity. Indeed, the silicon replicas possessed a specific surface area >500 m2/g and contained a significant fraction of micropores (<20 Å). A low voltage gas sensor consisting of a single silicon replica of a diatom frustule exhibited rapid changes in impedance upon exposure to NO(g). The microporous silicon replicas were also photoluminescent. This scaleable, low temperature magnesiothermic reduction process may be used to convert 3-D biologically or synthetically self-assembled silica templates into nanocrystalline silicon replicas with precisely-controlled microscale shapes and nanoscale features for use in a variety of sensor, electronic, optical, biochemical, or other applications.
4:45 PM - FF4.7
Efficient Synthesis of Ordered Nanoporous Oxide and Mixed Oxide Supports Loaded with Platinum Nanocatalysts.
Jayashri Sarkar 1 , Vijay John 2 , Brooks Christopher 3 , Ganapathiraman Ramanath 4 , Arijit Bose 1
1 Chemical Engineering, University of Rhode Island, Kingston, Rhode Island, United States, 2 Department of Chemical & Biomolecular Engineering, Tulane University, New Orleans, Louisiana, United States, 3 , Honda Research Institute, Columbus, Ohio, United States, 4 Materials Science and Engineering, Rensselaer Polytechnique Institute, Troy, New York, United States
Show Abstract5:00 PM - FF4.8
Nb and Ti-Nb Macroporous Oxides with Superior Heat-Stability.
S. M. Sarif Masud 1 , Geoffrey Saupe 2
1 Materials Science and Engineering, University of Texas at El Paso, El Paso, Texas, United States, 2 Chemistry, University of Texas at El Paso, El Paso, Texas, United States
Show Abstract5:15 PM - FF4.9
A New Family of Nanoporous Aluminoborates Containing Octahedral Open Frameworks.
Jing Ju 1 2 , Tao Yang 2 , Jianhua Lin 2 , Katsumi Tanigaki 1
1 Department of Physics, Tohoku University, Sendai Japan, 2 State Key Laboratory for Rare Earth Materials Chemistry and Applications, College of Chemistry and Molecular Engineering , Peking University, Beijing China
Show AbstractA new series of aluminoborates, i.e., HAl3B6O12(OH)4 (PKU-1), Al2B5O9(OH)3 (PKU-2), Al4B6O15 (PKU-5) and HAl2B3O8 (PKU-6), have been synthesized using boric acid as a flux to react directly with aluminum salts in a closed system. Their structural parameters as well as physical and chemical properties are listed in Table 1. For PKU-1, -2 and -5, they all crystallize in trigonal structures consisting of borate groups and porous octahedral frameworks with respective 18-, 24- and 10-membered ring octahedral tunnels. The diameters of these tunnels are 9.5 Å, 14 Å and 4.4 Å, respectively. In the structures, the Al-octahedra share edges, respectively, in cis- and trans-fashions, forming the octahedral frameworks. The borate groups, all in triangular geometry, share oxygen atoms with the octahedral frameworks to compensate the negative charge of the frameworks. Two fundamental units, i.e., the 3-membered ring 2Al+B and Al+2B, are identified in the PKUs structures, which, as we believe, are crucial to stabilize these unusual octahedral frameworks. PKU-6 demonstrates an interesting example of porous framework containing AlO5 square pyramids. PKU-6 contains 8-ring rectangular channels along the c-axis consisting of four BO3 triangles and four AlO5 square pyramids. There are also irregular 7-membered ring channels perpendicular to the c-axis, consisting of three BO3 triangles and four AlO5 square pyramids. The cavity of PKU-6 can adsorb up to 14.1 wt% water at room temperature. Most of the water molecules in the channels can be removed by heating the sample up to 100oC.PKUs present a new family of the porous materials containing octahedral framework. They have similar and adjustable pore sizes, and therefore they provide suitable reaction environment for metal doping and offer a good chance to systemic investigations on their properties. Furthermore, PKU-5 and PKU-6 are stable up to 800oC; while the frameworks of PKU-1 and PKU-2 collapse above 600 and 400oC respectively. The thermal stability of PKUs will make the further chemical manipulations and the property measurements facile and effective.References[1] Ju J., Yang T., Li G., Liao F., Wang Y., You L. and Lin J.: PKU-5: An Aluminoborate with Novel Octahedral Framework Topology, CHEMISTRY - A EUROPEAN JOURNAL (10): 3901-3906, 2004.[2] Ju J., Lin J., Li G., Yang T., Li H., Liao F., Loong C. K., and You L.: Aluminoborate-based molecular sieves with 18-octahedral-atom frameworks, ANGEW. CHEM. INT. ED. (42): 5607-5610, 2003.
FF5: Poster Session I
Session Chairs
Oliver Hayden
Kornelius Nielsch
Wednesday AM, November 28, 2007
Exhibition Hall D (Hynes)
9:00 PM - FF5.1
2D-TO-3D Growth Mode Transition of PbTiO3 Islands on (001) Vicinal Nb-SrTiO3 Fabricated by Hydrothermal Epitaxy.
Junhyuck Jeon 1 , Si-kyung Choi 1
1 Material Science and Engineering, Korea Advanced Institute of Science and Technology, Daejeon Korea (the Republic of)
Show Abstract9:00 PM - FF5.10
EBI Joining Between Different Polymers Without Adhesive Materials.
Hironori Sato 1 , Keisuke Iwata 2 , Akira Tonegawa 2 , Yoshitake Nishi 1 2
1 Department of Metallurgical Engineering, Graduate School of Engineering, Tokai University, Hiratsuka Kanagawa Japan, 2 Department of Science and Technology, Unified Graduate School of Science and Technology, Tokai University, Hiratsuka Kanagawa Japan
Show AbstractEffects of electron beam irradiation on the joining interface of different polymers without adhesive materials have been investigated. The samples of different polymers sheet are 5 × 5 mm. The sheets are homogeneously irradiated using an electron-curtain processor (Type CB175/15/180L, Energy Science Inc., Woburn MA, Iwasaki Electric Group Co. Ltd., Tokyo). A tungsten filament in the vacuum chamber generates the electron beam using acceleration potential of 170 kV. Each dose of electron beam irradiation is only applied for a short time (0.23 s) to avoid excessive heating of sample. The temperature of the surface of the sample is below 323 K just after irradiation. In order to measure and evaluate binding states of polymer after electron beam irradiation, Fourier transform infrared spectrophotometer (FT-IR, FT/IR-410, JASCO Corporation, Tokyo) is used. The FT-IR measurement cycle range is from 4000.6047 to 399.1927 cm-1. The electron beam irradiation joins the different polymers without adhesion materials. To evaluate the surface bonding state, remarkable FT-IR signals are obtained for polymers. The electron beam irradiation slightly changes the height of FT-IR signals.
9:00 PM - FF5.13
Nanopatterned Ti Surface Features for Improving Vascular Stents without Drug Release.
Jing Lu 1 , Masaru P. Rao 2 , Noel C. MacDonald 3 , Thomas J. Webster 1
1 Division of Engineering, Brown University, Providence, Rhode Island, United States, 2 School of Mechanical Engineering, Purdue University, West Lafayette, Indiana, United States, 3 Department of Mechanical Engineering, University of California, Santa Barbara, California, United States
Show Abstract9:00 PM - FF5.14
Polydiacetylene Sensory System for Nerve Agent Detection.
Donghwan Seo 1 , Hyong-Jun Kim 2 , Ji-Seok Lee 4 , Jinsang Kim 2 3 4
1 Mechanical Engineering, University of Michigan, Ann Arbor, Michigan, United States, 2 Materials Science and Engineering, University of Michigan, Ann Arbor, Michigan, United States, 4 Macromolecular Science and Engineering, University of Michigan, Ann Arbor, Michigan, United States, 3 Chemical Engineering, University of Michigan, Ann Arbor, Michigan, United States
Show AbstractNerve agents, such as tabun, sarin, soman, and VX, are the most toxic chemical compounds among chemical warfare agents. They are known to irreversibly inhibit acetylcholinesterase (AChE) and cause a critical malfunction in the nerve system of mammals to immediate death. The capabilities of conventional sensors for the detection of these agents are limited in either selectivity or sensitivity and still not feasible as a personal gear in the field. Polydiacetylene (PDA), a unique conjugated polymer, has recently received a wide attention as one of the versatile functional materials in sensor application, since PDA can provide excellent sensitivity in color change from blue to red as well as fluorescent emission in red phase. In this presentation, we will describe our design principles and application of PDAs for nerve agent detection. Our PDAs are designed to have unique color change and fluorescence development upon exposure to diethyl chlorophosphate (DCP, a nerve agent simulant). Various chemicals were also used to test the selectivity of our PDA sensors. Our PDA sensors show excellent selectivity between DCP and other chemicals including solvents. Engineered PDA gel pads will be also discussed.
9:00 PM - FF5.16
Surface Waves in Complex Multilayer Structures.
Natalia Malkova 1 , Garnett Bryant 1 , Zhigang Chen 1
1 , NIST, Gaithersburg, Maryland, United States
Show Abstract9:00 PM - FF5.17
Orthogonal Nanostructures using Self-assembly and Nanoimprint Lithography.
Brian Jordan 1 , Yuval Ofir 1 , Bappaditya Samanta 1 , Palaniappan Arumugam 1 , Kenneth Carter 2 , Vincent Rotello 1
1 Chemistry, University of Massachusetts, Amherst, Massachusetts, United States, 2 Polymer Science and Engineering, University of Massachusetts, Amherst, Massachusetts, United States
Show AbstractWe have integrated a “bottom-up” self-assembly approach with “top-down” nanoimprint lithography to provide a versatile fabrication method for orthogonal functionalization of patterned surfaces. Electrostatic and hydrogen bonding molecular recognition units were incorporated into an imprinted polymer resist generating hierarchical nanostructures through complementary supramolecular interactions. Polymers, including polyvinyl-N-methylpyridine and sulfonated polystyrene (electrostatics) and diamidopyridine functionalized polystyrene (hydrogen bonding), were imprinted using standard nanoimprint techniques. The resultant patterned surfaces were exposed to their complementary counterparts resulting in the selective deposition of the corresponding polymer or nanoparticle. The supramolecular interactions were controlled both independently and simultaneously providing a direct route towards orthogonally patterned nanostructures. This versatile approach combined the specific advantages associated with self-assembly, (specificity, reversibility, and directionality), with the high precision and cost effectiveness of nanoimprint lithography.
9:00 PM - FF5.18
VUV-photon Induced Formation of Hydrophilic and Hydrophobic Micro Domains Structure on Intraocular Lens Surface for Blocking after Cataract.
Yuji Sato 1 , Kenji Kawai 2 , Mikio Sasoh 3 , Hiroaki Ozaki 4 , Takeo Ohki 5 , Hiroshi Shiota 5 , Masataka Murahara 1
1 , Tokyo Institute of Technology, Tokyo Japan, 2 , Tokai University, Kanagawa Japan, 3 , Mie University, Tsu-city Japan, 4 , Fukuoka University, Fukuoka Japan, 5 , University of Tokushima, Tokushima Japan
Show AbstractA micro domain structure, which hydrophilic and hydrophobic groups were arrayed alternately, was formed on the surface of an intraocular lens [IOL] by using ultra violet rays [VUV]. With this technique, the IOL that is free from fibrin has been developed. A cataract is a disease that eyesight falls because of cloudiness of the crystalline lens. For operative treatment, an IOL is implanted instead of the cloudy crystalline lens. A poly (methyl methacrylate) and a silicone rubber, which have the high transparence in the visible region and are inert in the living body, are used clinically as IOL materials. However, fibrin is adsorbed onto the IOL surface in time to proliferate epithelial cells and cause clouding, which results in a secondary cataract. To improve the biocompatibility, the plastic surfaces have been modified into hydrophilic by the plasma or ion irradiation method or chemicals. In these modification methods, however, the original characteristics of the materials were not exhibited because the sample surfaces had been damaged physically, and it made easy for fibrin to stick on the surface. An IOL is required to inhibit protein sticking and to have high biocompatibility: the hydrophilic and hydrophobic surfaces are needed in order to improve the biocompatibility and to avoid protein or fat adhesion, respectively. Thus, we designed the micro domains structure, which arrayed hydrophilic and hydrophobic alternately, on IOL surface. Firstly, for hydrophobic treatment, IOL was irradiated with Xe2 excimer lamp in the presence of perfluoropolyether for -CF3 groups or cyclohexane for -CH3 groups. By the photochemical reaction, the -CF3 or -CH3 groups were substituted on the whole IOL surface. In order to substitute the hydrophilic groups in matrix-form on the surface, an ArF laser light was then irradiated on the hydrophobic surface in the presence of water for the –OH groups or ammonia gas for –NH3 groups, through the 50-micrometer dot-patterned reticle and the lens to project the pattern reduced to 20-micrometer dots. With this selective photochemical surface modification, the hydrophilic and hydrophobic groups were arrayed alternately on the sample surface. The modified IOL was soaked in 0.1-wt % fibrin solutions, and the fibrin-sticking rate was measured by using an infrared spectroscopy [FT-IR]. The results showed that the fibrin-sticking rate decreased as hydrophilic group interval was narrowed. The modified surface with the 20-micrometer domains of hydrophilic and hydrophobic was lowest in fibrin sticking. And the fibrin sticking rate on the modified IOL surface with 20-micrometer domains structure was reduced to one-fifth for the –OH and CF3 groups and one-twentieth for the –NH3 and CF3 groups of that on the untreated IOL. The results suggest that with this new technique, a fibrin-free IOL can be developed for hindering a secondary cataract.
9:00 PM - FF5.19
Two-Photon Polymerization of Transdermal Drug Delivery Devices.
Anand Doraiswamy 1 , Aleksandr Ovsianikov 2 , Boris Chichkov 2 , Nancy Monteiro-Riviere 1 3 , Roger Narayan 1 , Ravi Aggarwal 4
1 Biomedical Engineering, University of North Carolina, Chapel Hill, North Carolina, United States, 2 Nanotechnology, Laser Zentrum Hannover, Hannover Germany, 3 Veterinary Medicine, North Carolina State University, Raleigh, North Carolina, United States, 4 Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina, United States
Show Abstract9:00 PM - FF5.2
Titanium Oxide Nanosponges: Applications in Gas Sensors and Wear Resistant Contacts in MEMS Devices.
Zuruzi Abu Samah 1
1 School of Engineering (Manufacturing), Nanyang Polytechnic, Ang Mo Kio, Ave 8 Singapore
Show AbstractSemiconducting metal oxides are widely considered as one of the most promising platforms for solid-state gas sensors. In prior reports, metal oxides in the form of individual nanoscale belts, rods, tubes and wires were synthesized using a number of techniques and showed encouraging gas sensing properties. Furthermore, recent reports have indicated that nanocomposites of metal oxides and metals have higher wear resistance than pure metals. In our contribution, we present results demonstrating that (1) 3-dimensionally (3D) interconnected nanostructures of titanium oxides can be used as ultra-sensitive gas sensors and (2) that nanocomposites of Au and Au-titanium nanosponge have greater wear resistance than Au only.Nanostructured TiO2 was formed by reacting patterned Ti thin films in aqueous hydrogen peroxide solution followed by thermal annealing at about 300C. The oxidation kinetics of Ti films with thickness between 20 and 200 nm has been investigated using optical and electrical techniques. Activation energies of oxidation extracted using these techniques are very close. Using these results, the mechanism of Ti oxidation has been elucidated and will be presented. In addition it was found that Ti films having thickness of 50 nm or less showed one oxidation stage while thicker films exhibited two stages during oxidation. Micrometer scale pads of TiO2 nanosponges configured as elements in a conductometric sensor have been integrated and investigated for gas sensing. Nanostructured TiO2 has porous sponge-like morphology consisting of interconnected nanoscale wires and walls. Wall thickness of the nanosponge can be controlled and ranges from about 30 to 80 nm. Transmission electron microscopy and X-ray diffraction studies indicate that these wires and walls are made up of anatase nanocrystals. Gas sensing experiments demonstrate that the sensor is able to detect hydrogen down to low ppm level at room temperature. Nanocomposites of Au-TiO2 nanosponges were formed by infiltrating Au into preformed TiO2 nanosponge matrix. Cross-sectional SEM images show that the nanocomposite formed is free of voids. Results of sliding-pencil test showed that nanocomposites are relatively more wear resistant than pure Au.
9:00 PM - FF5.20
Effect of Crystallographic Structure of Substrate on Texture in Electrodeposited Ni Layers.
Takafumi Shimada 1 , Akinobu Shibata 1 , Chiemi Ishiyama 1 , Masato Sone 1 , Yakichi Higo 1
1 , Tokyo Institute of Technology, Yokohama Japan
Show Abstract These days the electrodeposition has become the key technology in the fabrication of micro-components, such as electronic device or micro electro mechanical system (MEMS). Since the microstructure of electrodeposited layer can have an influence on the mechanical properties of electrodeposited layer as well as the adhesion strength between electrodeposited layer and substrate, it is important to understand the formation mechanism of electrodeposited layer. It is considered that the effect of substrate is the most important parameter for the formation of electrodeposited layer. The formation mechanism of electrodeposited layer consists of two steps; nucleation on the substrate and subsequent growth. It was reported that the nucleation depends on the crystallographic structure of the substrate. On the other hand, the growth behavior of electrodeposited layer, that is texture, is strongly affected by deposition conditions (composition of the electrolyte, current density and temperature, etc.) and not by the substrate. However, there still remain some uncertainties for the effect of substrate on the formation mechanism of electrodeposited layers. In the present study, the effect of crystallographic structure of substrate on texture in electrodeposited Ni layers was investigated. In the present study, three kinds of substrates were used; annealed Ni, Cu which are face centered cubic (fcc) and Ni-P which is amorphous. Ni-P amorphous substrate was formed by electroless deposition on Cu. Ni layer was electrodeposited onto such kinds of substrates from a Watt bath with a current density of 150A/m2 at 323K. Microstructures of electrodeposited Ni layers were observed by means of scanning electron microscopy (SEM) and transmission electron microscopy (TEM). Orientations of Ni layers were measured by means of X-ray diffraction (XRD) and electron back scattered diffraction pattern (EBSD). From the XRD measurement, the electrodeposited Ni layers on both Cu and Ni-P amorphous substrates are strongly textured where <111> or <100> directions are preferentially perpendicular to the surface of the layers. In the case of Cu substrate, the strength of {100} peak is relatively higher than that of {111} peak. On the other hand, the strengths of {100} and {111} peaks are almost the same when substrate is Ni-P amorphous. This result suggests that the crystallographic texture of electrodeposited Ni layer is affected by the substrate because the condition of electrodeposition is completely the same other than the crystallographic structure of the substrate. In the presentation, the formation mechanism of texture will be discussed from the standpoint of microstructure observed by means of SEM and TEM.
9:00 PM - FF5.21
Mastering Nano-scale Complexity in Surface Structures Developed by Electrochemical Methods on Structural Materials.
Victor Andrei 1 , Catalin Ducu 1 , Constantin Diaconu 1 , Manuela Fulger 1
1 , Institute for Nuclear Research, Pitesti Romania
Show AbstractComplex surface structures were developed on stainless steels used as structural nuclear materials, by various electrochemical treatments which include:-plasma electrolytic treatments: the steel substrates were modified by nitriding and nitro-carburising plasma diffusion treatments;-carbonic films electro-deposition using a method for synthesis of DLC structures at atmospheric pressure and low temperature; a solution of acetylene in liquid ammonia was used as electrolyte.The processes and structures obtained by Electrochemical Surface Engineering Methods, in various experimental conditions, were characterized by correlation of the results of the complementary techniques: XPS, “depth profiling”, SEM, XRD, LAXRD, EIS.The corrosion behavior was studied by electrochemical techniques, and was correlated to the microstructure and the composition of the surface layers which are strongly dependent of the electrical parameters. An overall description of the electrochemical processes involved in the surface properties improvement, and some consideration about the new materials development for energy technologies are presented.
9:00 PM - FF5.22
Electrochemical Preparation of Bamboo-like Nanowires and Nanotubes.
Maoshi Guan 1 , Elizabeth Podlaha-Murphy 2
1 Chemical Engineering, Louisiana State University, Malden, Massachusetts, United States, 2 Chemical Engineering, Northeastern University, Boston, Massachusetts, United States
Show AbstractNanowires with enhanced surface area and modified surface morphology are of interest due to potential applications in surface reactions, immobilizing molecules and nanoelectronics. To date, the etching of nanowires has been carried out via chemical dissolution, usually in the presence of oxidizing agents. The primary disadvantage of the chemical etching processes is that there is little control over the etching. Alternatively, an electrochemical anodization process can control etching with higher precision and thus be used to create unique structures that are partially etched, such as bamboo-like nanowires and hollow nanotubes. In this study, a two-step process for the fabrication of Au/CoAu nanoscale bamboo-like structures was developed. First, multilayered nanowires were electrochemically deposited into a porous template. Second, the solid nanowires were removed from the template and one component was electrochemically etched from the nanowires. By precisely controlling the electrochemical etching potential and time, the surface area of the nanowires was enhanced. AuCo alloys are typically electrodeposited from a non-cyanide, citrate electrolyte. Alloy composition was investigated on a rotating disk electrode to evaluate plating operating conditions. Multilayered nanowires and tubes were deposited into an alumina or polycarbonate nanoporous membrane, pulsed galvanostatically. The gold layer was fabricated at -0.2 mA/sq.cm and a Co-rich, CoAu layer, in which the average Co content is 96 wt%, was deposited at -6 mA/sq.cm. In order to create wires and tubes of different layer thickness, various time of deposition were applied. The time for Au layer deposition is between 180 and 360 s, leading to a thickness range between 25 and 50 nm. The Co-rich CoAu layer deposition time is between 33 and 165 s, leading to a thickness ranging from 50 to 250 nm. Membrane pore size plays an important role in determining whether nanowires or nanotubes are formed. Membranes with pore sizes reported by the manufacturer that are smaller than or equal to 0.2 micron will give nanowires, while membranes with pore sizes greater than or equal to 0.4 micron result in nanotubes. After the wires and/or tubes were released and placed on a carbon electrode, electrochemical etching was carried out in a KCl solution. Four etching electrolytes with different KCl concentrations (0.5, 1.0, 1.5, 2.0 M) were examined. Better selectivity of Co-rich layer etching and faster etching rates were found at 2 M KCl. The rate of dissolution for the Co-rich layer was at least two orders of magnitude higher than the dissolution of Au in the range of -0.60 ~ 0.22 V vs. SCE, which established the anodization conditions of the nanowires/tubes. Multilayered nanowires and nanotubes with different layer sizes were examined and the etching results were characterized by SEM. Nano-bamboo structures were created after partial etching of wires/tubes.
9:00 PM - FF5.23
Optical Properties of Er-doped Ge Nanocrystals in SiO2 Matrix Synthesized by Sol-Gel Technique.
Kaustuv Das 1 , Samit Ray 1 , Balbir Mathur 1
1 , IIT Kharagpur, Kharagpur India
Show Abstract9:00 PM - FF5.24
Experimental Measurement of Specific Surface Free Energy of Chlorapatite and Ruby Single Crystals Using Contact Angle of Liquid Droplet.
Takaomi Suzuki 1 , Katsuya Teshima 1 , Shuji Oishi 1
1 , Faculty of Engineering, Shinshu University, Nagano Japan
Show AbstractThe specific surface free energy of equilibrium shape of single crystal is proportional to the length of normal line to the surface from the center of the crystal. This relationship is known as Wulff’s relationship, which was theoretically established and proposed almost hundred years ago. However, no experimental evidence for this theory was demonstrated, because the measurement of specific surface free energy of crystal surface was believed to be difficult. We first time introduced the contact angle measurement technique for determination of the specific surface free energy of chlorapatite[1] and ruby[2] single crystals. In those systems, we could verify Wulff’s relationship experimentally. The contact angles of liquids and crystal surfaces were measured for water and formamide droplets, and the specific surface free energy of each face of the crystal was calculated using Fowkes approximation and Wu’s mean equations. Wulff’s point was geometrically calculated and compared with the specific surface free energy. We are also going to discuss about the physical meaning of Wulff’s constant from our experimental viewpoint.[1] T. Suzuki, I. Kumeda, K. Teshima, S. Oishi, Chem. Phys. Lett., 421(2006)343.[2] T. Suzuki, E. Iguchi, K. Teshima, S. Oishi, Chem. Phys. Lett., 438(2007)127.
9:00 PM - FF5.25
Improvement of Impact Strength for Transparent Inorganic Glass by Electron Beam Irradiation.
Keisuke Iwata 1 , Akira Tonegawa 1 , Yoshitake Nishi 1
1 Department of Science and Technology, Unified Graduate School of Science and Technology, Tokai university, Hiratsuka city Japan
Show AbstractLow-energy electron beam (EB) irradiation, generally applied to enhance hardening and wear resistance of polymer, is a successful surface treatment. To enable freedom from misting and easy sterilization, EB irradiation techniques are presently being developed to assist the production of dentist’ mirrors, sapphire lenses for endoscopes, diamond windows. And EB-strengthening methods, caused by annihilation of dangling bonds, have been established for carbon fiber and carbon fiber reinforced polymer. On the other hand, another effect of EB irradiation is the homogeneous activation of surface atoms and the breaking of the chemical bonds in the network structure of a surface layer of inorganic glasses. When EB irradiation generates dangling bonds at the weaker-bonded metal-oxygen atomic pairs in the glass network structure, partial relaxation occurs at points of residual strain in the network structure. It is clear that the increased rigidity is mainly due to an increase in the bonding energy of the stronger-bonded metal-oxygen atomic pairs in the atomic network structure. We have undertaken the present study to investigate the possible beneficial effects of EB irradiation on the impact value of transparent inorganic glass. To clarify the results, ESR observations were used to confirm the existence of dangling bonds.The sizes of our transparent glass samples are 20 mm x 10 mm x 1.0 (mm3). The glass sheets are homogeneously irradiated using an electron-curtain processor (Type CB175/15/180L, Energy Science Inc., Woburn, MA, Iwasaki Electric Group Co. Ltd. Tokyo). The specimen is homogeneously irradiated with the electron beam through a titanium thin film window attached to the vacuum chamber, 240 mm in diameter. A tungsten filament in vacuum is used to generate the electron beam at an acceleration potential of 170 kV and irradiating current of 2.0 mA. To prevent oxidation, the samples are kept nitrogen gas of 1 atm with a residual concentration of oxygen of below 400 ppm. The flow rate of the nitrogen gas is 1.5 L/s at 0.1 MPa. Each dose (0.0432 MGy) of EB irradiation is applied for only a short time (0.23 s) to avoid excessive heating of the sample; the temperature of the sample surface remained below 323 K just after irradiation. To evaluate the impact fracture toughness, the Charpy impact values of the glasses with and without EB irradiation are measured using a standard impact fracture energy measurement system. To obtain more precise information on atomic-scale structural changes in the glass, the density of the dangling bonds is obtained using an electron spin resonance spectrometer.The EB irradiation increases the impact value of the transparent inorganic glasses. Based on the ESR signals of transparent glasses before and after EB irradiation, the high density of dangling bonds is obtained.
9:00 PM - FF5.26
Implantation and Sputtering of Ge and Si Ions into SiO2 Substrates using Electric Fields for Acceleration and Optimisation of Laser-produced Ion Streams used for Modification of Semiconductor Materials.
Marcin Rosinski 1 , Selcuk Yerci 2 , Jan Badziak 1 , Pawel Gasior 1 , Agata Czarnecka 1 , Piotr Parys 1 , Jerzy Wolowski 1 , Marcin Pisarek 3 , Rasit Turan 2
1 Laser Plasma, Institute of Plasma Physics and Laser Microfusion, Warsaw Poland, 2 Physics Department, Middle East Technical University, Ankara Turkey, 3 Material Science and Engineering Faculty, Warsaw University of Technology, Warsaw Poland
Show AbstractLaser plasma has been proved to be a potential source of multiply charged ions which could support the growing demands for high-current ion beams. To optimize efficiency of the ion implantation technology selection of proper laser beam characteristics is very important and should be investigated. With LIS, several variables can and must be controlled. The properties of ions (current densities, the ion charge state, angular and energy distributions) depend on target material and the laser energy, pulse duration and intensity on the target surface. So, the characteristics of laser-produced ion streams should be determined with the use of precise ion diagnostic methods. Based on the preliminary results for acceleration of ions produced with the use of a repetitive laser system at IPPLM the special electrostatic-acceleration system has been designed and prepared. This device permits to accelerate ions having charge states of 1+ to energies up to ~40 keV. The movable target holder was located inside the cylindrical box connected with a high-voltage source (up to 50 kV at 50 mA). The accelerated Ge and Si ions was implanted to SiO2/Si substrates and analyzed.This contribution is concerned mainly on the analysis and optimization of laser-produced Ge and Si ion streams as well as on investigation of the direct implantation of these ions into SiO2 substrates. Targets were irradiated with the use of repetitive (up to 10 Hz) laser with energy up to 700 mJ in one pulse, at radiation intensities of ~1011 W/cm2. The ion stream parameters were measured using the time-of-fight method. The depth of ion implantation was determined by X-Ray Photoelectron Spectroscope (XPS). After the implantation the samples were annealed in different temperatures in range of to create nanocrystal structures and then analyzed by means of Raman Spectroscopy, Scanning Electron Microscopy (SEM) and Transmission Electron Microscopy (TEM). The work has been performed within SEMINANO project supported by EC (within 6FP).
9:00 PM - FF5.27
Effects of Stress on Surface Roughness in Chemical Etching of Silicon.
Jun Hyun Han 1 , Jae Hyun Kim 2 , Won Young Jeung 1 , Joost Vlassak 3
1 , Korea Institute of Science & Technology, Seoul Korea (the Republic of), 2 , Korea Institute of Machinery & Materials, Daejeon Korea (the Republic of), 3 , Harvard University, Boston, Massachusetts, United States
Show AbstractThe evolution of surface roughness caused by stress has recently attracted much attention. In particular, the stability of flat surfaces subjected to an in-plane stress under chemical etching conditions has been a point of concern. A number of theoretical studies on stress-dependant surface reactions and surface-roughness spectra have been performed, but there is not much experimental data available. Silicon is one of the most generally used materials in the micro-electromechanical systems (MEMS) industry, and chemical etching of silicon is an integral part of the device fabrication process. For complex N/MEMS devices where device components may be subject to high levels of stress and where the device may have undergone several chemical etch steps during fabrication, the effect of stress-induced surface roughness may have a significant impact on the reliability of the device. Thus, it is important to know the effect of stress on the surface roughness of silicon after chemical etching. In this work, the phenomenon of stress-induced roughness in chemical etching was investigated for silicon wafers using atomic force microscopy (AFM). The effect of a tensile stress on surface roughness is compared to that under compressive stress.
9:00 PM - FF5.28
Shape Evolution and Characterization of TiSi2 Structures Embedded in Si.
Anderson Sunda Meya 1 , David Smith 2 , Robert Nemanich 2
1 Physics & Dual Degree Engineering, Xavier University of Louisiana, New Orleans, Louisiana, United States, 2 Physics, Arizona State University, Tempe, Arizona, United States
Show Abstract9:00 PM - FF5.29
Characterization and In Vitro Bioactivity Study of Ternary Glass-ceramic Coatings.
Xanthippi Chatzistavrou 1 , Eleana Kontonasaki 2 , Nikos Kantiranis 3 , Lambrini Papadopoulou 3 , Petros Koidis 2 , Evripidis Hatzikraniotis 1 , Konstantinos Paraskevopoulos 1
1 Physics, Aristotle University of Thessaloniki, Thessaloniki Greece, 2 School of Dentistry, Aristotle University of Thessaloniki, Thessaloniki Greece, 3 School of Geology, Aristotle University of Thessaloniki, Thessaloniki Greece
Show AbstractA bioactive surface on inert dental ceramics can be achieved through the application of thin bioactive coatings. Bioactive glass incorporation in dental porcelain has been reported to produce bioactive mixtures, but has a negative effect on its mechanical properties. Al2O3 has been used as a reinforcing agent. The aim of the present study was to test the in vitro bioactive behavior of new ternary mixtures as coatings on dental glass ceramic substrates. Two types of mixtures were examined as coatings. The first was a mixture of a bioactive glass in the system SiO2-Na2O-CaO-P2O5 (B) with powder from a commercial dental leucite-fluorapatite glass ceramic (P) (IPS-d-Sign Dentine-Ivoclar, Liechtenstein) in PB 50-50 wt % ratio and the second consisted of the same two components (PB) with the addition of Al2O3 (A), in different wt ratios: PBA 50-42.5-7.5 wt %. The coated specimens were exposed to the same thermal cycle (Tmax 860oC, t↑60oC/min). The identification of the grown phases after thermal treatment was performed by Scanning Microscopy (SEM-EDS), Fourier Transform Infrared Spectroscopy (FTIR) and X-Ray Diffraction (XRD). The in vitro bioactivity was tested in Simulated Body Fluid (SBF) and the surfaces of reacted specimens were examined by SEM and FTIR. All coatings present rough surface, while FTIR spectra reveal the characteristic peaks of the crystallized phases in the bioactive glass network and dental glass ceramic (Na4Ca4Si6O18, Leucite and Fluorapatite) and furthermore the very limited participation of Al3+ in the bioactive glass network. In the PB coating the main phases detected by XRD were Sodium Calcium Silicate (Na4Ca4Si6O18) in 21% wt and Leucite (KAlSi2O6) in 19% wt and were dispersed in an amorphous glassy matrix (54% wt). In PBA the same phases were detected in 21, 16 and 57 wt percentages respectively. Furthermore a transformation of γ-Al2O3 to δ-Al2O3 was observed and a new phase of Nepheline (Na7.15Al7.2Si8.8O32) was detected in traces, indicating a coupling reaction between Al3+ from alumina and the remaining Na+ from bioactive glass network. The PB coatings presented precipitated apatite on their whole surface after 30 days immersion in SBF, while on the surfaces of the PBA coatings, after the same time period in SBF, apatite was developed sporadically. These results demonstrate a slight retardation of bioactive response of the coatings of the ternary mixtures compared to dual mixtures. The incorporation of Al2O3 to the PB coating can enhance the mechanical properties without degrading substantially the bioactivity. This finding renders these coatings as promising composite materials for potential dental applications.
9:00 PM - FF5.3
Preparation and Characterization of Three-dimensional Ordered Macroporous CeO2.
Shi Li 1 , Jingtang Zheng 1
1 , State Key Laboratory of Heavy Oil Processing, China University of Petroleum, Dongying China
Show Abstract9:00 PM - FF5.30
WITHDRAWN 11/15/07 Synthesis of Indium Oxide Octahedron Structures: Raman and Photoluminescence Studies.
Mukesh Kumar 1 , F. Singh 2 , V. Singh 1 , B. Mehta 1 , J. Singh 1
1 Physics, Indian Institute of Technology Delhi, Delhi, Delhi, India, 2 , Inter University Accelarator centre New Delhi, Delhi, Delhi, India
Show AbstractTues, Nov 27WithdrawnPosterFF5.30
9:00 PM - FF5.31
Photo-oxidation Adhesion of Fused Silica Glass and Different Glass with Silicone Oil by Using Xe2 Excimer Lamp.
Takayuki Funatsu 1 , Yuji Sato 3 , Yoshiaki Okamoto 2 , Masataka Murahara 3
1 Department of Mechanical Sciences and Engineering, Tokyo Institute of Technology, Meguro-ku Tokyo Japan, 3 Entropia Laser Initiative, Tokyo Institute of Technology, Meguro-ku Tokyo Japan, 2 , Okamoto Optic Co, Yokohama Kanagawa Japan
Show AbstractA strong adhesion of fused silica glasses that creates properties of heatproof, waterproof, and high transmittance in the region of ultraviolet [UV] to near infrared rays was demonstrated with photochemical reaction by vacuum-ultraviolet [V-UV] light.In general, the bonding method of optical materials is done using optical contact, heat-sealing, and adhesives. The optical contact method, however, requires a high precision roughness to use the intermolecular force. It is so weak in slight vibration that its field of use is limited. The heat-sealing method causes thermal distortion. On the other hand, epoxy resin, silicone adhesives, and UV hardening agent have low adhesive strength and low heat resistance of 150 degrees Celsius because they are organic matters.Thus, we developed a new boding method of fused silica glasses to have the property of high transmittance in the region of UV to near-infrared rays without adhesive strains, with photo-excited silicone oil by Xe2 excimer lamp irradiation. In this study, the relativity of adhesive strength to UV rays dose was clarified by trying photochemical adhesion of two silica glasses or a silica glass and a different glass. Silicone oil [-O-Si(CH3)2-O-]n was poured between the two silica glasses, silica glass and borosilicate glass, or silica glass and ultra-low expansion glass, and Xe2 lamp light was irradiated on the sample from the silica glass side. The methyl group (-CH3) of the silicone oil was photo-dissociated because the photon energy of Xe2 excimer lamp is higher than the bond energies of C-H and Si-C. At the same time, the oxygen on the glass surface was photo-excited by the UV photon to produce active oxygen O (1D). This active oxygen reacted with the dangling bond of Si of the silicone oil, transforming into glass. Thus, the organic silicone oil was changed into inorganic glass.UV and IR spectroscopes analyzed the silicone oil before and after excimer lamp irradiation. The results revealed that the absorption peak of the CH3 group at 3.4 micrometer decreased as the irradiation time of the excimer lamp increased, and the transmittance of the light in the 190 nm wavelengths conversely became high. The UV transmittance of the silicone oil was 29.2 % before the lamp irradiation; and it improved to 90.6 % after the irradiation for 60 minutes. The tensile strength of the sample bonded under the same condition was measured. It was confirmed that the adhesive strength of the silicone oil between the two silica glasses enhanced to 20 [MPa] after irradiation from 0 [MPa] before irradiation, that of the silica glass and borosilicate glass sample to 12 [MPa], and that of the silica glass and ultra-low expansion glass sample to 10 [MPa]. Furthermore, the adhesive strain of the bonded sample was measured by 3-dimension roughness meter (ZYGO), and the results showed no adhesive strain. This sample had the bonding strength of 6.5 [MPa] at 500 degrees Celsius.
9:00 PM - FF5.32
Layer Transfer Approach to Engineering Colloidal Photonic Crystals.
Qingfeng Yan 1 2 3 , Chee Cheong Wong 1 3 , Yet-Ming Chiang 2 3
1 School of Materials Science and Engineering, Nanyang Technological University, Singapore Singapore, 2 Department of Materials Science and Engineering, Massachusetts Institute of Technology, Boston, Massachusetts, United States, 3 , Singapore-MIT Alliance, Singapore Singapore
Show Abstract9:00 PM - FF5.33
Synthesis and Behavior of Oligonucleotide Functionalized Anisotropic Gold Nanostructures.
Jill Millstone 1 2 , Chad Mirkin 1 2
1 Chemistry, Northwestern University, Evanston, Illinois, United States, 2 , International Institute of Nanotechnology, Evanston, Illinois, United States
Show Abstract9:00 PM - FF5.34
Study of the Influence of the Microwave Heating in Growth of Gadolinium doped Cerium Oxide Nanorods.
Mario Godinho 1 , Caue Ribeiro 2 , Rosana Goncalves 1 , Elson Longo 3 , Edson Leite 1
1 Chemistry, UFSCAR, São Carlos, São Paulo, Brazil, 2 Instrumentação Agropecuária , EMBRAPA, São Carlos, São Paulo, Brazil, 3 Chemistry, UNESP, Araraquara, São Paulo, Brazil
Show AbstractTues, Nov 27New Presentation Date/Time with New PresenterFF12.33 to FF5.34Study of the Influence of the Microwave Heating in Growth of Gadolinium doped Cerium Oxide Nanorods. Edson R. Leite
9:00 PM - FF5.5
Adaptive Polyaniline Nanostructures for Application as a Sensor Platform.
Emer Lahiff 1 , Ben Schazmann 1 , Dermot Diamond 1
1 Adaptive Sensors Group, National Centre for Sensor Research, Dublin City University, Dublin 9 Ireland
Show AbstractInherently conducting polymers (ICPs) can be used in applications which exploit their tunable conductivity. Many ICPs are however unstable and degrade when exposed to atmospheric conditions. Polyaniline (PAni) is an example of a stable ICP whose conductivity can be controlled over ten orders of magnitude by changing the environment of the material. PAni switches reversibly between an insulating emeraldine base form and a conducting emeraldine salt form. PAni thus has huge potential in sensor applications. By adapting the morphology of PAni we can increase the surface area exposed to molecules which are to be detected. In this work we focus on PAni nanofibre structures which can be synthesised in a simple one-step process by interfacial polymerisation [1]. In particular we will demonstrate how this material can be functionalised for the detection of target species. Typically monomers can be functionalised and then subsequently polymerised to produce functionalised conductive polymers. Polymerisation using this approach can be complicated due to steric effects. Our focus is on the covalent modification of structures post-polymerisation. This can be achieved while simultaneously maintaining the intrinsic nano-morphology of the polymer material. Functionalisation is achieved by a quick and scalable reflux process. The resulting material is characterised using electron microscopy, nuclear magnetic resonance, cyclic voltammetry and a range of spectroscopic techniques. The modified PAni nanofibres can then be further adapted by attaching specific functional groups. Possibilities include the incorporation of pyrene moieties for optically signalling the presence of target species. Using the technique described we can demonstrate novel multifunctional materials whose properties can be externally tuned and controlled.Reference[1] J. Huang, R.B. Kaner, J. Am. Chem. Soc., 2004, 126, 851.Acknowledgement:Science Foundation Ireland 'Adaptive Information Cluster' Award, Grant No. SFI 03/IN.3/1361.
9:00 PM - FF5.6
Performance and Modeling of a Nanostructured Relative Humidity Sensor.
Mike Taschuk 1 , John Steele 1 , Mike Brett 1
1 Electrical and Computer Engineering, University of Alberta, Edmonton, AB, Alberta, Canada
Show AbstractGlancing angle deposition (GLAD) relies on highly oblique flux angles to create porous thin films. When combined with real-time substrate control and measurement of deposition rates, it is possible to produce high quality nanostructered thin films. Achievable structure morphologies include vertical columns, helical columns, chevrons and square staircases. The precise control of film morphology make GLAD films promising candidates for sensor applications.We have been investigating the use of metal oxide GLAD thin films as sensing layers for relative humidity sensors. The films used for the current work are composed of columns approximately 100 nm in diameter and several microns high. Our films are deposited on an interdigitated electrode capacitor (IDC) substrate. The final devices exhibit a three order of magnitude change in impedance from 0 to 100% relative humidity, with a sub-second response time. While these high-speed, high-response devices have applications to medical diagnostics or climate monitoring, the physics governing the device responsivity is not well understood.In this paper, we will demonstrate that the magnitude of the device responsivity is much too high to be explained with bulk parameters or experimental data from the literature. In an effort to better understand the device physics, a realistic model of the device is constructed. Our model includes the effects of the base IDC device, the columnar microstructure of the GLAD film, and the enhanced dielectric constant effects for water adsorbed on the film surface. A description of the model will be given, and a detailed comparison with experimental data will be presented.
9:00 PM - FF5.8
Patterning of Hard Coatings for Incorporation of Solid Lubricant Microreservoirs.
James Krzanowski 1 , Canan Guleryuz 1 , John Zimmerman 2
1 Mechanical Engineering, University of New Hampshire, Durham, New Hampshire, United States, 2 Materials Science, University of New Hampshire, Durham, New Hampshire, United States
Show AbstractHard coatings containing microscopic reservoirs for solid lubricant storage have the potential to advance the development of dry, self-lubricating coatings. In the present study we have investigated several methods for fabricating hard coatings that incorporate microscopic reservoirs. These methods all involve the use of placeholders on the substrate surface that are later removed after deposition of the hard coating. One class of methods involves the use of a solution containing ceramic beads, and the process is carried out by either spraying the solution onto the substrate surface or by dipping the substrate into the solution. This class of methods gives a random arrangement of the placeholders, with some agglomerates of beads also found on the surface. The second method is by photolithography, which gives a well-defined and ordered arrangement. Coatings using both of these methods were fabricated using TiN as the hard coating. The effectiveness of the microreservoirs for solid lubricant storage was examined by conducing pin-on-disk test using various solid lubricants, including graphite and indium, with alumina and aluminum balls as the counterfaces. The tribological performance of coatings with random arrangements of microreservoirs was scattered, with frictional values ranging between that of solid lubricant alone to that of the hard coating. Samples with the ordered arrangement of microreservoirs all performed well. Optical microscopy examination of the wear tracks showed the microreservoirs were generally successful at trapping the graphite lubricant during wear. With a sufficient density and appropriate distribution of the microreservoirs, the significant improvements in tribological performance can be realized.
9:00 PM - FF5.9
Fiber Reinforced Multiphase Polymer Composites by In situ Fiber Alignment.
Nancy Twu 1 , Richard Lehman 1
1 Materials Science and Engineering, Rutgers University, Piscataway, New Jersey, United States
Show AbstractA novel approach has been developed for the efficient dispersion and uniaxial alignment of nanofibers in dual phase polymer matrices based on the streaming flow that occurs when two immiscible polymer blends are melt processed under high shear conditions, such as in extrusion or injection molding. Recent research and development efforts have focused on a model system containing micron-size glass fibers in immiscible polymer blends prepared from high-density polyethylene, polypropylene, and/or polystyrene. This paper presents the mechanical properties measured for the model system and describes the flow paradigm that results in fiber orientation. A wide range of application is expected for this new class of structural materials in energy and environmental technologies.Composites prepared from low modulus (<1 GPa) polymers and high modulus (>70 GPa) reinforcing fibers typically suffer from several persistent problems. Good fiber alignment and high fiber loading factors have only been achieved with continuous fibers in rovings, mats, and lay-up structures in which the continuous fibers are carefully prepared and fabricated – at an associated cost. An essential need exists for short fiber nano composites in which the fibers are efficiently dispersed, aligned, and loaded in simple processing equipment where bulk commercial materials can be prepared at low cost. The process described in this paper, in-situ fiber alignment in immiscible polymer blends, provides such a method and the details of the processing and resultant properties will be presented. Improved load transfer, i.e. the reduction in critical fiber length, is achieved in the dual polymer system by employing a streaming phase that is intermediate in Young’s modulus between the inorganic fiber and the low modulus matrix phase. Although the degree to which this functionally graded interface can be engineered is limited, the combination of the graded interface and the excellent dispersion of the fiber produce tensile Young’s modulus values for the composites that have not previously been observed for the volume fractions of fibers (up to 5%) studied.Microscopy results show excellent fiber dispersion by this process in which the individual fibers are separated from the strand, coated with the high modulus polymer phase, and surrounded by the low modulus matrix. Young’s modulus values at five volume percent loading were 3.2 GPa, 63% higher that the best previous value (2.3 GPa) from the literature for a system of this type. The Young’s modulus value was also 65% of the theoretical from a volume rule of mixtures calculation, indicating remarkably good load transfer for a system containing components of such varied modulus.
Symposium Organizers
Oliver Hayden SIEMENS AG
Kornelius Nielsch Max-Planck-Institute of Microstructure Physics
Nina Kovtyukhova The Pennsylvania State University
Frank Caruso University of Melbourne
Teodor Veres Industrial Materials Institute-NRC
FF6: Self-Assembly
Session Chairs
Nina Kovtyukhova
Debra Rolison
Wednesday AM, November 28, 2007
Room 200 (Hynes)
9:00 AM - **FF6.1
Rapid Fabrication of 3-D Hierarchical Metal Oxides using Patterned Block Copolymers as Templates.
James Watkins 1
1 , University of Massachusetts-Amherst, Amherst, Massachusetts, United States
Show AbstractOrdered nanostructured metal oxide films are excellent substrates for sensing and detection, catalysis, separations, photonics, magnetics and electronic devices. In addition to controlling pore size, shape and organization, it is desirable to exert simultaneous control over device level features and patterns. We developed a new approach to mesoporous silicate, carbon and titanium dioxide films that involves the infusion and selective condensation polymerization of metal oxide or carbon precursors within one phase domain of highly ordered, preformed block copolymer templates using supercritical carbon dioxide as the reaction medium. Separation of the template formation and infusion steps enables specification of structure at both the local and device levels wholly in the polymer template prior to infusion of the inorganic phase. For example, phase-segregated block copolymer films with cylindrical domains oriented normal to the substrate can be replicated to yield corresponding silica or titania arrays of continuous, perpendicular channels with tunable pore sizes. Here we discuss the direct, device level patterning of ordered mesoporous oxide films using optical lithography for selective area exposure of amphiphilic block copolymer templates containing photoacid generators prior to precursor infusion. Upon exposure the photo-generated acid partitions to the hydrophilic domains of the exposed block copolymer. Subsequent infusion with solutions of TEOS followed by calcination yields silica films patterned at the nm length scale by the block copolymer morphology and at the device length scale by the selective area exposure, without the need for subsequent etching. Efforts in this area are initially targeted towards interconnect structures for microelectronics and towards encapsulation of active nanoparticles within mesoporous oxides with promising results indicating good potential for scaling.
9:30 AM - FF6.2
A General Method for the Nanofabrication of Double-Gyroid Films.
Hugh Hillhouse 1
1 School of Chemical Engineering, Purdue University, West Lafayette, Indiana, United States
Show AbstractThe double-gyroid (DG) structure of self-assembling systems is very attractive for a host of potential applications, particularly if after self-assembly one component can be removed to yield a bicontinuous nanoporous structure. Nanoporous materials with this well-defined interconnected pore structure having the Ia-3d topology have long been sought due their high surface area and possible use to form interpenetrated nanostructured materials. Nanoporous powders with the DG structure have been synthesized by several techniques, but thin films have proved more difficult. Only two reports are known [1, 2]. Here, we report a general, scalable, and robust method to fabricate highly ordered double-gyroid films of a large range of materials [3]. The synthesis is based on a new method to precisely control the interfacial curvature of surfactant molecules and silica oligomers during evaporation induced self-assembly. The self-assembly proceeds at room temperature using inexpensive commercially available reagents and yields (after calcination or solvent extraction) uniform crack-free pure DG phase nanoporous silica films on substrates or electrodes as large as 4” in diameter. 2D grazing incidence small-angle x-ray scattering (GISAXS) patterns from the films show 96 diffraction peaks that can all be indexed with a (211) oriented film that exhibits systematic extinctions based on Ia-3d symmetry. Comparison of observed and simulated transmission electron microscopy (TEM) images show that the pore topology is based on the zero mean curvature G-surface (the tricontinuous “double-gyroid” topology) with a pore diameter of approximately 4 nm. The accessibility of the underlying electrode has been studied by electrochemical impedance spectroscopy and shows that roughly 30% of the electrode area is accessible via the DG nanopores. Diffusion coefficients of solution phase probe molecules such as ferrocene dimethanol have been measured and are only reduced from bulk solution diffusivities by 50%. As a result, electrodeposition in the pore network is facile. The nanoporous silica molds have been used to fabricate inverse double-gyroid films of platinum, bismuth, Bi2Te3, PbTe, PbSe, and CuInSe2. These 3D nanostructures have obvious implications for electrochemical, thermoelectric, and photovoltaic devices. However, the focus of the presentation will be on the self-assembly, controlling interfacial curvature, and the development of robust process methods.References[1] V.Z.H. Chan, J. Hoffman, V.Y. Lee, H. Iatrou, A. Avgeropoulos, N. Hadjichristidis, R.D. Miller, and E.L. Thomas, Science, 286, (1999) 1716-1719.[2]R.C. Hayward, P.C.A. Alberius, E.J. Kramer, and B.F. Chmelka, Langmuir, 20, (2004) 5998-6004.[3] Urade VN, Wei TC, Tate MP, Kowalski JD, & Hillhouse HW, “Nanofabrication of double-gyroid thin films,” Chem. Mater. 19 (4), 768 (2007).
9:45 AM - FF6.3
Stable Non-FCC Colloidal Crystals through DNA Directed Assembly and Ligation.
Margaret Shyr 1 , Emily Pinheiro 1 , Pierre Wiltzius 1 , Paul Braun 1
1 Materials Science and Engineering, University of Illinois , Urbana, Illinois, United States
Show AbstractDNA ligation and a linker-configuration can be used to augment DNA-mediated assembly of colloidal structures by allowing permanent fixation of hybridized DNA while leaving non-hybridized DNA available for subsequent assembly steps. We have employed DNA-functionalized colloids and glass substrates to demonstrate that hybridization can produce sequence-specific assembly of colloidal structures. DNA ligase was used to covalently link ssDNA on the glass substrate to ssDNA on the PS colloids. Ligation was also used to covalently-link DNA-PS colloidal aggregates. By convective assembly, multilayers of DNA functionalized polystyrene colloids were deposited; following ligation and rinsing, the DNA of the first colloidal layer was ligated to the DNA on the surface. Subsequent layers, non-ligated layers could be removed. By cycling this process and utilizing particles of different diameters with different DNA sequences, 3D structures with FCC, AB2, and other packings were built. Samples were robust enough to withstand multiple rounds of buffer and blocking solution changes. The crystals were characterized by fluorescence and confocal microscopy. Using similar chemistry, DNA-functionalized silica particles were ligated to both polystyrene colloids and glass surfaces. DNA-ligated polystyrene-silica colloidal crystal structures can be selectively etched to form non-close-packed colloidal crystals.
10:00 AM - **FF6.4
Directed Assembly of 3D Structures using Liquid Crystalline Solvents.
Gary Koenig 1 , Juan de Pablo 1 , Nicholas Abbott 1
1 Department of Chemical and Biological Engineering, University of Wisconsin, Madison, Wisconsin, United States
Show AbstractThis talk will address the use of liquid crystalline solvents to direct the assembly of microscopic and nanoscopic particles into organized assemblies. Experimental methods and complementary theory that permit characterization of the ordering of liquid crystals in the vicinity of nanoparticles will be described, and the influence of this ordering on interparticle forces will be discussed. The roles of geometrical confinement and particle surface chemistry will also be addressed. Finally, principles for the design of functional and chemically responsive materials will be described.
11:00 AM - FF6.5
Self-Organization and Nanocluster Formation Processes in Nonlinear Molecular Chains.
I. Tereshko 1 , Volha Abidzina 1 , I. Elkin 2 3
1 , Belarusian-Russian University, Mogilev Belarus, 2 , Research and Production Enterprise "KAMA VT" Plc., Mogilev Belarus, 3 , "NANTES - Systemy Nanotechnologii" Plc., Boleslawiec Poland
Show AbstractThe goal of this work is to investigate self-organization processes in molecular chains.Computer simulation has been performed by means of a molecular dynamics method. Morse potential was chosen as the potential of atomic interaction. Molecular chains were exposed to low-energy ion impact by two means: mono beam and plasma treatment. The amount of the energy transferred to molecules of the chain was varied in wide range but it must be less than the energy needed to break the chain.Chains with water molecules that were cut from microcrystal of ice (homogeneous chains) as well as net of hydrogen bonds (heterogeneous chains) were under our investigations. In last case areas with periodical structure symbolize embedded crystal nanoclusters in the whole disordered medium. We showed that nonlinear oscillations become excited in the chains after low-energy ion impact and as a result of them molecules become stabilized in new positions, which results in the formation and development of new metastable molecular groups (nanoclusters). In homogenous chains formed nanoclusters correspond to elements of “molecular memory”.We showed that in homogeneous molecular chains critical energy needed for self-organization processes development is less than for nonlinear molecular chains with already embedded clusters. In this case nanocluster becomes an active zone which determines further self-organization processes. It is clusters that provide new complexes of physical and chemical properties. This computer model can be also used for simulation of low-energy ion impact on polymers and biological objects.
11:15 AM - FF6.6
Binary Nanoparticle Superlattices in the Semiconductor-Semiconductor System: CdTe and CdSe.
Zhuoying Chen 1 2 , Stephen O'Brien 1 2
1 Applied Physics and Applied Math, Columbia University, New York, New York, United States, 2 Materials Research Science and Engineering Center (MRSEC) , Columbia University, New York, New York, United States
Show Abstract11:30 AM - **FF6.7
Self-Assembly Approaches to Three-Dimensionally Structured Nanomaterials.
Younan Xia 1
1 Biomedical Engineering, Washington University, St. Louis, Missouri, United States
Show Abstract12:00 PM - FF6.8
Self-Assembled Multilayered Nanoparticular Drug Delivery System for Cancer Therapy.
Vadim Pinskiy 1 , Chandra Valmikinathan 1 , Xiaojun Yu 1
1 Chemical, Biomedical and Materials Engineering, Stevens Institute of Technology, Hoboken, New Jersey, United States
Show AbstractIn the year 2007, over half-a-million people are projected to perish from cancer, amounting to the loss of more than 1,500 lives each day and costing the nation approximately 206.3 billion dollars, according to the National Institute of Health. The search for a cure against cancer has gripped the scientific community for decades, resulting in the development of a wide range of systems to diagnose, treat and obtain images in-situ. Layer-by-layer (LbL) self-assembly technique is based on the deposition of oppositely charged polyelectrolytes to produce a well-defined multilayer. Such a treatment would aim to incorporate intelligent selectivity with proven anti-cancer drugs to allow for accurate delivery and a controlled release of the drug, significantly increasing the efficiency of the treatment.In this study, layer-by-layer deposition of polyethyleneimine [PEI], multiple bilayers of polyacrylic acid [PAA] and chitosan [CH] onto poly (lactic-co-glycolic acid) [PLGA] micro and nanoparticles to provide a stable platform for the loading and controlled release of the anti-cancer drug Doxorubicin [DOX] for cancer therapy was used as model for cancer therapy. The materials chosen have surface carboxylic and amine groups allowing for functionalization with antibodies for targeted and controlled release. The human colon adenocarcinoma grade II cell line HT-29, was chosen as the primary cellular model for the experiment. The stability, loading / release efficiency, pH sensitivity, and cytotoxicity of the system have been investigated. It was found that DOX could be realiably and efficiently loaded into the particles and its release would be governed by the pH sensitive swelling and dissociation of the PAA and CH biolayers. A 14 day release study showed that the release of DOX at pH 4 was 97% and the release of DOX at pH 7 was 77%. The release of the loaded drug was shown to occur in a steady linear fashion, rather than a burst release which has been characteristic of most such systems. the release of DOX was showns to be a factor of the overall pH sensitivity of the system, increasing gap in the overall release profiles of the particles at pH 4 and 7. Cytotoxicity study indicated that the released drugs could efficiently kill cancer cells.
12:15 PM - FF6.9
A Biocompatible Bottom-Up Route for Preparation of Hierarchical.Hybrid and Bio-Hybrid Materials
Francisco delMonte 1 , Maria C. Gutierrez 1 , Maria L. Ferrer 1
1 Institute of Materials Science at Madrid, Spanish Research Council, Madrid Spain
Show AbstractStructurally organized inorganic materials are attracting much attention for emerging applications (e.g., catalysis, storage and controlled release systems, smart fillers and biotechnologies) since they offer a desirable combination of high internal reactive surface along narrow nanopores with facile molecular transport through broad “highways” leading to and from these pores. The incorporation of biomolecules within such organized materials with a full preservation of their native structure would result in the achievement of functional materials with increased levels of space organization; e.g. hierarchically organized functional materials. In this work, we have applied the ISISA (ice-segregation induced self-assembly) process for the preparation of hierarchical hybrid and bio-hybrid materials exhibiting a very sophisticated structure with up to six levels of space organization. The ISISA process consists on the unidirectional freezing (at -196 degrees C) of the hydrogel nanocomposites. Upon freezing, the ice formation (hexagonal form) causes every solute originally dispersed in the hydrogel to be segregated from the ice phase. After freeze-drying, the resulting hierarchical structures consists on well aligned micrometer-sized pores in the freezing direction corresponding to the empty areas where ice crystals originally resided, being the macrostructure supported by the matter accumulated between adjacent ice crystals.
12:30 PM - **FF6.10
Micro-and Nanostructured Molecularly Imprinted Polymers for Sensor Applications.
Karsten Haupt 1 , Aude Cordin 1 , Bernadette Tse Sum Bui 1
1 Bioengineering, UMR CNRS 6022, Compiegne University of Technology, Compiegne France
Show AbstractMolecularly imprinted polymers (MIPs) are synthetic receptors produced by polymerising functional and cross-linking monomers in the presence of a target molecule that acts as a molecular template. MIPs may be used in the place of biomolecules as recognition elements in various analytical systems including chemical sensors. Different new preparation methods and applications of these materials will be described.For certain sensor designs, the combination of molecular imprints with nanostructured materials is of particular interest. The creation of polymer surfaces nanostructured at two levels by simultaneous nanomoulding and molecular imprinting is described. A template surface of a well defined, regular nanoporosity, or carrying other nanostructures, was generated. The imprinting target molecule was coupled to this nanostructured template. Polymer nanomoulding resulted in polymer surfaces carrying nanofilaments or other nanostructures with surface molecular imprints. The number and size of the nanostructures could be fine-tuned by adjusting the morphology of the initial template surface. The molecular imprinting effect was demonstrated using fluorescent ligand or radioligand binding. The usefulness of these molecularly imprinted surfaces as recognition layers in optical sensors was evaluated. The surfaces were also characterised with respect to additional features. Fine-tuning of the wetting properties was possible depending on the dimensions of the nanofilaments and the kind of monomers used, as revealed by contact angle measurements and direct observation of the formation of water microdroplets using environmental scanning electron microscopy. Thereby it was possible to enhance the polymer-related hydrophilic or hydrophobic properties, or to completely inverse the wetting behavior. Another useful feature of these nanostructured surfaces is their possible generation in the form of composite materials for additional field exaltation effects that are useful for sensing applications.For the design of integrated biochips, MIPs need to be deposited in the form of microdot arrays on transducer surfaces. This has been achieved using micro and nanofountain pen devices. The MIP arrays were visualised by fluorescence microscopy via the binding of fluorescent target molecules. Analyte binding was also revealed by micro-Raman spectroscopy. Their usefulness as recognition elements in sensors was evaluated using acoustic microchip multisensors.
FF7: Layer-by-Layer Deposition
Session Chairs
Nicholas Abbott
Angus Johnston
Wednesday PM, November 28, 2007
Room 200 (Hynes)
2:30 PM - **FF7.1
Nano and Micro-Textured Surfaces From Layer-by-Layer Assembled Nanoparticles.
Michael Rubner 1
1 Materials Science and Engineering, MIT, Cambridge, Massachusetts, United States
Show AbstractLayer-by-layer assembly techniques have been used to control the surface texture and chemistry of thin films comprised of nanoparticle/polymer or nanoparticle/nanoparticle assemblies. By controlling assembly conditions such as solution pH, surface features can be varied from the nano-scale to the micron-scale. With suitable surface chemistry, the resultant nanoparticle assemblies can be rendered superhydrophobic or superhydrophilic. The mechanical durability of these assemblies can be significantly improved by either thermal or hydrothermal calcination reactions. In the later case, temperatures as low as 130°C have been utilized, thereby allowing the use of a wide range of engineering plastics as substrates. Investigations of these textured surfaces as biomaterial interfaces, functional Bragg reflectors, anti-fogging/anti-reflection coatings and transparent superhydrophobic coatings will be discussed.
3:00 PM - FF7.2
Layer-by-Layer Assembly of Nano Brick Wall Composite Oxygen Barrier.
Jaime Grunlan 1 2 3 , Woo-Sik Jang 1
1 Mechanical Engineering, Texas A&M University, College Station, Texas, United States, 2 Materials Science and Engineering, Texas A&M University, College Station, Texas, United States, 3 Chemical Engineering, Texas A&M University, College Station, Texas, United States
Show AbstractThin films of sodium montmorrilonite clay and a cationic polyacrylamide have been produced by alternately exposing polyethylene terephthalate film to dilute aqueous mixtures containing each ingredient. After 30 clay-polymer layers have been deposited, the resulting transparent film, with a thickness of 571 nm, exhibits an oxygen transmission rate below the detection limit of commercial instrumentation (< 0.005 cm3/m2/day). This level of oxygen barrier, which is unprecedented for a clay-filled polymer composite, is believed to be due to a nano brick wall structure comprised of completely exfoliated clay in polymeric mortar. This brick wall creates an extremely tortuous path for small molecules at thicknesses below 500 nm. With an optical transparency greater than 90% and potential for microwaveability, this thin film composite is a good candidate for foil replacement in food packaging and is potentially useful for flexible electronics packaging.
3:15 PM - FF7.3
Conformal Layer-by-Layer Alkoxide Deposition on Hydroxyl Amplified Nanostructured 3-D Bioclastic Templates.
Michael Weatherspoon 1 , Matthew Dickerson 1 , Guojie Wang 2 , Ye Cai 1 , Samuel Shian 1 , Simon Jones 2 , Seth Marder 2 , Kenneth Sandhage 1
1 Materials Science and Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States, 2 Chemistry and Biochemistry, Georgia Institute of Technology, Atlanta, Georgia, United States
Show AbstractScaleable and reproducible control over the morphology and chemistry of three-dimensional (3-D) micro- and nano-architectured materials remains a significant challenge. Certain biological micro-organisms are adept at assembling 3-D biomineralized (bioclastic) microstructures with precise shapes and fine (nanoscale) features. An exceptional variety of intricate 3-D structures are generated by aquatic micro-algae known as diatoms. Diatoms are single-celled organisms that assemble microshells (frustules) comprised of silica nanoparticles. Consequently, diatom aquacultures can provide highly scaleable, reproducible and morphologically diverse silica scaffolds that may be utilized for the fabrication of functional microdevices. Over the past decade, sequential layer-by-layer deposition techniques on suitably-functionalized surfaces has proven to be a powerful means of introducing inorganic thin films of functional metal oxides on morphologically complex substrates. In particular, stepwise hydrolysis of chemisorbed metal alkoxides on hydroxylated surfaces (a wet chemical technique analogous to ALD known as the surface sol-gel process) has been shown to result in highly-controlled growth of metal oxide thin films. We report here the functionalization of diatom frustule surfaces for enhanced surface sol-gel processing via amplification of the surface reactivity through growth of a dendritic ultra-thin organic film bearing hydrolytic functional groups. An automated surface sol-gel process was then used to apply conformal SnO2 coatings via layer-by-layer reaction of these hydrolytic functional groups with Sn (IV) isopropoxide. A device built from such SnO2-coated diatom frustules acts as a sensitive detector for NO gas. This straightforward sequence of reactions may be applied for the controlled deposition of a range of functional inorganic chemistries on complex 3-D bioclastic or synthetic surfaces.
3:30 PM - FF7.4
Designing DNA Materials For Targeted Drug Delivery.
A. Johnston 1 , C. Cortez 1 , Frank Caruso 1
1 Dept. of Chemistry and Biomolecular Eng., University of Melbourne, Parkville, Victoria, Australia
Show AbstractFF8: Electrodeposition
Session Chairs
Thomas Moffat
Kornelius Nielsch
Wednesday PM, November 28, 2007
Room 200 (Hynes)
4:15 PM - **FF8.1
Effect Of Additives For Ni Electrodeposition On Submicrometer Trenches.
Chang Hwa Lee 1 , Thomas Moffat 1
1 , NIST, Gaithersburg, Maryland, United States
Show Abstract4:45 PM - FF8.2
Fabrication of Nanostructures Based on Wet-nanocoatingFabrication of Gold Nanowires with Ultrahigh Axis Ratio and Its Application to the Functionalized Conductive Materials.
Wakana Kubo 1 , Kentaro Miyoshi 1 , Toyoki Kunitake 1 , Shigenori Fujikawa 1
1 Innovaive Nanopatterning Research Laboratory, RIKEN, 2-1, Hirosawa, Saitama, Japan
Show AbstractMetal nanostructures such as nanoparticles, nanorods and nanowires have drawn great attention because of the rich variety in applicational use from its unique property. We already reported the fabrication of sub-20 nm silica wall arrays prepared by the surface sol-gel process as a wet nanocoating.1 In this technique, the thickness of the nanowalls is easily controllable in sub-50 nm region, because the thickness of the wall corresponds to that of the coating layer prepared by the molecular absorption. Here, we report the fabrication of metallic wires with ultrahigh axis ratio based on this approach. In this study, gold nanowires were prepared in the following procedures.First, the nickel layer was prepared by the electroless plating on the nanoline template, and then the gold was introduced in the nickel layer by the displacement plating of the nickel. After the removal of the residual nickel and the polymer template, the nanowire arrays were obtained. Finally, those nanowires were detached from a solid substrate to a solution. The size of the obtained wire was ca. 300 nm (width) x ca. 50 nm (thickness) x ca. 0.5 mm (length). Elemental analysis measurements revealed that the nanowires consisted of pure gold. Furthermore, the electrochemical properties of the gold nanowires were investigated. Finally, the gold nanowires were modified with functional molecules for the applicational use as conductive materials.Ref. 1 S. Fujikawa, R. Takaki and T. Kunitake, Langmuir, 2006, 22, 9057-9061.
5:00 PM - FF8.3
Interface Stability between Ni-P film plated by Supercritical Electroless Plating and the Polymer Substrate.
Byung-Hoon Woo 1 , Masato Sone 1 , Akinobu Shibata 1 , Chiemi Ishiyama 1 , Kaoru Masuda 1 2 , Masahiro Yamagata 1 3 , Yakichi Higo 1
1 Precision and Intelligence Laboratory, Tokyo Institute of Technology, Yokohama Japan, 2 , Kobe Steel, Kobe Japan, 3 , Kobelco Research Institute, Kobe Japan
Show AbstractThere has been a great deal of concern attracted to polymeric materials for microelectronic application, due to the possibility which polymers can be applied to the manufacturing of various flexible and low-cost electronic devices. For realization of future flexible electronics, fabrication of high-density circuits with the micro-scale feature size is of great importance. We propose a novel technique using Supercritical Carbon dioxide (Sc-CO2) exhibiting a unique hybrid of gas-like and liquid-like property. It can be served as precursors and reagents for following processing steps. The combination of precursor, reagent solubility and the absence of surface tension enables solution-based chemistry and processing in a supercritical medium that behaves much like a gas. That is ideal for the fabrication of nano-structured components. We have developed new a electroless plating technique on polymer substrate which is hybrid technique of Supercritical Nano Electroless Plating (SNELP) which the electroless plating is conducted in emulsion of CO2 in solution and Supercritical Fluid Immersion Catalyzation(Scf-ImC) which palladium catalysts were immersed on substrate using Sc-CO2. In this report, the interface stability between the deposited Ni-P film and the polymer substrate is discussed.Polyimide, Kapton® was selected for polymer substrate. Pd nuclei for electroless plating were obtained by immersion in Supercritical CO2 with Pd acetyl-acetonate at 353K and 15MPa. The catalyzation reaction in sc-CO2 was discussed as comparison with conventional catalyzation reaction which is immersion in PdCl2/SnCl2 solution. Although, the Pd-nuclei were difficult to deposit on polyimide by conventional method, lots of Pd nuclei deposited on polyimide substrate by Scf-ImC. Nuclei growth on polyimide by Scf-ImC has been elucidated with functions of plating time and concentration of Pd catalysis in CO2. We observed the nuclear growth by SEM, AFM and Optical Micro-scope. These result dictated that Scf-ImC is an effective process for Pd-nuclei formation on polymer substrate. Moreover the electroless Ni-P plating reaction was conducted on the catalyzed polymer substrate. Conventional electroless plating with plating solution gave Ni-P film in which many peeled parts existed. Such peeled parts were considered to come from hydrogen bubbles as sub-reaction of electroless plating. On the other hand, SNELP-reaction that was conducted in emulsion of CO2 in electroless plating on polymer substrates with Pd-nuclei gave uniform Ni-P film without peeled parts. This difference was originated from dissolution of hydrogen bubble in dense CO2, since the hydrogen bubble causes the defect between Ni-P film and polymer substrate. Thus, the miscibility between CO2 and hydrogen suppress delamination, which was originated from hydrogen bubble, between Ni-P film and polymer substrate. Our method can improve the interface stability between the plated Ni-P film and the polymer substrate.
5:15 PM - FF8.4
Anisotropic Island Growth: A New Approach for Thin Film Electrodeposition.
Lian Guo 1 , Peter Searson 1
1 Materials Science and Engineering, Johns Hopkins University, Baltimore, Maryland, United States
Show AbstractElectrochemical deposition of metals onto foreign substrates usually occurs through Volmer-Weber island growth, and hence the structure and properties of thin films are critically dependent on the mechanism of nucleation and growth. High nucleus densities are essential for achieving island coalescence at small thickness. Here we demonstrate a new approach to control thin film microstructure through control of island geometry. By promoting anisotropic island growth, film coalescence can be achieved at smaller thickness and with lower island densities.
5:30 PM - FF8.5
Electrodeposition of an Inorganic Polymer Electrolyte for Nanoscale Secondary Batteries.
Timothy Arthur 1 , Amy Prieto 1
1 Chemistry, Colorado State University, Fort Collins , Colorado, United States
Show AbstractAs the miniaturization of microelectronics proceeds, so does the increased need for power sources to integrate into nanoscaled devices. The popularity of high-rate, high-energy consumption portable electronics requires smaller, faster secondary batteries. However, the mechanical fragility of devices increases dramatically as the dimensions decrease, thus, an all solid-state battery is desirable to power portable nanoscale devices. Very little research has been done to study the effect of nanoscale polymer electrolytes within secondary galvanic cells. Here we report the initial stages of integrating one potential polymer electrolyte, poly-[Zn(4-vinyl-4’methyl-2,2’-bipyridine)3](PF6)2 , into a three-dimensional nanoscale architecture. Reductive electropolymerization allows direct deposition onto a variety of non-planar, conducting surfaces, rendering conformal thin films (<100 nm) whose thickness can be controlled by multiple electrochemical parameters. The uniformity of the polymer film will be presented by comparing cyclic voltamogramms of unmodified and modified electrodes, depicting that the polymer layer has completely covered multiple substrates. Atomic force microscopy and scanning electron microscopy micrographs will also be presented that illustrate the morphology of the polymer layer upon both a planar surface and nanowires. Finally, preliminary electrical resistivity measurements will be discussed.
5:45 PM - FF8.6
Fabrication of Three-dimensional (3D) Conducting Polymer Structures with High Aspect Ratios by Template-Free Localized Electrochemical Deposition (LECD).
Seung Kwon Seol 1 , Ji Tae Kim 1 , Jung Ho Je 1 , Yeukuang Hwu 2 , Giorgio Margaritondo 3
1 Materials science and engineering, POSTECH, Pohang Korea (the Republic of), 2 Physics, Academia Sinica, Taipei Taiwan, 3 physics, EPFL, Lausanne Switzerland
Show AbstractConducting polymers such as polyaniline (PANI) and polypyrrole (PPy) are interesting materials for diverse applications due to their distinctive electrical properties, mechanical flexibility, volume-changing properties, and relatively low production cost. Their practical applications often require the production of patterned structure on micrometer and nanometer length scales. Traditionally, such patterns were achieved with several fabrication methods such as photolithography, area selected electropolymerization, and soft lithography. However, most of these methods are limited to two-dimensional (2D) pattering of thin polymer films. The fabrication of 3D conducting polymer with high aspect ratios (HAR) structures remains a challenge. Such structures are particularly important in view of a broad range of device applications in electronics, biomedical devices, and micro-systems such as actuators and sensors. We present a novel strategy that produces 3D PPy HAR structures via template free localized electrochemical deposition (LECD). This strategy, based on accurate control of the moving electrode, consists in inducing homogeneous generation of radicals on the grown structure during deposition. This enabled us to fabricate HAR (over 50:1) structures with different geometries -- from simple straight lines to structures with complex features. The practical cases presented here are of course only a few of the many different examples of conducting polymer HAR micro and nanostructures that our novel LECD approach can fabricate by appropriate tuning of the growth parameters.
Symposium Organizers
Oliver Hayden SIEMENS AG
Kornelius Nielsch Max-Planck-Institute of Microstructure Physics
Nina Kovtyukhova The Pennsylvania State University
Frank Caruso University of Melbourne
Teodor Veres Industrial Materials Institute-NRC
FF9: Fibers
Session Chairs
Thursday AM, November 29, 2007
Room 200 (Hynes)
9:00 AM - FF9.1
Self-organized TiO2 Nanotubes: Formation, Properties and Applications.
Jan Macak 1 , Sergiu Albu 1 , Andrei Ghicov 1 , Patrik Schmuki 1
1 Dep. of Materials Science, University of Erlangen, Erlangen, Bavaria, Germany
Show AbstractSelf-organized high aspect ratio titania nanotubular layers can be produced by tailored electrochemical anodization of metallic titanium (1-5). Key to obtain highly defined tubes is an optimized and controlled treatment in fluoride containing solutions. By optimizing the local electrochemical conditions, layers consisting of highly ordered TiO2 nanotubes with a length of several 100 micrometers can be grown on Ti surfaces. The diameters that can be obtained range from 20 nm to 300nm .Titanium dioxide is a highly functional material that has numerous interesting properties, for example, in solar energy conversion, catalysis of decomposition of organic compounds (self-cleaning), wettability and biocompatibility. Therefore this simple approach to produce this highly defined nanoscopic form of TiO2 bears a high potential for technological exploitation. These nanotubular structures show a high efficiency for photocatalytic decomposition of organic pollutants (6). It is possible to achieve size-selective flow-through membrane structure (7). They can be doped by N to extend their photoelectrochemical response to the visible light range (8,9). Furhter, they can be used as a template for depositon of secondary material (10). Issues about the applications of the nanotuebs will be discussed in more detail.References:1. V. Zwilling, E. Darque-Ceretti, A. Boutry-Forveille, D. David, M.Y. Perrin, M. Aucouturier, Surf. Interface Anal. 27, 629 (1999).2. J. M. Macak, K. Sirotna and P. Schmuki, Electrochim. Acta, 50, 3679 (2005).3. J. M. Macak, H. Tsuchiya and P. Schmuki, Angew. Chem., 44, 2100 (2005).4. J. M. Macak, H. Tsuchiya, L.V. Taveira, S. Aldabergerova, P. Schmuki, Angew. Chem. 44, 7463 (2005)5. S. Albu, A. Ghicov, J. M. Macak, P. Schmuki, Phys. Stat. Sol. (RRL) 1, R65 (2007).6. J.M. Macak, M. Zlamal, J. Krysa, P. Schmuki, Small, 3, 303 (2007).7. S.P. Albu, A. Ghicov, J. M.Macak, R. Hahn, P. Schmuki, NanoLetters 7, 1286 (2007).8. A. Ghicov, J. M. Macak, H. Tsuchiya, J. Kunze, V. Haeublein, L. Frey, P. Schmuki, NanoLetters 6, 1080 (2006).9. J. M.Macak, A.Ghicov, R.Hahn, H. Tsuchiya, P. Schmuki, J. Mater. Res. 21, 2824 (2006)10. J. M. Macak, B.G. Gong, M. Hueppe, P. Schmuki, Adv. Mater, in press.
9:15 AM - FF9.2
Controlling Water Transport Through Carbon Nanotube Membranes.
Zuankai Wang 1 , Lijie Ci 2 , Li Chen 3 , Saroj Nayak 3 , Pulickel Ajayan 2 , Nikhil Koratkar 1
1 Department of Mechanical,Aerospace and Nuclear Engineering, Rensselaer Polytechnic Institute, Troy, New York, United States, 2 Department of Materials Science and Engineering, Rensselaer Polytechnic Institute, Troy, New York, United States, 3 Department of Physics, Applied Physics and Astronomy, Rensselaer Polytechnic Institute, Troy, New York, United States
Show AbstractThe wettability and capillary transport of water through carbon nanotube membranes have generated much interest due to the fundamental biological interest of water flow through nano-channels and for important applications such as drug delivery, micro-filtration, and nano-fluidic technologies. We demonstrate here that water can be efficiently wet and pumped through super-hydrophobic aligned multiwalled carbon nanotube membranes by the application of a small positive DC bias. At a critical bias (1.7V), with the membrane acting as the anode, there is an abrupt transition from a super-hydrophobic to hydrophilic state. As this transition takes place, water sinks into the membranes at rates proportional to the applied voltage.Interestingly, this phenomenon is strongly polarity dependent; for a negative bias applied to the membrane, two orders of magnitude higher bias is required for the transition. We have systematically studied the stability of water droplets placed on nanotube membranes for various applied voltages and polarities of the membranes. For cases of the membranes being used as both anode and cathode, the droplet always gets pumped toward the anode (positive bias) side. When an AC voltage is applied across the membranes, the droplet splits equally in both directions, as the membranes alternate as anode and cathode. Using first principles density functional theory we show that the strong polarity dependence of the water transport is caused by electro-chemical oxidation of the nanotube membrane anode which causes the preferential wetting on the anode side. The polarity and voltage dependent electro-wetting that we report could be used to controllably wick fluids through nanotube membranes and could find various applications in nano-fluidic and separation technologies.
9:30 AM - FF9.3
Nano-Surface Modification on Titanium Implants for Drug Delivery.
Chang Yao 1 , Thomas Webster 1
1 , Brown University, Providence, Rhode Island, United States
Show AbstractThe surface layer of titanium implants, i.e. titanium dioxide, is responsible for their inertness within human body. However, their cytocompatibility and long-term efficacy when used as orthopedic implants are limited without further surface engineering. In this study, an electrochemical method known as anodization was used to create titania nanotubular structures on a titanium implant surface. These nanotubes measured 60 nm in inner diameter and 200 nm deep. In vitro studies found that anodized surfaces with nanotube arrays were favored by bone-forming cells compared to unanodized surfaces. Furthermore, these nanotubular structures were further utilized as novel prolonged-release drug delivery systems. It is proposed that this system with multi-functional drug release can inhibit infection and wound inflammation while increasing new bone formation. For this purpose, antibiotic drugs (penicillin and streptomycin) and an anti-inflammation drug (dexamethasone) were loaded into these nanotubular structures by either physical adsorption or electrochemical deposition. To mediate interactions between drug molecules and nanotube walls, anodized titanium nanotubes were modified by silanization to possess amine or methyl groups on their surface instead of OH groups. Results showed increased hydrophobicity of chemically modified titania nanotubes as well as improved drug loading efficiency on the more hydrophobic samples. These drug loaded substrates were soaked in phosphate buffered solution in a simulated body environment to determine drug release behavior. Buffer solution was collected and replaced every day. The eluted drug amounts were measured spectroscopically. Results showed prolonged drug releasing behavior from chemically modified nanotubes compared to conventional titanium substrates. In this manner, this story advances currently used titanium to possess drug release behavior which can improve orthopedic implant efficacy.
9:45 AM - FF9.4
Selective Growth of Al Nano-whiskers on the Patterned Substrate by Glancing Angle Deposition at High Temperature.
Motofumi Suzuki 1 , Kenji Hamachi 1 , Koji Nagai 1 , Kaoru Nakajima 1 , Kenji Kimura 1
1 Department of Micro Engineering, Kyoto University, Kyoto, Kyoto, Japan
Show AbstractRecently, we found the growth of unusual Al nano-whiskers by glancing angle deposition on a high temperature substrate (HT-GLAD), while the usual columnar structures completely disappear due to accelerated surface diffusion [1]. HT-GLAD is essential for the nucleation of the nano-whiskers and efficient supply of Al atoms on the side surface of the vertically growing nano-whiskers. In this study, we have investigated the morphological evolution of Al nano-whiskers by HT-GLAD. Based on these results, we have succeeded the selective growth of the nano-whiskers on the patterned substrate by taking advantage of the dependence on the deposition geometry. The morphological evolution has been investigated for the samples prepared on the substrate kept at a temperature between 85 °C and 390 °C. The critical temperature of formation of the Al nano-whisker at a deposition angle of 85° is found to be between 180 °C and 290 °C. The morphology of the nano-whiskers grown at a substrate temperature of 290 °C reveals that a significant amount of Al is supplied to the nano-whiskers through their base by surface diffusion and that the diffusion length of adatoms on the side surface of the nano-whiskers reaches approximately 10 μm. It has also been confirmed that a significant number of Al atoms that have been incorporated into the nano-whiskers move in such a manner that drastic changes can occur in the morphology of the nano-whiskers. Under the condition that the many nano-whiskers grow, we tried to grow the nano-whiskers only on the side surface of the trench structure fabricated on the substrate. The HT-GLAD was performed in such a way that the Al vapor was incident on the side surface at the glancing angle. The Al nano-whiskers successfully grew on one side surface of the trench structure, while no nano-whiskers grew on the surface and bottom. This is quite useful to integrate the nano-whiskers with the electrical and/or optical device elements.[1] M. Suzuki et al., Appl. Phys. Lett. 89, 133103 (2006).
10:00 AM - FF9.5
Localized Deposition on a Superhydrophobic Nanowire Array.
Benjamin Hatton 1 , Joanna Aizenberg 2
1 , Bell Labs, Alcatel-Lucent, Murray Hill, New Jersey, United States, 2 Engineering and Applied Sciences, Harvard University, Cambridge, Massachusetts, United States
Show AbstractAn aqueous droplet on a superhydrophobic nanowire array is only exposed to the very tops of the nanowire posts, and therefore sites for heterogeneous nucleation and growth of precipitates from solution can be limited to those areas. Herein we demonstrate conditions for the localized growth of inorganic deposits from a droplet on the posts of a superhydrophobic array of Si posts (300 nm diameter, 6 – 8 mm tall, pitch spacing 1-4 mm), etched by deep reactive ion etching (DRIE) [1]. Examples of deposits include a solution-vapour reaction (CaCO3 deposits in a CO2 atmosphere), or two-solution reactions (ie; CaCO3, AgCl), and onto posts with different surface functionalization. This method could also be extended to the deposition of colloidal particles from suspension onto a charged surface [2]. As a result, highly uniform arrays of precipitate deposits can be grown locally onto nanoscale posts, in a rapid, room temperature process, which could be applied quite generally to a wide range of nucleation/growth precipitation reactions from solution. This method could be applied to the synthesis of novel functionalized nanowire arrays and 3D nanostructures. The growth of these deposits is influenced by structure in addition to the local surface chemistry, and we are also investigating effects of this growth on the localized position of the (macroscopically non-wetting) liquid interface. [1] Krupenkin, T. N.; Taylor, J. A.; Schneider, T. M.; Yang, S., Langmuir 2004, 20, 3824-3827.[2] Aizenberg, J.; Braun, P. V.; Wiltzius, P., Phys. Rev. Lett. 2000, 84, (13), 2997-3000.
10:15 AM - FF9.6
Electric Field Effects on Fiber Alignment using an Auxiliary Electrode during Electrospinning.
Lisa Carnell 1 2 , Russell Wincheski 1 , Emilie Siochi 1 , Nancy Holloway 1 , Robert Clark 2
1 , NASA Langley Research Center, Hampton, Virginia, United States, 2 Mechanical Engineering and Materials Science, Duke University, Durham, North Carolina, United States
Show AbstractFF10: Composite Materials
Session Chairs
Joseph DeSimone
Teodor Veres
Thursday PM, November 29, 2007
Room 200 (Hynes)
11:00 AM - FF10.1
Photo-oxidation of Polyhydroxyl Molecules on TiO2 Surfaces: from Hole Scavenging to Light-induced Self-assembly of TiO2-cyclodextrin Wires.
Mao-Hua Du 1 2 3 , Jun Feng 2 4 , Shengbai Zhang 2
1 , Materials Science & Technology Division, Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States, 2 , National Renewable Energy Laboratory, Golden, Colorado, United States, 3 , Center for Computational Materials Science, Naval Research Laboratory, Washington, District of Columbia, United States, 4 , Matheson Tri-Gas, Longmont, Colorado, United States
Show AbstractLight illumination can mediate the synthesis of hybrid inorganic-organic nanostructures. A recent experiment shows the self-assembly of TiO2-cyclodextrin (CD) nanowires as a result of continuous UV illumination of a mixture of the TiO2 nanoparticles and CD molecules in water at room temperature.[1] Atomic force microscopy and transmission electron microscopy reveal that the TiO2 nanoparticles are glued together by the CD molecules. Fourier transform IR spectrum shows the stretching modes for C=C and C=O bonds, respectively, both of which are not present in pure CD molecules. This suggests that the photogenerated holes are transferred to the CD molecules on the TiO2 surfaces and cause their oxidation. Such hole transfer has recently been observed by time-resolved transient absorption experiment, which shows that the holes generated in TiO2 nanoparticles by laser pulse are irreversibly transferred to chemisorbed polyhydroxyl molecules, leaving long-lived photoelectrons inside TiO2.[2] However, the underlying mechanisms for photochemical reactions on TiO2 surface, the self-assembly, and, in particular, their relationship have not been identified.The efficient hole transfer across the nanoparticle/molecule interface may be triggered by adsorbate-induced electronic levels inside the semiconductor band gap. Thus, it is essential to understand the electronic structure at the interface, the energetics of the charge transfer, and the subsequent chemical reactions. In this paper, we study photooxdiation of glucose (C6O6H12) on the (101) surface of anatase TiO2.[3] (Glucose is a prototype of polyhydroxyl carbohydrates and alcohols, and is also the basic building block of CD molecules.) We show that the adsorbate-induced gap levels are essential for the fast hole trapping in polyhydroxyl molecules, whereas the absence of such levels may be responsible for the slow hole trapping in monohydroxyl molecules. We suggest deprotonation as the mechanism for hole scavenging, and predict the transformation of the adsorbed glucose or CD from an irreversible hole trap to an electron-hole recombination center with the forming of both C=C and C=O double bonds as observed experimentally. We also suggest that the hole scavenging modifies the surface charge distribution of TiO2 nanoparticles to create an electrostatic field for the self-assembly of TiO2-CD nanowires.[1] J. Feng, A. Miedaner, P. Ahrenlkiel, M. E. Himmel, C. Curtis, and D. Ginley, J. Am. Chem. Soc. 127, 14968 (2005).[2] I. A. Shkrob, M. C. Sauer, and D. Gosztola, J. Phys. Chem. B 108, 12512 (2004).[3] M.-H. Du, J. Feng, S. B. Zhang, Phys. Rev. Lett., 98, 066102 (2007).
11:15 AM - FF10.2
Synthesis of Hybrid Silica-Lipid Cylinders with Nanoscale Helical Rippling by Molecular Template and Sol-Gel Reactions.
Yue Zhao 1 , Jiyu Fang 1
1 Advanced Materials Processing and Analysis Center, University of Central Florida, Orlando, Florida, United States
Show Abstract Lipid tubules formed by rolled-up bilayer sheets have been used in drug delivery systems, nanofluidics, and microelectronics. Thermal stability of lipid tubules is critical in developing all of their applications, but remains to be challenging. Recently, we used self-assembled lipid tubules as organic templates for controlled deposition and organization of inorganic particles. The sol-gel condensation of tetraethoxysilane (TEOS) on lipid tubules substantially improves the thermal stability of lipid tubules from 47 °C up to 110 °C. We imaged the lipid tubules with atomic force microscopy (AFM) and observed theoretical predicted nanoscale ripple structures with a periodicity of ~ 200nm in lipid tubules’ wall. Transmission electron microscopy (TEM) reveals that the nanoscale helical ripples of the lipid tubules are transcripted to the templated silica cylinders. The hybrid silica-lipid cylinders with nanoscale helical rippling might have potential applications in heterogeneous chiral catalysis and separation.
11:30 AM - FF10.3
Novel Spiral Scaffolds for Repairing Large Bone Defects- A Model Study.
Mayur Mayur Thakore 1 , Chandra Valmikinathan 1 , Elvin Lee 1 , Xiaojun Yu 1
1 Chemical, Biomedical and Materials Engineering, Stevens Institute of Technology, Hoboken, New Jersey, United States
Show AbstractCurrently available autografts and allografts that set the gold standards for repair of large bone defects have several disadvantages associated with them. Also, the scope of contemporary synthetic alternatives for regeneration of bone tissue is limited. Even though tissue engineering has helped in creating several scaffolds with increased structural integrity, improved mechanical properties and increased porosities, they lack to provide scaffolds with increased cell penetration and better tissue ingrowth, causing the failure of such grafts. Current study examines the potential to fabricate novel scaffolds with spiral geometry to achieve higher structural strength, overall open structure with a uniform gap for media influx and better porous characteristics as compared to currently available cylindrical shaped bone scaffolds.The scaffolds were fabricated from 85/15 poly (lactide-co-glycolide) (PLGA) using microsphere sintering method and evaluated. Four different varieties of scaffolds were fabricated by modifying the gap and the wall thicknesses. The spiral scaffolds were compared to the traditional cylindrical scaffolds. The evaluation revealed that the desired mechanical properties can be tailored using spiral geometry with ideal wall thickness and gap width to over come the limitations of cylindrical scaffolds. The matrices of 12 mm diameter and 3mm height (0.05” gap width) in spiral geometry exhibited higher compressive yield stress (Mean: 21MPa±2.15, n=5) when compared to scaffolds with cylindrical geometry of same overall dimensions (12MPa±0.18, n=5). The structural characterization using a SEM demonstrated interconnected pore system with adequate sintering. Porosity data was obtained using MicroCT and gravimetric analysis and the spiral matrix demonstrated a higher porosity. Also, the optical characterization performed on the scaffolds, after cell attachment and proliferation, clearly indicated deeper cell penetration into the scaffolds and a spread and healthy morphology.Mechanical and structural characteristics, porosity, and cellular response of spiral microsphere matrices are superior to currently available cylindrical matrices, for trabecular bone fracture fixing. Overall, the spiral microsphere based matrices for tissue repair show promise as an improved tissue engineered substitute.
11:45 AM - FF10.4
Susceptibility of 3-Dimensional Block Copolymer Assembly to 2-Dimensional Chemically Templated Substrates.
Sangcheol Kim 1 2 , Hae-Jeong Lee 1 , Ronald Jones 1 , Alamgir Karim 1 , R. Briber 2 , Ho-Cheol Kim 3
1 , Polymers Division, NIST, Gaithersburg, Maryland, United States, 2 Dept. of Materials Science and Engineering, University of Maryland, College Park, Maryland, United States, 3 , IBM Almaden Research Center, Almaden, California, United States
Show AbstractThe directed self-assembly of block copolymers on chemically templated substrates is a potentially powerful route to the creation of 3-dimensional, nanostructured thin films for patterning of microelectronics, magnetic data storage, and novel membranes. Recent works[1,2] have demonstrated the capability of chemically templated substrates to direct the orientation and long range order of self-assembled ultrathin block copolymer films. While the results for ultrathin films are very promising, most viable patterning technologies strive for high aspect ratio patterns. To date, there is little data describing the range of interactions, both normal and parallel to the substrate, of chemically patterned templates. We report measurements of the susceptibility of block copolymer ordering to chemical templates as a function of absolute distance from the template, including both components parallel and normal to the substrate. Films of poly(styrene-b-methyl methacrylate) are cast in varying thicknesses on a range of substrates containing sharp chemical boundaries. The morphology and orientation of the block copolymer film are then examined as a function of distance from the underlying chemical boundary and the chemical contrast of the boundary using optical and atomic force microscopy. The block copolymer film is found to transition from symmetric to asymmetric wetting across the boundary in a diffuse zone through a complex variation in morphology and orientation. The width of the transition zone can be relatively large, ranging from tens of nanometers to tens of microns. The sharpness of the transition is directly controllable by the chemical contrast of the underlying template, with conditions for optimal contrast identified. Data for the distance over which interactions from the underlying template are effective are also presented, indicating the potential efficacy of this patterning technique for building 3-dimensional nanostructured films. 1. J. Heier, E. J. Kramer, S. Walheim, G. Krausch, Macromolecules 1997, 30, 6614.2. S. O. Kim, H. H. Solak, M. P. Stoykovich, N. J. Ferrier, J. J. de Pablo, P. F. Nealey, Nature 2003, 424, 411.
12:00 PM - FF10.5
Hierarchical Nanostructures Fabricated by Combining Holographic Polymerization and Block Copolymer Self-Assembly.
Christopher Li 1 , Michael Birnkrant 1 , Lalgudi Natarajan 2 , Vincent Tondiglia 2 , Richard Sutherland 2 , Timothy Bunning 3
1 Materials Sci. & Eng., Drexel University, Philadelphia, Pennsylvania, United States, 2 , Science Applications International Corporation, Dayton, Ohio, United States, 3 Air Force Research Laboratory , Materials & Manufacturing Directorate, Wright-Patterson Air Force Base, Ohio, United States
Show AbstractTop-down and bottom-up represent two methods for manufacturing nanoscale materials. The top-down technique is approaching its theoretic limits and processes such as the e-beam lithography are extremely costly as the feature size becomes increasingly small. In contrast, starting from tailored molecules, bottom-up method can easily reach nanometer scale feature sizes. One drawback of bottom-up technique, however, is that it is extremely difficult to achieve large scale, defect-free structures, which is essential for nanoelectronic applications. Furthermore, hierarchical structures with complex features at different length scales are of great interest since they ensure property control, transfer and multifunctionality. We report the combination of top-down and bottom-up nanomanufacturing techniques to fabricate active, tunable hierarchical nanostructures in a volume grating. Holographic polymerization (H-P, top-down) and block copolymer (BCP) self-assembly (bottom-up) were for the first time combined into one system (Norland/PEO-b-PCL) to manufacture hierarchical nanostructures. As a proof of concept, layer-in-layer structures have been fabricated. Large size, uniform lamellar structures between the crosslinked Norland resin and PEO-b-PCL were formed with a period of ~200 nm from the H-P technique. The refractive index modulation between Norland resin and BCP led to the observation of a reflection notch in the transmission spectra with a diffraction efficiency of ~ 65%, indicating phase separation of BCP and Norland resin. The PEO-b-PCL was confined in the Norland resin and within each BCP layer, PEO-b-PCL phase separated into lamella structure with a period of ~ 22 nm as determined by the synchrotron small angle X-ray scattering experiment. Synchrotron wide-angle X-ray scattering showed that PEG and PCL chain axes were parallel to the grating normal. This study shows that combining H-P and BCP self assembly, complex hierarchical 3 dimensional nanostructures can be achieved in a few seconds. This facile fabrication process might find unique applications in nano electronics and nano optics.
12:15 PM - FF10.6
Coupled Field and Topology Directed Assembly of 3-D Block Copolymer Patterns.
Alamgir Karim 1 , Brian Berry 1 , Xiaohua Zhang 1 , August Bosse 1 , Jack Douglas 1 , Ronald Jones 1 , Ho-Cheol Kim 2
1 Polymers Division, NIST, Gaithersburg, Maryland, United States, 2 Almaden Research Center, IBM, San Jose, California, United States
Show AbstractThe directed self-assembly of block copolymer films through the use of templated substrates is considered a viable fabrication platform for sub-lithographic nanoscale patterning in next generation data storage, high density memory, and ultra-low density dielectric materials. The primary limitation remaining to technological implementation is the lack of control over long range order and defects in packing and orientation. As a result, control over order and defects is often sought through the use of graphoepitaxy, in the form of chemical and / or physical templates, and the use of directional fields to enhance morphological alignment. While directional fields provide a route to enhance ordering kinetics and is applicable to both thin and thick samples, graphoepitaxy is typically required to direct the placement of complex patterns required for devices. Fundamental questions behind the interaction of these two tools for morphological synthesis have not been previously investigated. Here, we measure the evolution of long range order in block copolymer films subjected to combinations of graphoepitaxy and a directional field. Data are provided for films of asymmetric poly(styrene-b-methyl methacrylate) cast on templates with planar and curved topological features. The topology is found to generate long range order after thermal annealing with directors normal to the confining surfaces. The application of a “thermal zone”, which is previously reported to act as a directional field, is shown to enhance ordering kinetics within a window of processing parameters. The interaction of the direction and speed of the “thermal zone” and the director angles of the underlying topological template are shown to interact in a complex manner to produce a controlled morphology and orientation in block copolymer films of different thicknesses.
12:30 PM - FF10.7
Constructing Robust Superoleophobic Surface using Re-entrant Structures.
Wonjae Choi 1 , Anish Tuteja 2 , Robert Cohen 2 , Gareth McKinley 1
1 Mechanical Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 2 Chemical Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States
Show AbstractMany surfaces in nature (such as the well-known lotus leaf) are superhydrophobic, displaying apparent contact angles greater than 150o with water, and low contact angle hysteresis. The theories of Wenzel and Cassie & Baxter show that it is the combination of low surface energy and micro/nanoscale roughness is critical for superhydrophobicity, and this has led to a number of biomimetic superhydrophobic surfaces. Unfortunately, researchers so far have been unsuccessful in developing superoleophobic surfaces that strongly repel oily liquids with much lower surface tensions. By analyzing the stability of Wenzel versus Cassie-Baxter surfaces we find that is not possible to generate an oleophobic surface using simply vertical or slanted textured patterns; The low interfacial tension of hydrocarbon oils results in partial wetting on almost all smooth substrates, and a concomitantly reduced apparent contact angle on a textured surface. However, there are several reports in the literature of enhanced oleophobicity on textured surfaces. In addition, recent studies show that the surface material of the lotus leaf and other water-repellent plants is in fact weakly hydrophilic. The Wenzel model can not explain such observations, but Herminghaus has shown theoretically (within the context of the Cassie-Baxter model) that hydrophilic materials can exhibit hydrophobicity when the textured surface exhibits strongly re-entrant topographic structures. These re-entrant features can trap micro/nanoscale air pockets and imbue a meta-stable superhydrophobicity to the composite interface, even if the substrate is composed of hydrophilic material. In the present work, we emulate such re-entrant structures by using silicon lithography to manufacture arrays of severely undercut pillar arrays and produce super-hydrophobic ‘Herminghaus surfaces’ from extremely hydrophilic SiO2 substrates. By silanizing the SiO2 material to lower the surface energy we generate super-oleophobic surfaces (low hysteresis and contact angle > 160o even for octane). We analyze the physical importance of the re-entrance and its robustness to perturbations, and we develop design criteria for the re-entrant structures which decouple the design parameters for the hydro/oleophobicity magnitude and its robustness. Experimental measurements are presented for a range of liquid alkanes and we investigate the contact angle hysteresis using microfabricated textures with various geometric configurations. Although we show that the oleophilic substrate can support a metastable oleophobic Cassie-Baxter regime for sufficiently pronounced re-entrant topographies, any defect in the structure can lead to a local Wenzel state which is energetically favorable. This local transition can spread to catastrophic failure of the whole surface, hence a unique patterned re-entrant structure is proposed to confine the effects of local defects.
12:45 PM - FF10.8
Direct Fabrication of Binary Colloidal Crystal Films, Silica and Gold Composite Inverse Opals.
Jianjun Wang 1 , Stefanie Ahl 1 , Qin Li 1 , Kreiter Max 1 , Wolfgang Knoll 1 , Ulrich Jonas 1
1 , Max Planck Institute for Polymer Research, Mainz Germany
Show AbstractMixtures of two species of colloidal particles in suspension have been co-deposited by vertical transfer to prepare binary colloidal crystals (bCC). The effects of the relative concentration in suspension and the relative size ratio between small (S) and large (L) particles on the binary crystal structure were systematically studied. The same method was applied for the direct replica formation and preparation of inverse opals by simultaneous deposition of large, crystal-forming PS latex particles (the sacrificial template) and replica-forming silica nanoparticles (the matrix material filling the interstitial space) followed by the removal of the templating particles by pyrolysis. Structural characterization of the formed bCC and silica inverse opals proved the long-range ordering of the prepared crystal films. Furthermore, gold / silica composite inverse opals and gold inverse opals with hierarchical porous structures were fabricated based on the silica inverse opal templates. The evolution of the relative reflection absorbance spectra of gold/ silica composite inverse opals upon the increase of the surrounding refractive index revealed a pronounced intensity augmentation and red shifts of peak positions, which promises potential applications in bio and chemical sensors.
FF11: Nano- and Microparticle
Session Chairs
Thursday PM, November 29, 2007
Room 200 (Hynes)
2:30 PM - **FF11.1
Meniscus-Directed Assembly of Highly Structured Films, Lines and Self-Contained Clusters from Particles or Biomolecules.
Orlin Velev 1
1 Chemical and Biomolecular Engineering, North Carolina State University, Raleigh, North Carolina, United States
Show AbstractThe controlled drying of liquid suspension droplets deposited on surfaces or confined in thin menisci is one of the simplest and most efficient ways to assemble particle crystals and shape them in two and three dimensions. The organization of the particles is a result of a complex combination of convective transport and capillary forces at the liquid/air interface. In this talk we will overview a few different geometries and processes, leading to the formation of a rich variety of organized structures. The convective assembly of two-dimensional particle crystals on solid surfaces is one of the basic deposition processes. We have modified this process to achieve engineered assembly at high particle volume fractions, where the structured layers are deposited with maximal velocity from microliter suspension droplets. The technique made possible the deposition of photonic crystals with defined number of layers, thin metallic nanoparticle films, templated substrates for SERS sensors and antireflective coatings for solar cells. The set-up could also be modified to prepare thin films of organized and aligned biological molecules, including TMV and ferritin. In the second part of this talk we will discuss the factors leading to the assembly of self-contained supraparticles in drying sessile suspension droplets. The dynamic shape of the liquid surface guiding the particle assembly changes as a function of the contact angle on the substrate, liquid interfacial tension, droplet volume, particle size and volume fraction. We will demonstrate how, depending on the combination of these parameters, the droplet drying process can result in the formation of particle crystals in the form of disks, hemispheres, "doughnuts", ellipsoids or spheres. The supraparticle assemblies formed can also be made anisotropic, layered, magnetic or biologically active and in these roles they may find applications in various technologies.
3:00 PM - FF11.2
Quantum Dot Micropatterning on Si.
Karel Lambert 1 , Petra Lommens 1 , Iwan Moreels 1 , Dries Van Thourhout 2 , Zeger Hens 1
1 Inorganic and physical chemistry, Ghent University, Gent Belgium, 2 Information Technology, Ghent University, Gent Belgium
Show AbstractA challenge to the use of semiconductor quantum dots as building blocks for photonic, electronic or magnetic devices is their assembly in larger nanostructures. We demonstrate that by a hybrid combination of standard photolithography and bottom-up techniques like Langmuir-Blodgett deposition and electrophoretic deposition, complex structures of quantum dot monolayers or thin films can be made with high (µm or less) spatial resolution over a large scale. Langmuir monolayers of sterically stabilized InP colloidal quantum dots can be used to cover flat Si wafers or to conformally coat three dimensional silicon on insulator photonic devices. The fact that conformal coating of 3D structures is feasible, enables us to deposit quantum dot monolayers on silicon substrates covered with a developed photoresist mask. Following the controlled dissolution of the mask, patterned monolayers remain on the substrate. The obtained structures can be imaged and characterized by various techniques like scanning electron microscopy, atomic force microscopy, fluorescence microscopy and transmission electron microscopy. We demonstrate the formation of a large variety of micropatterns with sizes ranging from 1 to 1000 µm. Due to the excellent adhesion of the quantum dots to the substrate, this hybrid approach can be repeated on the same substrate. This yields complex patterns, which may combine quantum dots of different sizes or different materials. A similar approach applies to the electrophoretic deposition of charge-stabilized ZnO quantum dots. This technique can be used to entirely cover bare Si substrates with homogeneous quantum dot mono- or multilayers. However, the technique also applies to Si substrates covered with a developed photoresist. By an appropriate choice of solvent and photoresist, ZnO quantum dot micropatterns are obtained after photoresist removal featuring also in this case a large variety of patterns with sizes ranging from 1 to 1000 µm.
3:15 PM - FF11.3
Holographic Nanoparticle Assembly.
Abigail Griffith 1 2 , John Busbee 1 2 , Lalgudi Natarajan 2 , Vince Tondigilia 2 , T. Bunning 2 , Rich Vaia 2 , Paul Braun 1
1 Materials Science and Engineering, UIUC, Urbana, Illinois, United States, 2 Materials and Manufacturing Directorate, Air Force Research Labs, Dayton, Ohio, United States
Show AbstractSpatially controlled nanoparticle assembly promotes advancement in many fields of nanotechnology and allows for control during miniaturization. In this presentation, we demonstrate nanoparticle assembly within holographic polymer dispersed liquid crystals (HPDLCs). Nanoparticles are added to a mixture of liquid crystal and monomer which is then used as a template into which an interference pattern is recorded. By adding the appropriate reactivity to the particle surface, the particles co-react with monomer, and are trapped in the forming polymer phase; if they are non-reactive with monomer, the nanoparticles diffuse with the liquid crystal molecules, and end up in the liquid crystal domains. This method is advantageous compared to many other nanoparticle assembly methods due to the fact that it allows for one- step submicron assembly over large areas. Work on adding ferroelectrically bistable particles to the HPDLC will be highlighted for the purpose of creating bistable optical displays. Some of the key challenges to be overcome are adding high volume fractions of nanoparticles while maintaining particle dispersion and understanding the mechanism by which this assembly occurs.
4:00 PM - **FF11.4
Synthesis of Functional Nanoparticles and Templates.
Joseph DeSimone 1
1 Department of Chemistry, University of North Carolina, Chapel Hill, North Carolina, United States
Show AbstractPhotocurable perfluoropolyethers (PFPEs) are a unique class of fluoropolymers that are liquid at room temperature, exhibit low surface energy and modulus, high gas permeability and low toxicity and are extremely chemically resistant like Teflon. The PFPE-based materials have been successfully used in high-resolution imprint lithography offering many advantages over the use of soft, elastomeric materials, such as poly(dimethylsiloxane) (PDMS). While PDMS offers some advantages, UV transparency, low Young’s modulus, and deformability, there are a number of properties inherent to PDMS which severely limit its capabilities in soft lithography. PDMS-based elastomers swell when exposed to most oil-soluble organic compounds, the surface energy of PDMS is not low enough for procedures which require high fidelity and the most commonly used form of the material, Dow Corning’s Sylgard 184, has a modulus that is too low for many applications which results in collapse of features. High quality PDMS and PFPE molds can be generated from silicon masters with good feature fidelity for 2 μm features and used to micromold an organic photopolymer resin, but replicates generated from the PDMS molds were of poor quality. SEM images of these replicates reveal pieces of the PDMS mold that were ripped out during the release as a result of swelling of the PDMS mold by the organic photopolymer prior to curing. In contrast, those replicates made from the PFPE-based mold look identical to the master with no tear out because it is not swollen by the organic-soluble photopolymer resin. In addition, high quality PDMS molds from silicon masters are not possible for feature sizes smaller than 1 μm. Previous work by us has shown that we can easily mold uniform 70 nm features over large areas with tremendous fidelity. The reasons why PFPE works so well as a nano-molding material are numerous: i) the precursor material can spread over patterned masters down to the nano scale; ii) once cured the cross-linked, elastomeric PFPE material has a very low surface energy and is able to be peeled off the master with no defects; and iii) the low surface energy, highly fluorinated PFPE molds are solvent resistant and, as such, the liquids to be molded don’t adhere or partition into it facilitating the nano-molding process. The ability to mold mono-disperse particles with complete control over particle shape, size and composition has been demonstrated using a process we call PRINT (Particle Replication in Non-wetting Templates). PRINT allows for the precise control over particle size, shape, composition, cargo, modulus and surface properties. Because of the flexibility of the PRINT technique, applications exist for PRINT nanoparticles in material science as organic conductors, inorganic / organic hybrids, mesoscopic materials, supercapacitors, photovoltaic devices, active nanostructures as well as in the life sciences as delivery vectors for therapeutics and imaging agents.
4:30 PM - FF11.5
Disassembly and Self-Reassembly in Periodic Nanostructures: A New Approach to Nanoparticles Synthesis and Organization.
Fan Li 1 , Zhiyong Wang 1 , Sarah Delo 1 , Nicholas Ergang 1 , Andreas Stein 1
1 Chemistry, University of Minnesota, Minneapolis, Minnesota, United States
Show AbstractNanoparticles (NPs) represent the largest subdivision in the family of nanostructured materials. Newly emerging applications of NPs, such as nanofabrication and nanophotonics, rely on precise control of the particle morphology, dimensions, and their interactions. In this study we explore a unique templating strategy for sculpting nanoparticles of desired shapes and sizes and directing them into lattice-like 3D ordered arrays. Conceptually, a 3D ordered macroporous (3DOM) structure of centimeter size is first molded by a template of opal-like, close-packed polymeric spheres. The 3DOM skeleton possesses the same symmetry as the template and can be considered to be constructed from certain basic building blocks. Therefore, a reverse process (disassembly) to destruct the 3DOM structure leads to nanometer-level, truncated-octahedral and tetrapodal fragments, which further evolve into uniform cubes and spheres. Such structural transformations from a kinetically stabilized 3DOM structure to thermodynamically preferred particles are energetically favorable and can therefore proceed spontaneously once triggered by calcination. This facile hard-templating approach has been applied to a variety of compositions, including oxides, phosphates and carbon. It provided vigorous control over the particle shape and size and may also lead to more complicated and specific nano-objects. In addition, we found this templating method could also realize NPs in-situ organization following a self-reassembly process. This is demonstrated with a TiO2-P2O5 composite system. After the disassembly, the smaller particles were assimilated by the larger ones, and the latter re-assembled into an ordered simple cubic array. The NPs organization into this energy-unfavorable coordination is also inherited from the face-centered cubic symmetry of the colloidal crystal, i.e. the 3D placement of the cubic particles evolved from the 3DOM structure leads to their ordered re-stacking into simple cubic symmetry. Such a unique mechanism based on a “structural memory” effect provides a simple, low cost way towards NPs organization and thus can be desirable for photonics, optoelectronics, or combinatorial screening applications.
4:45 PM - FF11.6
A Versatile Route to Three-dimensionally Ordered Arrays of Functional Nanocomposite Spheres.
Tadashi Nakamura 1 , Yuri Yamada 1 , Kazuhisa Yano 1
1 , Toyota Central R&D Labs., Inc., Aichi Japan
Show AbstractMonodispersed colloidal spheres with desired properties have attracted a great deal of interest because of their potential use as building blocks to fabricate photonic band-gap crystals or three-dimensionally (3D) ordered innovative materials. Recently, we have succeeded in synthesizing highly monodispersed mesoporous silica spheres (abbreviated as MMSS hereafter) with diameters in the submicrometer range [1]. We have also demonstrated the fabrication of a 3D ordered array consisting of MMSS [2]. The incorporation of functional nanomaterials into mesopores of MMSS is expected to result in various types of monodispersed nanocomposite spheres that can directly serve as building blocks to form unprecedented 3D ordered arrays. Herein, we report the fabrication of 3D ordered arrays of MMSS-derived nanocomposite spheres with magnetic or optical functionalities.Magnetic iron oxide/silica nanocomposite spheres were synthesized via the impregnation of a ferrocenecarbaldehyde/furfuryl alcohol mixture into mesopores of MMSS and the subsequent heat treatment [3]. Crystalline phase control of magnetic iron oxide was achieved by changing heating conditions, and superparamagnetic gamma-Fe2O3, Fe3O4, and/or metastable epsilon-Fe2O3 were successfully embedded inside the silica spheres. Meanwhile, in the synthesis of gold/silica nanocomposite spheres, thiol-functionalized MMSS was first immersed in a hydrogen tetrachloroaurate solution. Then the filtered sample was annealed in a reducing atmosphere to form gold-nanoparticle-embedded spheres [4]. The resultant spheres exhibited a surface-plasmon-derived adsorption peak, which confirmed the formation of gold nanoparticles. These functional nanocomposite spheres were readily self-assembled into 3D ordered arrays by using a fluidic cell [2, 3]. Each array had a face-centered cubic structure with its (111) plane oriented parallel to the substrate. The arrays exhibited well-defined optical stop bands in the near-IR region while retaining magnetic or optical properties of functional nanoparticles. This work indicates that the use of MMSS can provide a versatile route to novel functional 3D ordered arrays.References: [1] K. Yano et al., J. Mater. Chem., 14, 2004, 1579. [2] Y. Yamada et al., Langmuir, 22, 2006, 2444. [3] T. Nakamura et al., J. Mater. Chem., 16, 2006, 2417. [4] T. Nakamura et al., J. Mater. Chem., submitted.
5:00 PM - FF11.7
Nanocrystal Synthesis and Nanocrystal Superlattices.
Zhuoying Chen 1 , Chenguan Lu 1 , Yang Wu 3 , Janina Maultzsch 2 , Tony Heinz 2 3 , Stephen O'Brien 1
1 Applied Physics, Columbia University, New York, New York, United States, 3 Physics, Columbia University, New York, New York, United States, 2 Electricl Engineering, Columbia University, New York, New York, United States
Show AbstractNanocrystals prepared by modern materials chemistry methods can be used as building blocks to form simple ordered arrays, called superlattices, which resemble the close-packed structures of atoms in crystals or hard spheres. The procedure can be described as a co-crystallization of nanocrystal dispersions following appropriate choice of solvents, substrates and conditions for self-assembly. The superlattices that result exhibit remarkable structural and compositional diversity, representing a variety of close packed structures reminiscent of binary alloy phases, We have demonstrated that tuning the specific conditions of the self-assembly process allows us to direct the structure and extent of 3D assembly, paving the way for directed and controlled assembly of specific three dimensional architechtures based on model nanoparticle systems. The methodology can be thought of as a toolkit to assemble a wide range of structures intended for generating smart materials: thin films with enhanced functionality as a consequence of nanoscale manipulation. We outline our efforts to synthesize a range of nanocrystals using a precursor decomposition process that can produce highly monodisperse samples. Such samples are prime candidates for superlattice assembly in the quest for control over structure and properties at the nanoscale. We also report on optical properties of semiconductor-metal nanoparticle superlattices based on a survey of absorption and photoluminescence in the visible window of the electromagnetic spectrum.
5:15 PM - FF11.8
Interphase Morphology Characterization of RAFT Polymerized Grafted Chains on Spherical Nanoparticles by Dynamic Light Scattering.
Douglas Dukes 1 , Sarah Lewis 1 , Yu Li 1 , Brian Benicewicz 1 , Linda Schadler 1 , Sanat Kumar 2
1 Materials Science & Engineering, Rensselaer Polytechnic Institute, Troy, New York, United States, 2 Chemical Engineering, Columbia University, New York, New York, United States
Show AbstractDeveloping the technology to design polymer nanocomposites requires control over the interface structure and a fundamental understanding of the structure and morphology of the interface region. Using a RAFT polymerization process that allows control over the graft density from 0.05 to 0.5 chains/nm2 and molecular weights of 2K to 150K with PDIs of less than 1.2 and free polymer of less than 10%, we have been studying the size of the brush as a function of graft density and molecular weight and particle radius of curvature. The hydrodynamic radius of grafted-particle systems was measured using Dynamic Light Scattering and reinforced by measurements of brush thickness via TEM measurements of separation distance in close-packed particle monolayers. As predicted by theory, as the structure changes from brush to mushroom, there is a decrease in the growth of hydrodynamic radius with grafted polymer molecular weight. The molecular weight at which this occurs depends strongly on the graft density. This has important implications regarding the extent of entanglement of grafted chains and thus the efficacy of reinforcement in grafted-nanoparticle composites.
5:30 PM - FF11.9
Fabrication and Characterization of Size- and Shape-Controlled C60 Nano/microcrystals by Solvent-Induced Reprecipitation Process (SIRP)
Akito Masuhara 1 , Zhenquan Tan 1 , Hitoshi Kasai 1 , Hachiro Nakanishi 1 , Hidetoshi Oikawa 1
1 IMRAM, Tohoku Univ., Sendai, Miyagi, Japan
Show Abstract Recently, there are remarkable developments in the nanotechnology fields. Inorganic nanocrystals such as metal, semiconductor, carbon nanotubes, and fullerene are crucial materials for nanotechnology. There are so many reports for fabricating well-defined inorganic nanocrystals, and their unique physical properties originating from nanostructure are investigated extensively. On the other hand, fullerene molecule attracted attention from the view points of electronic and optical properties, depending on π-conjugated structure. However, fabrication method of C60 nano/microcrystals, inner structure, and physical properties is not still revealed. In this presentation, we will report the fabrication of size- and shape-controlled fullerene nano/microcrystals using newly developed technique, named Solvent-Induced Reprecipitation Process (SIRP). This process was the expanded technique of ordinary reprecipitation method [1]. The reprecipitation method is convenient to fabricate organic nanocrystals. In this method, an organic molecule was dissolved in a good solvent, and the solution was injected rapidly into a poor medium for the target molecule. Usually the good and poor solvents are employed to the compatible each other, and an organic molecule was precipitated in a poor solvent, and then nanocrystals are formed stably in a dispersion state. However, it was difficult to fabricate size- and shape-controlled organic nanocrystals using this method. On the other hand, SIRP is similar to the reprecipitation method, except for utilizing interaction between good solvent and the solute molecule. Using SIRP, the size- and shape-controlled C60 nano/microcrystals could be successfully fabricated for the first time. The size and shape, for example spherical, rod-like, fibrous, octahedron, and multibranched shape, are dependent on several factors such as combination of solvents, solution concentration, and injection volume. It is well-known that C60 crystals grown in solution state would contain solvent molecules in the crystal lattice, i.e., solvated crystal structure [2]. The XRD measurement indicates that some of the present C60 nano/microcrystals also have solvated crystal structure, and the other was solvent free crystal, which is the same fcc structure was same as pristine C60 powder. Interestingly, the solvated nano/microcrystals form hexagonal, orthorhombic, and monoclinic, which depended on the shape of the nano/microcrystal.[1] H. Kasai et al., Jpn. J. Appl. Phys, 31 L1132 (1992) [2] H. B. Burgi et al., Chem Mater, 6 1325 (1994)
5:45 PM - FF11.10
Hierarchic Structures in Polymer Nanoparticles Prepared by Self-organization Process.
Hiroshi Yabu 1 2 3 , Atsunori Tajima 4 , Takeshi Higuchi 4 , Masatsugu Shimomura 1 2 3
1 IMRAM, Tohoku University, Sendai Japan, 2 FRS, RIKEN Institute, wako, Saitama, Japan, 3 CREST, JST, kawasaki, Saitama, Japan, 4 Graduate School of Science, Hokkaido University, Sapporo, Hokkaido, Japan
Show AbstractPolymer nanoparticles have been received great interest due to their potential applications for electronics, photonics, and biotechnologies. We have developed a novel method to prepare polymer nanoparticles by good solvent evaporation from polymer solution containing poor solvent (Self-ORganized Precipitation (SORP) method). By using this method, various kinds of polymer materials can be used to prepare nanoparticles including engineering plastics, fluorescence polymers, conductive polymers, and biodegradable polymers. Here, we report preparation of nanoparticles with hierarchic structures in them. Block-copolymers form micro-phase separation structures due to the segregation nature of each polymer segment. Nanoparticles of poly(styrene-b-isoprene) (PS-b-PI) were prepared by using SORP method from tetrahydrofrane (THF) solution. When one-to-one segment ration PSt-b-PI was used, one-directionally arranged lamellae structure was observed in the submicron-sized particles by using a scanning transmission electron microscope (STEM) after staining PI segments with osmium tetraoxide. The hexagonally-arranged cylinder phase was also observed when the PI segment rich PS-b-PI was used to prepare nanoparticles. Furthermore, the lamellae layers formed in the nanoparticles of PS-b-PI were dissociated after re-dispersed stained nanoparticles in THF. After staining with osmium tetraoxide, the PI segments are cross-linked. As the result, only the PS layer was dissolved in THF, the disk-like nano-structures formed. Moreover, nano-wires were also obtained from PI segment rich PS-b-PI nanoparticles after re-dispersing in THF. This “Selective Immobilization and Selective Elusion (SISE)” process provides a novel way to prepare nanoscale building blocks.
FF12: Poster Session II
Session Chairs
Nina Kovtyukhova
Teodor Veres
Friday AM, November 30, 2007
Exhibition Hall D (Hynes)
9:00 PM - FF12.1
Designing Spatial Correlation of Quantum Dots: Towards Self-assembled Three-dimensional Structures.
Joao Zelcovit 1 , Jose Roberto Bortoleto 1 , Jefferson Bettini 2 , Monica Cotta 1
1 DFA, UNICAMP, Campinas, São Paulo, Brazil, 2 LME, LNLS, Campinas, São Paulo, Brazil
Show AbstractSelf-assembling of semiconductor nanostructures has demonstrated the potential of highly strained layer epitaxy to produce objects with reduced dimensionality, such as quantum dots. Different approaches to provide spatially ordered quantum dots have been suggested; one of them relies on changing buffer layer properties. In this work, we address the possibility to create square lattices of InAs/GaAs and GaP/GaAs islands via the strain-field of a buried InP/InGaP template. We show that in this case a stress field created in the InGaP buffer layer – with a smooth morphology - can drive semiconductor dot nucleation. In previous works, we have used compositional modulation of In-rich (compressive) InGaP films grown on (001) GaAs to drive the formation of an array of InP quantum dots. In that case, the periodic variation of InGaP composition played an important role on dot nucleation via the elastic strain field. We have recently extended this result to achieve vertical stacks of dots of different materials. Buried two-dimensional arrays of InP dots were used as a template for the lateral ordering of InAs and GaP dots in InAs/GaAs and GaP/GaAs bilayers, respectively. Layers of dots were deposited on the top surface of all samples so that the lateral ordering could be evaluated by atomic force microscopy (AFM). Fast Fourier transform of the AFM images was used to quantify the dot lateral ordering degree. For both InAs and GaP dots, a two-dimensional array very similar to the InP template buried underneath the bilayer was observed. Also, an increase in the dot size – as compared to those grown on unstressed surfaces - occurred due to the buried strain field lateral period. The correlation between the ordering of the top dot layer and the buried InP template was investigated by cross-section High Resolution Transmission Electron Microscopy (HRTEM) measurements. Our results show that the InAs dots are vertically correlated to the InP template, while the GaP dots are vertically anti-correlated, nucleating in the position between two buried InP dots. This arrangement is due to the strain modulation in the GaAs spacer layer caused by the presence of the InP template.The strain relaxation for the bilayer structure with dots of GaP/GaAs was evaluated using Grazing Incidence X-ray Diffraction (GIXD). Radial scans in GIXD geometry show that GaP films grown on unstressed GaAs are still coherent to the substrate, indicating a Stranski-Krastanov growth mode. For the bilayer structure, angular GIXD scans allowed us to probe strain relaxation along the dot height; the profiles thus obtained show that the strain relaxation rate is not significantly altered, although the strain at the base of the dots is lowered when the template is used. These results support the extension of this method to multi-layer structures and validate this approach as a new path to produce high quality III-V self-assembled pseudocrystals.
9:00 PM - FF12.10
Metal, Ceramic and Cermet Articles Formed from Low Viscosity Aqueous Slurries.
Mohammad Behi 1 , Alexander Lobovsky 1
1 , United Materials Technologies, LLC, Westfield, New Jersey, United States
Show Abstract9:00 PM - FF12.12
Microwave Methodology for Control of Crystal Motif and Growth in Nanocrystals.
Aaron Washington 1 , Geoffrey Strouse 1
1 Chemistry and Biochemistry, Florida State University, Tallahassee, Florida, United States
Show AbstractMicrowave chemistry allows control over reaction pathways due to selective heating of the constituents in a reaction. In nanocrystals, the crystal motif (wurtzite vs cubic) and the growth behavior (Ostwald ripening vs kinetically controlled) can be directed by the choice of precursors that selectively absorb the microwave energy. We demonstrate this behavior for CdSe quantum dots synthesized in low viscosity non-microwave absorbing alkane solvents. The growth of CdSe is kinetically driven by absorption of microwave energy by the reactive precursors, while the solvent acts as a thermal moderator. The addition of an ionic liquid dominates the microwave absorption because of its large dipole allowing the results to be controlled by Ostwald ripening following the nucleation of the precursor. Also, the crystal phase can be manipulated via the reaction conditions, with the observation of a pure zinc-blende phase or wurtzite phase CdSe. The observation of controlled reactivity will be explored in view of nucleation theory. Through the use of microwave methodology, nucleation theory and growth mechanism are investigated with respect to Ostwald ripening in kinetically driven reactions.
9:00 PM - FF12.14
Fabrication and Characterization of Spectroscopically Encoded Core-shell Nanoparticle-polymer Nanocomposite.
Sheng Dai 1 , Abdiaziz Farah 1 2 , Ramon Alvarez-Puebla 1 , Juan-Pablo Bravo-Vasquez 1 , Hicham Fenniri 1 2
1 , National Institute for Nanotechnology, Edmonton, Alberta, Canada, 2 Gunning/Lemieux Chemistry Center, University of Alberta, Edmonton, Alberta, Canada
Show Abstract9:00 PM - FF12.15
Dimerization of Gold Nanoparticles by Surface Modification for Radical Reaction.
Sung Huh 1 , Seung Bin Kim 1
1 , Pohang University of Science and Technology, Pohang Korea (the Republic of)
Show AbstractSize-quantized metal nanoparticles have drawn considerable interest in various fields of science and engineering because of their unique physical and chemical properties leading to potential application in electronics, for optical and magnetic devices. Also, the ability to functionzed gold nanoparticles with a self assembly property has opened new avenues to utilizing these nanomaterials in optical and electronic application. In this study, dimerization of the gold nanoparticles modified with new molecules containing radical reaction site was induced by radical reaction on the Initiation of AIBN(azoisobutylonitrile). AIBN is a very common Initiator on the free radical polymerization, so it can attack the monomers on the gold nanoparticles surface. As a result, the gold nanoparticles aggregate each other and the color of colloid solution changed from brown to dark blue and it was shown a little precipitation. Transmission electron microscopy, scanning electron microscopy and UV-visible absorption measurement showed the aggregation of gold nanoparticles. Also, The degree of aggregation of gold nanoparticles was controlled by different AIBN contents, and the mechanism of radical reaction on the nanoparticles surface was predicted. This new method for assembly of gold nanoparticle by radical reaction is meaningful in various nanostructure formation for nanodevices and multifunctional materials.
9:00 PM - FF12.16
Epitaxial Assembly Involved in Growth of BaTiO3 and CaTiO3 Nanocrystals under Hydrothermal Condition.
Makoto Kuwabara 1 , Naoki Iwaji 1
1 , Kyushu University, Kasuga Japan
Show AbstractWe report epitaxial assembly involved in the formation of aggregates of single-crystalline barium titanate (BaTiO3) and calcium titanate (CaTiO3) nanoparticles under hydrothermal condition. The aggregates of BaTiO3 and CaTiO3 nanocrystals were synthesized by heating a mixture of barium hydroxide, Ba(OH)2, and titanium tetra-iso-propoxide, Ti(OiC3H7)4, and a mixture of Ca(OC2H5)2 and Ti(OiC3H7)4, respectively, resolved in water-ethanol solutions at 150 C for 2 h in autoclaves. The volume ratio of water/ethanol used as the solvent gives significant influences on the amount of organic components contained in the precipitates after the reaction completed and the crystallinity of nanoparticles obtained. We confirmed for the first time the occurrence of epitaxial assembly in aggregates of more than hundred BaTiO3 and CaTiO3 nanocrystals synthesized under hydrothermal condition by proving that both nanoparticles aggregatges possess crystallographically single-crystalline nature with transmission electron microscopy (TEM) and scanning electron microscopy (SEM). The information obtained in this study may give a deep insight into the mechanism of crystal growth of perovskite oxide nanoparticles in solution-phase synthesis.
9:00 PM - FF12.17
TEM Investigation of Epitaxial Assembly in Aggregates of BaTiO3 and CaTiO3 Nanocrystalline Particles Synthesized under Hydrothermal Conditions.
Naoki Iwaji 1 , Hiromichi Takebe 1 , Makoto Kuwabara 1
1 , Kyushu University, Kasuga Japan
Show AbstractEpitaxial assembly (in other words; epitaxial contact or oriented attachment) of BaTiO3 and CaTiO3 nanocrystals into highly oriented aggregates with crstallographically single-crystalline nature has been investigated using X-ray diffraction (XRD), scanning electron microscopy (SEM) and transmission electron microscopy (TEM). The aggregates of BaTiO3 and CaTiO3 nanocrystals were synthesized under hydrothermal conditions at 150 degree Celsius using Ba(OH)2 (or Ba(OC2H5)2), Ca(OC2H5)2 and titanium tetra-iso-propoxide, Ti(OiC3H7)4, as starting materials and water/ethanol solvents. TEM and SEM analyses provide definite evidence for the occurrence of epitaxial assembly of more than hundred BaTiO3 and CaTiO3 nanocrystals with sizes of 40-60 nm (for BaTiO3) and 100-1000 nm (for CaTiO3) into aggregations possessing crystallographically single-crystalline nature during the crystal growth under hydrothermal condition. The results obtained in this study may provide an insight into the growth mechanism of nanocrystalline particles in solution-phase synthesis routes.
9:00 PM - FF12.18
Simple Preparation of Fluorescent Nano-Particles in ionic liquids.
Atsunori Tajima 1 , Takeshi Higuchi 1 3 , Hiroshi Yabu 2 3 , Masatsugu Shimomura 2 3
1 , Hokkaido University, Sapporo Japan, 3 , Frontier Research System, The Institute of Physical and Chemical Research (RIKEN), Wako Japan, 2 , IMRAM, Sendai Japan
Show AbstractNano-particles have been received great interests due to potential applications for photonics, electronics and biotechnologies. We have reported that nano-particles can be prepared by adding a poor solvent (eg., water) into their solutions of a good solvent (eg., tetrahydrofuran). After evaporation of a good solvent, polymer particles were suspended in the poor solvent (Self-Organization Precipitation (SORP) method)1). Recently due to their many attractive properties, ionic liquids (ILs) have received increasing attention. On the contrary to common volatile organic solvents, ILs have low vapor pressure, excellent chemical and thermal stabilities, and wide temperature ranges of liquid state. ILs have been attempted for using in organic syntheses, chemical separations, and their applications continue to expand. It is note worthy that ILs are miscible in water and heat-stable. Here we show preparation of fluorescent polymer nano-particles dispersed in ILs from their precursors by the SORP method and haet treatment.The poly(p-xylene tetrahydro thiophenium chloride) dissolved in water purchased from Sigma-Aldrich Co., which was used as a polyphenylenevinylene (PPV) precursor . N,N-diethyl-N-methyl-N-(2-methoxyethyl) ammonium tetarafluoroborate ,which is one of the ILs, was purchased from Kanto Chemical Co. Ltd. The polymer solution was diluted with water and the 0.2 mg/mL polymer solution was prepared. And then, the ILs (2 mL) were added into 1mL of the polymer solution. Water was evaporated at 50 degree C for 1 days in vacuo. After complete evaporation of water, the dispersion was heated at 230 degree C for 2 hours. After 2 hours, fluorescent nano-particles were obtained. The color of solution changed from transparent to brown by heating.From the dynamic light scattering (DLS) measurements, the sizes of the particles were range from 500 nm to 2 μm. The shapes of particles were observed by scanning electron microscopy (SEM). Fluorescence spectroscopy results the particles show strong fluorescence. The UV-Vis spectrum shows the PPV nano-particles were formed.References:1) H. Yabu, T. Higuchi, K. Ijiro, M. Shimomura, Chaos, 15(4), 047505 (2006)
9:00 PM - FF12.19
Synthesis of Patterned Silver Nanoparticle Films by Electron Irradiation and their Application to Superhydrophobic Surfaces.
Yong Nam Kim 1 , Sung Oh Cho 1
1 Department of Nuclear and Quantum Engineering, Korea Advanced Institute of Science and Technology, Daejeon Korea (the Republic of)
Show AbstractHere we present a novel and facile route to synthesize patterned silver nanoparticle (Ag NP) films using electron irradiation of Ag precursor. Ag precursor was completely decomposed by electron irradiation so that the precursor transformed into crystalline Ag NPs. In consequence of selective electron irradiation of the Ag precursor film using a copper TEM grid as a mask, patterned Ag NP films were formed. The size of pattern and particles can be controlled and the purity of final products is very high (>99%).Ag precursor solution was prepared by dissolving silver acetate (AgAc) in 1-butanol with assistance of ethanolamine, and then spin-coated on silicon substrate. As-prepared samples were irradiated by electron with the beam energy of 20-50 keV at fluence from 1.15×1018 to 1.27×1019 cm-2. All the experiments were carried out at room temperature, particularly in vacuum ambient of less than 2×10-5 torr.The size of the Ag NPs comprising the patterned Ag films was controlled from 10 nm to 60 nm by changing electron fluence. The morphology of the film can also be controlled by the beam energy and the film thickness. At the low beam energy, numerous pores were generated on the Ag NP film surfaces, and that makes hierarchical pore structures. After chemical treatment of the surfaces with 1-dodecanethiol, the films exhibited superhydrophobicity with a water contact angle of ~161°. Patterned Ag NP films also have the potential for applications in bio-sensing, micro/nano electronics, and optical devices.
9:00 PM - FF12.2
Assembly and Disassembly of Nanoparticle Composite Microspheres.
Hongwei Qiu 1 , Woo Lee 1
1 CBME, Stevens Institute of Tech, Hoboken, New Jersey, United States
Show Abstract9:00 PM - FF12.20
Heat-Treatment Effect of CdS and CdS/ZnS Core/Shell Nanoparticles.
Kwanhwi Park 1 , Hong Joeng Yu 1 , Sung Hyun Kim 1
1 Chemical & Biologicale Enginnering, Korea University, Seoul Korea (the Republic of)
Show AbstractThe monodispered and spherical Cadmium sulfide (CdS) and cadmium sulfide/zinc sulfide (CdS/ZnS) nanoparticles of 4~5nm in diameter were synthesized from an aqueous cadmium acetate dehydrate (Cd(CH3COO)2-2H2O), zinc acetate dehydrate (Cd(CH3COO)2-2H2O), and sodium sulfide nonahydrate (Na2S-9H2O) solution in a heptane-AOT-water microemulsion system. The heat-treatment of CdS and CdS/ZnS nanoparticles was progressed under air and 570°C condition. The heat-treatment effects of the nanoparticles were characterized by FT-IR, TGA, and TEM. The heat-treated nanoparticles were coated by sulfate compound instead of organic compound like AOT. The heat-treated nanoparticles have various and large size and enhanced crystallinity. The luminescent properties of the nanoparticles were characterized by UV-vis absorption and PL spectra. UV-vis spectra of heat-treated CdS and CdS/ZnS nanoparticles are flat type like bulk CdS compounds. The difference of the PL emission band of nanoparticles coated organic material and heat-treated nanoparticles is small. The PL emission energy of heat-treated nanoparticles improves about 2~3 times higher than it of organic-coated ones. We think PL emission energy of heat-treated nanoparticles increase by the enhancing crystallinity and formation of sulfate-shell compound.
9:00 PM - FF12.21
Dense-aligned 5-fold Symmetrical Star-shaped Molybdenum Particles Formed in Ambient Air using a Microplasma Jet.
Yoshiki Shimizu 1 , Kenji Koga 2 , Takeshi Sasaki 1 , Davide Mariotti 1 , Kazuo Terashima 3 , Naoto Koshizaki 1
1 Nanoarchitectonics Research Center, National Institute of Advanced Industrial Science and Technology, Tsukuba, Ibaraki, Japan, 2 Nanotechnology Research Institute , National Institute of Advanced Industrial Science and Technology, Tsukuba, Ibaraki, Japan, 3 Graduate School of Frontier Science, The University of Tokyo, Kashiwa, Chiba, Japan
Show AbstractOur group is developing atmospheric microplasma deposition method using a metal wire as raw materials. In this method, a metal wire inserted in a microplasma generation nozzle is etched or evaporated by the generated microplasma, and the resultant active species are transported downstream and condensed on a localized area of the substrate. In this paper, we will report the formation of dense-aligned 5-fold symmetrical star-shaped molybdenum grains by atmospheric microplasma and the characterization of the microstructure. Ar gas mixed with hydrogen was introduced into the nozzle for microplasma generation, and high frequency was supplied to the coil wound around the nozzle. The microplasma was stably generated and spouted out from the nozzle exit into ambient air. The substrate for deposition placed 1 mm away from the nozzle exit was directly irradiated with the microplasma. In the irradiated region, star-shaped substances were densely formed. The observation of these substances from the side direction revealed that the substances grew also toward the plasma gas flow direction. These star-shaped substances were identified as face centered cubic (fcc)-Mo by XRD and TED. More precise characterization by TED revealed that the star-shaped substances were multiply twinned grains composed of 5 fcc-subunits and had 5-fold symmetrical structure. The direction of 5-fold axis corresponded to the plasma gas flow direction. In this study, there were two new findings as follows; (1) The crystal structure was face centered cubic (fcc) structure, which is a metastable structure of Mo and normally obtained at high pressure above 6 Mbar. Additionally, as far as we know, the formation of 5-fold twinned structure has never been reported so far in Mo system. (2) The constituent Mo grains grew preferentially toward the plasma gas flow direction, and had a unique 5-fold symmetrical star-shape cross-section when viewed along the growth direction.
9:00 PM - FF12.23
Sub-10 nm Diameter Silicon Nanowires and Nanotrees: Critical Condition for Growth and Growth Below the Eutectic Temperature.
Florian Dhalluin 1 2 , Martien den Hertog 3 , Pierre Desre 2 , Jean-Luc Rouviere 3 , Pierre Ferret 2 , Pascal Gentile 4 , Thierry Baron 1
1 , CNRS/LTM, Grenoble France, 2 , CEA/LETI, MINATEC, Grenoble France, 3 , CEA/DRFMC/LEMMA, Grenoble France, 4 , CEA/DRFMC/SINAPS, Grenoble France
Show Abstract9:00 PM - FF12.24
Multi-colored ZnO Nanowire Architectures on Trenched Silicon Substrates.
Pu-Xian Gao 1 3 , J. Lee 2 , Zhong Wang 3
1 Institute of Materials Science & Department of Chemical, Materials and Biomolecular Engineering, University of Connecticut, Storrs, Connecticut, United States, 3 School of Materials Science and Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States, 2 , Sandia National Laboratories, Livermore, California, United States
Show AbstractWell-tailored three-dimensional (3D) ZnO nanowire architectures have been successfully grown on Si microtrenches fabricated using nanoimprinting lithography by a low temperature hydrothermal approach. Au nanoparticles or ZnO nanofilms were used as templates to tailor the orientation ordered nanowire growth normal to the microtrench surface. Au produced sparse nanowire growth, while ZnO seeds created densely-packed growth. Optically, other than displaying a primary color when viewed from one incident angle, the 3D nanowire architecture periodically displayed multiple primary color domains covering all microtrenches and the local orientation ordered nanowire arrays. A pre-growth annealing of ZnO nanoseeds resulted in non-uniformity and non-periodic distribution of the grown nanoarchitectures, and thus reduced the multi-color effect.
9:00 PM - FF12.25
Growth Direction Control of Ferromagnetic MnAs Nanoclusters by Selective-Area Metal-Organic Vapor Phase Epitaxy.
Toshitomo Wakatsuki 1 , Shinjiroh Hara 1 , Daichi Kawamura 1 , Takashi Fukui 1
1 Graduate School of Information Science and Technology, and Research Center for Integrated Quantum Electronics (RCIQE), Hokkaido University, Sapporo Japan
Show AbstractHetero-epitaxy of ferromagnetic and III-V compound semiconductor nanostructures (FM III-V hybrids) is promising for the realization of nanospintronic devices. Ferromagnetic MnAs, which has been extensively investigated on GaAs layers, serves as an electrical spin injection source into semiconductors. We have investigated semiconductor nanowires and FM III-V hybrids with MnAs nanoclusters (NCs) grown on GaAs (111)B surfaces by selective-area metal-organic vapor phase epitaxy (SA-MOVPE). For the growth of hexagonal NiAs-type MnAs layers, {111} planes of zinc-blende type materials are promising because the crystal structures are similar. To realize FM III-V hybrids by SA-MOVPE, it is crucial to control crystal facets and growth directions of the NCs because growth rates strongly depend on crystal orientations. In this paper, we report the growth condition dependences of the MnAs NC formation during SA-MOVPE. Before the MnAs growth, electron beam lithography and wet chemical etching were carried out to partially remove SiO2 films on GaAs (111)B wafers and make periodical arrays of mask openings with a diameter of 300 nm. AsH3 and ((CH3)C5H4)2Mn were used as group V and Mn sources. To investigate growth direction dependences of the NCs, V/Mn ratios, that is, partial pressure ratios of p[AsH3]/p[((CH3)C5H4)2Mn], were varied from 375 to 2250. Growth time was changed from 10 to 30 minutes. The growth temperature was 850 oC. Hexagonal MnAs NCs with well-defined crystal facets were formed only on GaAs surfaces of the mask openings, and typical NCs, which were grown at a V/Mn ratio of 375 for 10 minutes, measured about 480 nm in diameter and 180 nm in height by scanning electron microscopy. When we increased the growth time of the NCs to 30 minutes under the same V/Mn ratio condition, MnAs NCs were grown in a lateral direction. The measured size of the NCs was about 620 nm in diameter and 190 nm in height. The hexagonal NCs had two types of crystal facets: One was parallel to the wafer planes on the top of the NCs, and the others, which were formed around the top planes of the NCs as the six side-walls, were titled by around 60o against the wafer planes. Under the V/Mn ratio condition of 2250, on the other hand, the NCs were grown only in a vertical direction. Typical diameter and height of the NCs were about 630 and 300 nm, respectively. Vertical facets were formed at the lower parts of the NC side-walls possibly because the growth rate of the NC top planes was increased. Under the high V/Mn ratio conditions, surface diffusions of Mn ad-atoms are enhanced. High arsenic coverage at the top facets is possibly needed for the NC growth in a vertical direction. For the self-assembly of MnAs NCs on “planer” GaInAs (111)B surfaces, we have reported that the c-axis of the NCs is perpendicular to the wafer. Therefore, it is presumably concluded that the c-axis of the observed hexagonal NCs in the present work is also perpendicular to the wafer plane.
9:00 PM - FF12.26
Designing Superoleophobic Surfaces with fluoroPOSS.
Anish Tuteja 1 , Wonjae Choi 2 , Joseph Mabry 3 , Gareth McKinley 2 , Robert Cohen 1
1 Department of Chemical Enginerring, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 2 Department of Mechanical Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 3 Air Force Research Laboratory, Edwards Air Force Base, Edwards, California, United States
Show Abstract9:00 PM - FF12.27
Effects of Size and Hydrogen Passivation on Nanowire Morphology.
J Swadener 1 , Michael Nastasi 1
1 MPA-CINT, Los Alamos National Laboratory, Los Alamos, New Mexico, United States
Show Abstract9:00 PM - FF12.28
Investigation of the Growth Mechanisms of GaN-nanowires in MBE.
Caroline Cheze 1 , Lutz Geelhaar 1 , Walter Weber 1 , Philomela Komninou 2 , Thomas Kehagias 2 , Theodoros Karakostas 2 , Henning Riechert 1
1 , Qimonda and NaMLab, Dresden Germany, 2 Department of Physics, Aristotle University of Thessaloniki, Thessaloniki Greece
Show AbstractGaN nanowires (NWs) are promising building blocks for novel electronic and photonic devices. However, a strict control over their structural and physical properties, as required for applications, can only be achieved by a clear understanding of the phenomena occurring during their synthesis. In this work, we investigated the nature of the processes involved in the formation of GaN NWs in solid source molecular beam epitaxy (MBE). The type of substrate strongly influences the formation, the morphology and the crystal quality of GaN NWs. On sapphire, NWs form only when Ni-seeds are deposited before growth. These NWs are fairly homogeneous in length and diameter. Transmission electron microscopy revealed that growth is epitaxial. Numerous basal stacking faults were detected in most of the NWs and particles containing Ni were found at the NWs tip. Thus, NWs grow in a way similar to the vapor-liquid-solid mechanism. In contrast, on silicon NWs grow even without any seed material, and they do not exhibit extended defects. However, they are shorter and have a much broader distribution of diameters than on sapphire.To elucidate the nucleation processes, line of sight quadrupole mass spectrometry (QMS) was employed: by this technique, the partial pressure of Ga atoms desorbing from the substrate is monitored in-situ during growth, yielding directly the actual incorporation rate of Ga. In all cases, growth starts with a reduced incorporation rate, i.e. nucleation is delayed. However, the growth rate saturates after different delays for different types of substrates (sapphire/silicon, with/without Ni). These results imply that the processes leading to the formation of GaN-NWs by MBE depend strongly on the type of starting surface. The ratio between Ga- and N-flux (Ga/N) also strongly influences the formation of NWs. Independent of the type of substrate, NWs grow only under N-rich conditions. On sapphire, QMS investigations showed that the higher the N-flux, the faster the incorporation rate of Ga reaches its saturation value, which corresponds to the Ga-flux. Indeed, for planar GaN growth, the growth rate is limited by the smaller of the two fluxes, Ga or N. However, post-growth measurements of the NW lengths surprisingly revealed that the NW growth rate amounts closely to the N-flux. This suggests that the growth of individual NWs occurs similarly to growth under Ga-rich conditions. Based on this observation, we will discuss a growth model which implies a strongly different local Ga/N ratio at the location of the Ni seeds and at the location between the Ni seeds.Line of sight QMS thus gives global information on nucleation processes that are not accessible by other techniques. Completed by post-growth studies, this quantitative in situ technique improves the understanding of NW formation.AcknowledgementsThis work was supported by the EU Marie Curie RTN contract MRTN-CT-2004-005583 (PARSEM) and by the IST project NODE 015783.
9:00 PM - FF12.29
Embedded Nanofibers Induced by High Energy Ion Irradiation of Bulk GaSb.
Alejandro Perez-Bergquist 1 , Kai Sun 2 , Xia Xiang 1 3 , Yanwen Zhang 4 , Lumin Wang 1 5
1 Nuclear Engineering and Radiological Sciences, University of Michigan, Ann Arbor, Ann Arbor, Michigan, United States, 2 Electron Microbeam Analysis Laboratory, University of Michigan, Ann Arbor, Ann Arbor, Michigan, United States, 3 Applied Physics, University of Electronic Science and Technology of China, Chengdu China, 4 , Pacific Northwest National Laboratory, Richland, Washington, United States, 5 Materials Science and Engineering, University of Michigan, Ann Arbor, Ann Arbor, Michigan, United States
Show AbstractPrevious experimentation has shown that GaSb nanofibers could be induced by energetic ion beams under a variety of irradiation conditions. In this work, we examine the formation of embedded GaSb nanofibers formed by 1 MeV Au+ ions at varying ion fluences using scanning electron microscopy (SEM), transmission electron microscopy (TEM), and energy-dispersive x-ray spectrometry (EDS). Three distinct regimes were observed within the fiber layers from cross-sectional SEM and TEM measurements. After an ion fluence of 1 x 10^14 ions/cm^2, an intact surface layer of ~20 nm in thickness is observed. However, this surface layer is progressively removed at higher ion doses. A unique surface layer removal mechanism was observed, in which removal of the surface layer is not uniform but occurs in a globular manner. Directly underneath the surface layer is the fiber regime, in which nanofibers of 18 to 22 nm in diameter are fully developed. These fibers are uniformly spaced and roughly the same diameter. EDS analysis confirmed that the fibers are still GaSb. Although nanocrystallites were observed in some of the GaSb fibers by TEM, the majority of the the fiber volume is amorphous. Towards the end of the ion damage peak is the transition regime, in which fibers become increasingly smaller and denser, blending back into the GaSb substrate with voids ranging from ~50 to 15 nm in diameter. Using previously suggested growth models, a modified growth model is presented to incorporate the presence of these observed fiber regimes.
9:00 PM - FF12.3
Optical Properties of CdSe/ZnS core/shell Quantum Dots Depending on Growth Conditions.
Soon Il Jung 1 , Ilgu Yun 1 , Sung M. Cho 2 , Il Ki Han 3 , Joo In Lee 4
1 Department of Electrical and Electronic Engineering, Yonsei Univ., Seoul Korea (the Republic of), 2 Department of Chemical Engineering, Sungkyunkwan Univ., Suwon Korea (the Republic of), 3 Nano Devices Research Center, Korea Institute of Science and Technology (KIST), Seoul Korea (the Republic of), 4 Advanced Industrial Metrology Group, Korea Research Institute of Standards and Science (KRISS), Daejeon Korea (the Republic of)
Show AbstractIn the past several years, the syntheses of colloidal semiconductor quantum dots (QDs) are being developed because of research interests and industrial applications. Especially for the CdSe QD system, varying the energy position with high quantum yield is necessary for more extensive applications. In order to understand the related phenomena and to design better materials for the relevant processes, we need to gain insights into the structural and optical properties of these materials by the experimental analysis. In this study, we investigated the effects of different growth conditions and surface passivation on the growth of CdSe QDs. The synthesis of CdSe QDs by pyrolysis of organometallic reagents was performed by using the hot-matrix method. In order to modify the size and quality of CdSe QDs, we controlled the growth temperature from 250 to 350 degree celsius and the relative amount of trioctylphosphin (as the ligand of the Cd and Se precursors) to be injected into the coordinating solvent trioctylphosphineoxide. Moreover, an effective surface passivation of mono-disperse CdSe QDs was achieved by overcoating them with a larger band gap material such as ZnS. Synthesized CdSe QDs were studied to evaluate the structural and optical properties using transmission electron microscope, UV-absorption, and photoluminescence measurement. By simply changing the growth temperature, amount of the ligand and surface passivation, we are able to not only control the crystal size, size distribution, and emission position of CdSe QDs, but also increase the quantum yield. Also, we fabricated light emitting diodes (LEDs) using CdSe/ZnS core/shell QDs. The QD-LEDs were constructed with thermal evaporation process and layer-by-layer techniques. Their emissive layer can provide tunable emission in the visible spectrum, because of the size-dependent luminescence of the QDs.
9:00 PM - FF12.30
Electrospun 3D Scaffold for Cell Growth.
Kristin Sisson 1 , John Rabolt 1
1 Material Science & Engineering, University of Delaware, Newark, Delaware, United States
Show AbstractElectrospinning is a technique, which is currently undergoing a significant resurgence in interest. It offers a route to the production of small diameter fibers, which requires minimal amounts of material. Fiber diameters in the range of 100 nanometers to several microns are readily spun. These nanofibers can potentially play a significant role in composite materials due to the small diameters and high surface areas. We have utilized the electrospinning process to create very small fibers in the form of a 3D matrix for cell growth. The matrix is electrospun fibers of collagen type I, which mimics the natural extracellular matrix of bone tissue. This allows for the growth of osteoblast cells for tissue engineering. In the future such engineered bone tissue could be used to repair damaged bone tissue.
9:00 PM - FF12.31
Nanoscale Focused Ion Beam Characterization of CoPt Alloy Structure in Anodized Aluminum Oxide Templates.
Mariana Loya 1 , Andrew Gapin 1 , Li Han Chen 1 , Sungho Jin 1
1 Materials Science and Engineering, University California San Diego, La Jolla , California, United States
Show AbstractFocused Ion Beam is a key tool for nanoscale analysis and characterization of structures, for example, patterned recording media consisting of vertically aligned array of CoPt nanomagnet wires. It is important to understand structural and microstructural properties of patterned magnetic recording media such as vertically aligned array of L10 phase CoPt nanowires and soft/hard composite nanowires of Ni/CoPt embedded in a thin anodized aluminum oxide (AAO) template1,2. An aluminum film on silicon was anodized and a CoPt magnetic alloy was electrodeposited into the nanopores of AAO. The vertically aligned arrays of CoPt nanowires were about ~100 nm tall with ~20 nm average diameter. The coercivity was ~8 – 10 KOe.Deposited Pt metal, via ion beam induced deposition with Ar as the precursor gas, was used as a sacrificial layer which serves to protect the underlying nanostructured CoPt wires from destructive sputtering of the ion beam during sample processing. Milling rates in the range of 500 – 3000 picoamperes were used in order to obtain optimal polishing conditions. The FIB analysis results show important details of microstructural features, and indicate aligned trench walls into the sample showing a uniform nanowire array laterally constrained by the surrounding AAO matrix. The nanowire diameter is maintained without coarsening during the L10 phase conversion heat treatment. Focused Ion Beam technique using ion beam induced deposition of a platinum sacrificial layer was successful in delieating the nanoscale microstructure of CoPt patterned media and possible approaches to enhance the microstructure and periodicity of the CoPt nanomagnet arrays with smaller diameter are also discussed. 1. A. I. Gapin, X. R. Ye, J. F. Aubuchon, L. H. Chen, Y. J. Tang, and S. Jin, “CoPt patterned media in anodized aluminum oxide templates,” J. Appl. Phys. 99, 08G902 (2006).2. A. I. Gapin, X. R. Ye, L. H. Chen, D. Hong, and S. Jin, “Patterned media based on soft/hard composite nanowire array of Ni/CoPt,” IEEE Trans.Magn., vol. 43, no. 6, June 2007.
9:00 PM - FF12.32
Aligned Nanofibers on Complex 3-Dimensional Architectures for Peripheral Nerve Regeneration.
Chandra Valmikinathan 1 , Junping Wang 1 , Xiaojun Yu 1
1 Chemical, Biomedical and Materials Engineering, Stevens Institute of Technology, Hoboken, New Jersey, United States
Show AbstractOne of the earliest steps in the regeneration of peripheral nerves is the production of a fibrin cable, which is composed of aligned nanofibers of fibrin, which causes an anisotropic orientation of support cells, growth factors and extracellular matrix proteins. Electrospinning has emerged as a novel method to generate directional aligned nanofibers to control the orientation of the supporting Schwann cells and the regenerating neurons. However, it is hard to make nanofibers into three-dimensional complex structures due to the limitation of existing fabrication techniques.In this study, we developed a novel microsphere based scaffolds containing aligned nanofibers on the surface that provide directional guidance for cells. The scaffolds were prepared through the combination of electrospinning and sintering of biodegeadable poly(lactide-co-glycolide) microspheres. The scaffolds have appropriate mechanical properties that match with those of native nerves. Schwann cells were seeded on the scaffolds to promote regeneration. The results indicated that significantly higher density of cells on the scaffolds with the spiral geometry when compared to traditionally used tubular or cylindrical scaffolds. In addition, the aligned nanofibers provided directional guidance to Schwann cells and axons cultured on them. In order to shorten the time needed for the supporting Schwann cells to grow into sufficient number, the dynamic rotating bioreactor culture system was adopted. The results indicated that the spiral scaffolds cultured under dynamic conditions in a bioreactor have higher cell numbers than the ones cultured under static conditions. In conclusion, the scaffolds have the potential to promote the regeneration of peripheral nerves by providing directional guidance for supporting Schwann cells and axonal growth.
9:00 PM - FF12.34
Conducting Polymer Nanowires Fabricated by Localized Chemical Synthesis with Glass Micropipettes
Seung Kwon Seol 1 , Ji Tae Kim 1 , Keun Ho Lee 1 , Jung Ho Je 1 , Yeukuang Hwu 2 , Giorgio Margaritondo 3
1 Materials science and engineering, POSTECH, Pohang Korea (the Republic of), 2 Physics, Academia Sinica, Taipei Taiwan, 3 Physics, EPFL, Lausanne Switzerland
Show AbstractFabrication of conducting polymer wires from micron to nanometer in diameter is a topic of increasing interest due to their potential applications in miniaturized devices such as optical, electronic, sensing, and biomedical devices. Their practical applications require a high aspect ratio (HAR) feature of grown structure and its accurate positioning on the target region. Recently, diverse fabrication methods such as self assembly, template synthesis, soft lithography, and electrospinning have been used to synthesize three-dimensional (3D) polymer structures. However, most of these methods have challenges for simultaneous meeting of key requirements for application of conducting polymer structures.We present a simple method to fabricate polypyrrole (PPy) micro and nanowires with high aspect ratios on the selective region by a glass micropipette-assisted chemical synthesis. The pipette is used to provide Py monomer solution continuously in the form of capillary to the substrate. In the process, Py monomer capillary is polymerized by oxidation due to oxygen in air, as a result forming PPy wire. We discover that a decrease of the capillary size, i.e. an increase of the surface area of the capillary, leads to raising the oxidative polymerization rate by enhancing the oxygen concentration around the capillary. The diameter of grown PPy wires reduces from micrometers down to 100 nm by the capillary thinning that is controlled by the pulling speed of the pipette. I-V characteristic of such nanowires shows the ohmic nature of the contact with Au electrode.
9:00 PM - FF12.35
Modification of GaSb/GaAs Quantum Dots using Trisdimethylaminoantimony.
Katsuhiro Uesugi 1 , Hisashi Fukuda 1
1 Department of Electrical and Electronic Engineering, Muroran Institute of Technology, Muroran Japan
Show AbstractWe report the systematic study of the etching process of GaSb quantum dots using trisdimethylaminoantimony (TDMASb). Self-assembled GaSb quantum dots have been grown on GaAs(001) surfaces at the temperature of 480°C by metal-organic molecular beam epitaxy. As-grown GaSb dots show the pyramidal shape and the average height and width were 8 nm and 70 nm, respectively. The dot density was about 5x109 cm-2. Then TDMASb was supplied to the GaSb/GaAs dot surfaces at 480°C. At the initial stage of the TDMASb purge (purge time<60 s), the dot shape has not been changed. However the reduction of dot height was observed with increasing purge times further (60–120 s). The GaSb dots after purging time of 90 s show the truncated pyramidal shape by the TDMASb etching. The average height and width of the etched dots were 4 nm and 70 nm, respectively. This suggests that the etching of GaSb dots enhances at the top layers of dots and it causes the reduction of dot height. After 140 s purging, all GaSb dot structures were etched by the surface reaction with TDMASb and then the surface morphology was changed to an atomically flat (1x3)-reconstructed surface. These results indicate that the dot height can be controlled from 0 to 8 nm by the TDMASb irradiation on the dot surface. Optical properties of the modified GaSb quantum dots embedded in GaAs layers will be discussed at the conference.
9:00 PM - FF12.36
Thermogravimetric Studies of Nearly Monodispersed CdS Nanoparticles Synthesized by Wet Chemical Method.
Nilima Hullavarad 1 , Shiva Hullavarad 1
1 Office of Electronic Miniaturization, University of Alaska, Fairbanks, Alaska, United States
Show Abstract9:00 PM - FF12.37
Effect of the Metal Concentation in the Formation of Pt-Pd Nanoparticles Supported on Silica in Cycloolefins Reaction.
Nancy Castillo 1 5 , Lucia Diaz Barriga 2
1 FISICA, CINVESTAV, D.F. Mexico, 5 Metalurgia y Materiales, Facultad de Quimica UNAM, D.F., Mexico, Mexico, 2 Metalurgia y Materiales, ESIQIE-IPN, D.F., Mexico, Mexico
Show Abstract9:00 PM - FF12.38
Three-Layer Core-Shell Structure in Au/Pd Bimetallic Nanoparticles.
Domingo Ferrer 1 , Selene Sepulveda 1 , Miguel Jose-Yacaman 1
1 Chemical Engineering Department, The University of Texas at Austin, Austin, Texas, United States
Show Abstract9:00 PM - FF12.4
Micropatterning and Impedance Characterization of Layer-by-Layer Carbon Black Assembly.
William Everett 2 , Jaime Grunlan 1 2 3 , C. Jan 1
2 Materials Science and Engineering, Texas A&M University, College Station, Texas, United States, 1 Mechanical Engineering, Texas A&M University, College Station, Texas, United States, 3 Chemical Engineering, Texas A&M University, College Station, Texas, United States
Show AbstractA variety of thin, functional coatings can be produced using layer-by-layer (LbL) assembly. Thin films, typically < 1 μm thick, are created by alternately exposing a substrate to positively- and negatively-charged molecules or particles in water. This deposition process is repeated until the desired number of “bilayers” (or cationic-anionic pairs) is achieved. Coatings made from poly(acrylic acid) and polyethylenimine stabilized carbon black are able to achieve a sheet resistance of 500 Ω/square with a thickness of 5.4 μm. Electrical characterization of a micropatterned carbon black assembly, throughout a range of electrolyte concentrations, was studied as a potential platform for sensor applications. These LbL assemblies were micropatterned using a photolithographic lift-off method, and a test circuit was constructed as multiple interdigitating coplanar electrodes. Impedance spectra were collected between 104 and 106 Hz within a flow cell containing NaCl solutions ranging from 0.001-1.0 M. These preliminary results demonstrate the ability to pattern conductive LbL composites and underscore the potential utility and shortcomings of their use in sensor applications.
9:00 PM - FF12.40
Fabrication of Mixed Silver/Gold Colloids: Formation,Morphology, and Optical Properties.
Frehiwot Deme 1 , Domingo Ferrer 1 , Miguel Jose-Yacaman 1
1 Chemical Engineering Department, The University of Texas at Austin, Austin, Texas, United States
Show Abstract9:00 PM - FF12.41
Growth Kinetics of Carbon Nanospheres Synthesized Using a ``Green" Technique.
Mathilda Doorley 1 , Sanjay Mishra 2 , Mohamad Laradji 2
1 Chemistry, The University of Memphis, Memphis, Tennessee, United States, 2 Physics, The University of Memphis, Memphis, Tennessee, United States
Show AbstractCarbon is a versatile element because it can form various structures with unique properties and applications. The discovery of new forms of carbon such as fullerenes has fueled the investigation of carbonaceous materials. Increasingly these materials are finding application in areas such as nanodevices, batteries, drug delivery etc. Several synthesis techniques have been used to produce spherical carbon spheres. These include ball milling, arc discharge, chemical synthesis, and pyrolosis. All these techniques produce bulk carbon nanospheres with relatively inert surfaces, which make surface modification almost unavoidable before using as supports or templates. Furthermore, controlling the size of carbon nanospheres has been challenging.Herein we report in detail a study of the growth kinetics of carbon nanospheres synthesized using a “green” synthesis technique. This technique involves the dehydration/polymerization of sugar molecules under hydrothermal conditions. An aqueous glucose solution, was hydrothermally treated between 160-190oC, to produce carbon particles with sizes ranging between 85nm and 300nm, respectively. Using TEM, the isotropic carbon nanoparticles are observed to have smooth surfaces and a tight size distribution.The intensity area ratio of I(D)/I(G) peak in Raman spectroscopy indicates presence of short-ordered carbon structure in the amorphous carbon nanospheres. The FT-IR spectroscopy shows bands in the region of 1000-1300 cm-1 and 3550-3200 cm-1 which corresponds to C-OH bending and stretching vibrations. This implies the presence of surface hydroxyl groups. In addition, bands at 1710 and 1615 cm-1 due to C=C and C=O, respectively, are most likely the result of carbonization. From the elemental analysis (by combustion), it is proposed that the inner core of the spheres is richer in carbon than the outer shell.Particle size analysis shows that the growth rate increases with the hydrothermal reaction temperature according to the Arrhenius law. Employing the Arrhenius equation, the energy barrier of 99 kJ/mole is calculated. Based on this value, and the fact that the particles are spherical, it is proposed that the growth of carbon nanospheres is lead by layer-by-layer deposition of glucose-based oligomers on top of carbon-rich nuclei. The narrow size distribution and highly hydrophilic surface of these carbon nanospheres may find potential application in biomedical applications.
9:00 PM - FF12.5
UV Resistant Poly(3,4-ethylenedioxythiophene) Thin Films: Layer-by-Layer Assembly with Absorbing Nanoparticles.
Thomas Dawidczyk 1 , Jaime Grunlan 1 2 3
1 Mechanical Engineering, Texas A&M University, College Station, Texas, United States, 2 Materials Science and Engineering, Texas A&M University, College Station, Texas, United States, 3 Chemical Engineering, Texas A&M University, College Station, Texas, United States
Show AbstractLayer-by-layer (LbL) assembly is used to create thin films by alternately exposing a substrate to positively- and negatively-charged molecules or particles in water. In recent years, LbL assemblies containing poly(3,4-ethylenedioxythiophene) (PEDOT) have been heavily studied, but little work has focused on improving the environmental stability of these thin films. Most intrinsically conductive polymers suffer from increasing resistance with exposure to ultraviolet light. In the present work, photolytic stability is improved by adding UV-absorbing nanoparticles to PEDOT assemblies. Films made by alternately depositing PEDOT-PSS and polyethylenimine (PEI) show an order of magnitude increase in sheet resistance after just 28 hours of exposure to 365 nm light. This degradation is cut in half by incorporating UV-absorbing nanoparticles, carbon black or titanium dioxide, into these assemblies. After more than 200 hours of exposure, films containing TiO2 nanoparticles have sheet resistance that is one fifth that of the unprotected PEDOT. In addition to adding UV-absorbing particles, the influence of doping and type of polycation on bulk resistivity and film growth were evaluated. These films are able to achieve bulk resistivity values below 1 Ω-cm with a thickness below 100 nm. When combined with transparency greater than 90%, these films may be useful for electrostatic dissipation layers or low conductivity electrodes in film or flexible display applications.
9:00 PM - FF12.6
Control of Surface Structure and Wetting Behavior of Layer-by-Layer Assembled Multilayer Films Based on Micelles Formed by Hydrophobically Modified PEO.
Jinhwa Seo 1 , Jodie Lutkenhaus 2 , Junoh Kim 1 , Paula Hammond 2 , Kookheon Char 1
1 School of Chemical and Biological Engineering, Seoul National University, Seoul Korea (the Republic of), 2 Department of Chemical Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States
Show AbstractThe Layer-by-Layer (LbL) deposition has been known to be one of simple and versatile methods to prepare functional multilayered films. In present study, multilayers based on the hydrogen bonding between hydrophobically modified poly(ethylene oxide) (HM-PEO) and poly(acrylic acid) (PAA) have been prepared by the LbL deposition method. The three dimensional surface structure in the multilayer films was developed due to the micelle formation and aggregation of HM-PEO in aqueous solution. It is noted from fluorescence microscopy that individual HM-PEO micelles were bridged together by the triblock character of the HM-PEO chains, causing unique surface morphology of the HM-PEO/PAA multilayer films above the critical number of layer pairs. The formation of surface morphology is studied in terms of solvent polarity, temperature, molecular weight and composition of HM-PEO and preparation method (i.e., dip vs. spin-assisted deposition). It is also shown that the different surface morphology has a remarkable effect on the wetting behavior of water droplets. The water contact angle showed the maximum at an intermediate bilayer number and then decrease rapidly as the surface structure is evolved and amplified. In the case of HM-PEO/PAA multilayers prepared by the spin-assisted LbL, there is no such surface morphology independent of the bilayer number, presumably due to the disruption of micelles during spinning. It is also observed that the multilayer samples prepared by either dipping or spinning LbL deposition yield free-standing films (transparent for spin LbL while translucent films for dip LbL), which were easily peeled off from any type of substrates.
9:00 PM - FF12.7
Self-assembly of Viruses on Patterned Polyelectrolyte Multilayers.
Pil Yoo 1 , Paula Hammond 2
1 Chemical Engineering, Sungkyunkwan University, Suwon, Kyungki-Do, Korea (the Republic of), 2 Chemical Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States
Show AbstractA simple yet robust technique of incorporating ordered bio-functional viral assemblies into a patterned electrostatic thin film of polyelectrolyte multilayers have been demonstrated. We used negatively-charged engineered M13 bacteriophage (virus) as the adsorbant species on a weakly charged polyelectrolyte multilayers (PEM) support consisting of a cationic linear polyethylenimine (LPEI) and anionic polyacrylic acid (PAA). In order to realize the patterned self-assembly of viruses, a concept of conventional polymeric molding technique was employed for a patterning of the supporting layer of polyelectrolyte multilayers. Instead of using a thermal molding of polymer at high temperature condition that can bring about a cross-linking problem, however, we use solvent-assisted processibility to soften the polyelectrolyte multilayers. Under such a condition, saturated water vapor can penetrate into the polymer film and makes it to be molded into the micro-structures of externally applied PDMS pattern. Once after a preparation of patterned polyelectrolyte multilayers, the virus adsorbant is subsequently applied onto the film. Due to an enhanced surface mobility of weakly charged polyelectrolyte multilayers, the LPEI/PAA multilayer provides full mobility to the M13 viruses, and the adsorption process drives self-assembly and entropically-driven ordering of viruses. The resulting monolayer formation of M13 virus can be tuned for its packing density and directional alignment. We also demonstrated its potential applicability as a highly efficient analyzing tool for biological recognition. Through a further combination with additional physiochemical or biological properties, we can expect the implementation of multifunctionality to the hierarchically structured polymeric patterns.
9:00 PM - FF12.8
Tunable Resistive m-dPEG Acid Patterns on Polyelectrolyte Multilayers at Physiological Conditions- Template for Directed Deposition of Bio-macromolecules.
Srivatsan Kidambi 1 2 , Christina Chan 1 , Ilsoon Lee 1
1 Department of Chemical Engineering and Materials Science, Michigan State University, East Lansing, Michigan, United States, 2 Center for Engineering in Medicine, Harvard Medical School, Massachusetts General Hospital, Shriners Hospital, Boston, Massachusetts, United States
Show Abstract9:00 PM - FF12.9
Colloidal Crystallization on Tilted Flat Substrates by Centrifugation.
Yoshihisa Suzuki 1 , Atsushi Mori 2 , Katsuhiro Tamura 1
1 Department of Life System, Institute of Technology and Science, The University of Tokushima, Tokushima Japan, 2 Department of Advanced Materials, Institute of Technolgy and Science, The University of Tokushima, Tokushima Japan
Show AbstractFabrication of large close-packed colloidal crystals (CPCCs) is important, since a CPCC was used as a good template of an inverse opal, which had a complete three-dimensional photonic bandgap (Blanco, et al. Nature 2000, 405). Although the largest grain of CPCCs (square centimeters X ~21 μm thick) was obtained by Yin, et al. (Langmuir 2003, 19) by templating against two-dimensional regular arrays of square pyramidal pits, thicker grain will be favorable for device applications. We paid attention to one directional crystallization by controlled sedimentation of colloidal particles. The controlled sedimentation probably enables us to make infinitely thick grain in principle.In this study, we obtained highly concentrated (volume fraction φ = 0.60) and three-dimensionally large (0.1 mm X 1.0 mm X 3.0 mm in a pillar-like shape) grains of colloidal crystals on tilted flat substrates by centrifugation of polystyrene particles (diameter d = 0.20 μm) in water. The grains had face-centered-cubic structure and almost all the {111} planes of the grains oriented parallel to the tilted substrates. The highly concentrated crystal can be dried without inducing cracks. The dried crystal is CPCC. The centrifugation enabled one-directional crystallization. The tilted flat substrates (side wall of the crystal growth cell) continuously supplied nucleation sites of the {111} oriented grains during the crystallization. This mechanism resulted in the large size of the grains. The obtained structure of the grains showed the “geometrical selection” of the pillar-like grains. The structure looked similar to the solidification structure (columnar texture) of metal alloys. Through the geometrical selection, the farther the growth front of the crystal reached, the smaller the number of its constituent grains (in other words, the larger the size of the grains) became. Thus the geometrical selection indeed led to the pillar-like structure of the large grains. The average grain size also increased with decreasing sedimentation rate, since the decrease resulted in the decrease in the nucleation rate at the bottom of the crystal growth cell. Thicker crystal will be obtained easily by using thicker crystal growth cells.