Symposium Organizers
Ioannis Kymissis, Columbia University
Thomas D. Anthopoulos, Imperial College London
Brendan O'Connor, North Carolina State University
Matthew Panzer, Tufts University
M4: Devices and Systems I
Session Chairs
Ioannis Kymissis
Matthew Panzer
Tuesday PM, December 03, 2013
Hynes, Level 2, Room 208
2:30 AM - *M4.01
Electromechanical Response of Organic Thin Films
Vladimir Bulovic 1 Farnaz Niroui 1 Annie Wang 1 Ellen Sletten 1 Apoorva Murarka 1 Matthew D'Asaro 1 Timothy Swager 1 Jeffrey Lang 1
1Massachusetts Institute of Technology Cambridge USA
Show AbstractWe demonstrate that mechanical deformation of molecular films under external electrical fields can be used as a nano-scale mechanical switch. We show, through numerical simulation, that the tunneling current can increases 9 orders of magnitude as a 4nm-thick molecular film, with a Young&’s modulus of 1MPa, undergoes a 50% compression under a minimum applied voltage of approximately 0.5V. In this geometry switching response times can be as fast as 1ns, and switching energies can correspond to only a few eV are feasible. Our experimental measurements follow the numerical analysis and suggest that the development of a reliable electromechanical modulation of tunneling current through organic nano-scale thin-films can enable new low power switching and sensing applications.
3:00 AM - M4.02
Marco-Scale Integration of Three-Dimensional ZnO Nanowire Piezotronic Transistors Matrix for Self-Powered Artificial Skin
Wenzhuo Wu 1 Xiaonan Wen 1 Zhong Lin Wang 1 2
1Georgia Institute of Technology Atlanta USA2Beijing Institute of Nanoenergy and Nanosystems, Chinese Academy of Sciences Beijing China
Show AbstractDesigning, fabricating and integrating arrays of nanodevices into a functional system is the key for transferring nano-scale science into applicable nanotechnology. Using the piezoelectric polarization charges created at metal-semiconductor interface under strain to gate/modulate transport process of local charge carriers, we successfully implemented the three-dimensional (3D) array of independently addressable two-terminal piezotronic transistors based on vertically aligned ZnO nanowires (NWs) by piezotronic effect, which converts mechanical stimuli applied on the devices into local electronic controlling signals.
The elimination of wrap gate electrode offers a new approach for 3D structuring. The basic structure of a 3D strain-gated vertical piezotronic transistor (SGVPT) consists of one or multiple vertically-grown ZnO NWs in contact with bottom and top electrodes. By combining the patterned in-place bottom-up hydrothermal synthesis of vertically aligned ZnO NWs at low-temperature with state-of-the-art top-down microfabrication techniques, macro-scale integration of SGVPT array with taxel (tactile pixel) density of 92 × 92 in 1 cm2 has been achieved and parallel manufacturing of SGVPT arrays on 4-inch PET flexible substrates has been presented. The taxel area density of SGVPT array is 8464/cm2, not only enabling a 15-to-25-fold increase in number of taxels and 300-to-1000-fold increase in taxel area density compared to recent reports (~ 6-27/cm2), but also much larger than the number of mechanoreceptors embedded in the human fingertip skins (~ 240/cm2).
The integrity of device operation within the array, such as current response with and without applied pressure and quality of formed Schottky contacts between ZnO NWs and electrodes, have been investigated, revealing the good uniformity in electrical characteristics among all of the taxels. The reliability and stability of device operations have also been probed, which indicates a good stability of SGVPT array operation for future applications like in vivo physiological sensing in complex environments for certain designed time of period. The feasibility of SGVPT array for applications such as self-powered active and adaptive artificial skin without external bias has been presented as well. The scalability of this technology in integrating in-place synthesized single-crystalline NWs in controllable manners together with its demonstrated compatibility with state-of-the-art microfabrication techniques enables future implementation of nanomaterials for practical applications in human-electronics interfacing, prosthetics and novel surgical instruments.
Ref: Wu W. Z.*, Wen X. N.*, Wang Z. L. Taxel-addressable matrix of vertical-nanowire piezotronic transistors for active and adaptive tactile imaging. Science 340, 952-957, 2013.
*Authors with equal contributions
3:15 AM - M4.03
Roll-to-Roll Production of Highly Conductive Patterns by Inkjet Printing and Photonic Sintering of Metal Based Inks - A Possible Route towards Large Area Flexible Electronics
Tim van Lammeren 1 Jean-Pierre Teunissen 1 Eric Rubingh 1 Marcel Everaars 2 Rob Hendriks 1 Robert Abbel 1 Sjoerd van de Geijn 2 Peter Spoor 2 Pim Groen 1 3
1Holst Centre - TNO Eindhoven Netherlands2Stork Prints B.V. Boxmeer Netherlands3Delft University of Technology Delft Netherlands
Show AbstractRoll-to-roll (R2R) manufacturing technologies are a promising approach towards the mass production of printed electronic devices, which can, for certain fields of applications, compete with products fabricated using more established methods. A key advantage is the possibility to employ a continuous instead of batch-wise production, which enables the fabrication of large area functional devices at high speeds and efficiencies, while at the same time keeping production costs and energy consumption low.
An example for such an approach is the deposition of metal containing inks on flexible plastic substrates by inkjet printing. It is increasingly investigated by academia and industry for its potential to manufacture electrically conductive structures with high resolution. These can serve a number of functions, e.g. as shunt lines or current collecting grids in the transparent electrodes of organic light emitting diodes (OLEDs) and organic photovoltaic cells (OPVs), respectively, or as antennas in RFID devices. Since, however, most conductive inks do not develop high electrical conductivities just by being printed, fast and efficient post-deposition treatment technologies such as drying and sintering are also necessary in order to make the entire process feasible for application on an industrial scale.
In this presentation, an approach is presented which combines inkjet printing of conductive inks on plastic foils with a fast and selective post-deposition treatment technique, photonic flash sintering, on a R2R pre-pilot demonstration line. Photonic flash sintering is a technology that allows to improve the conductivities of printed silver structures on foils within fractions of a second without substrate deformation. It is therefore much faster than the traditional approach of thermal sintering using hot air, and thus enables high production speeds without the need of enormously long and energy-inefficient heating ovens.
At current state, the R2R pre-pilot line is equipped with a single industrial inkjet head, allowing functional structures of up to 70 mm total width to be produced. Initial test runs indicated a stable and reliable process, and the installation of more printheads is planned in order to enlarge the printing area. On standard PEN foil, the smallest line width which can be achieved is 70 micron, and photonic sintering results in sheet resistances down to 6.3 m#8486;/sq/mil (corresponding to a conductivity of 10 % of the value of bulk silver) at a substrate speed of 6 m/min. The conductive structures produced by this process have a promising potential to be integrated in ITO-free organic devices such as OLEDs and OPVs on a large scale and at low production costs.
3:30 AM - *M4.04
Reconfigurable Microsystems for Fabrication of Large Area Transparent Conducting Electrodes
Christopher Bettinger 1
1Carnegie Mellon Pittsburgh USA
Show AbstractTransparent conducting electrodes (TCE) are an integral component of optoelectronic devices used in technologies ranging from clean energy production to consumer electronics. Indium tin oxides (ITO) are commonly used in many applications despite many challenges associated with the high cost of raw materials, brittle mechanical properties, and processing steps that often require high vacuum and elevated temperatures. Solution-processing of metallic nanomaterials into large area TCE presents a realistic alternative to rare earth oxide films such as ITO. However, the performance limits of disordered arrays of metallic nanomaterials as measured by simultaneous optical transmittance and electrical conductivity are well-characterized and difficult to overcome using randomly oriented structures. Here we present recent efforts on designing a hybrid fabrication strategy for TCE fabrication of ordered silver nanowire (AgNW) networks. The first part of the discussion will focus on recent efforts in which microfluidic constructs are utilized to optimize general processing conditions of AgNW. Next, a hybrid strategy based on transfer printing of solution-templated AgNW arrays using donor substrates with reconfigurable topography will be presented. TCE based on AgNW arrays with areas ~1 cm2 can be rapidly integrated with flexible substrates. AgNW networks exhibit a sheet resistance Rs of 29 Ohm/square and 88.6% transparency (wavelength of incident light lamda,hv = 550 nm), which are comparable to high-end ITO coatings (sheet resistance of Rs,ITO ~ 10-50 Ohm/square; specular transmittance of T,ITO ~ 85%). Flexible TCE processed in this manner exhibit performance metrics comparable to ITO layers 200 nm in thickness while obviating processing steps that employ extreme temperatures, high vacuum, or photolithography.
4:30 AM - *M4.05
Batteries Included: Adding Energy without Disrupting Utility
Daniel A Steingart 1 Benjamin Hertzberg 1 Alla Zamarayeva 1 Carrie Mae Rose 2 Jake Garcia 1
1Princeton University Princeton USA2Eyebeam New York USA
Show AbstractLarge area applications range from fine clothing to structural aerospace materials. In these application, the substrate is not simply packaging for functional materials, it is a carefully design functional material. When overloading this functionality with energy storage care must be taken to preserve the intended, original functionality. In this presentation we discuss the development of large area batteries for disposable electronics, haute couture, and for the N+3 shuttle program. While solution deposition processes are the backbone for all covered processes, the application requirements drive important differences in materials selection and process parameters.
We will discuss various strategies for maximizing interfacial coverage over large areas, optimizing porous electrode structures when flexibility is an additional constraint, and discuss the effects of overloaded functionality (e.g. energy storage) on the intrinsic and desired advantages of a given substrate. For example, does filling the void space of a carbon mat alter its applicability as an aerospace material? How does material loading effect “drape” of fine fabrics? How does one ensure the biocompatibility of a battery intended for a “smart bandage”? If these questions cannot be addressed, than the arbitrary addition of a battery to a functional, llarge area substrate is for naught.
5:00 AM - M4.06
Micrometer-Resolution Electroluminescence Parallel-Imaging of Pressure Distribution Using Piezoelectric Nanowire-LED Array
Caofeng Pan 1 2 Zhong Lin Wang 1 2
1Georgia Institute of Technology Atlanta USA2Beijing Institute of Nanoenergy and Nanoscience, Chinese Academy of Sciences Beijing China
Show AbstractEmulation of human senses via electronic means has long been a grand challenge in research of artificial intelligence as well as prosthetics, and is of pivotal importance for developing intelligently accessible and natural interfaces between human/environment and machine. Unlike other senses (seeing, hearing, smelling and tasting), capability of skin for touch sensing remains stubbornly difficult to be mimicked, which necessitates the development of large-scale pressure sensor arrays with high spatial-resolution, high-sensitivity and fast response. In this talk, we present a novel design of nanowire LED arrays, which can be used to directly record the strain distribution by piezo-phototronic effect. This work is published on Nature Photonics.1
In our previous work, we have demonstrated how the piezo-phototronic effect can be effectively utilized to enhance the emission intensity of an n-ZnO/p-GaN NW LED. The emission light intensity and injection current at a fixed applied voltage has been enhanced by a factor of 17 and 4 after applying a 0.093% compressive strain, respectively. Here, we extend the single NW device to NW LEDs array, for pressure/force sensor arrays for mapping strain with a resolution as high as 2.7 mu;m. Such sensors are capable of recording spatial profiles of pressure distribution, and the tactile pixel area density of our device array is 6250000/cm2, which is much higher than the number of tactile sensors in recent reports (~ 6-27/cm2) and mechanoreceptors embedded in the human fingertip skins (~ 240/cm2).
When the device is under pressure, the images unambiguously show that the change in LED intensity occurred apparently at the pixels that were being compressed by the molded pattern, while those were off the molded characters showed almost no change in LED intensity. Instead of using the cross-bar electrodes for sequential data output, the pressure image is read out in parallel for all of the pixels at a response and recovery time-resolution of 90 ms. This may be a major step toward digital imaging of mechanical signals by optical means, with potential applications in touch pad technology, personalized signatures, bio-imaging and optical MEMS.
Reference:
1. Pan, C. F. et al. Micrometer-resolution electroluminescence parallel-imaging of pressure distribution using piezoelectric nanowire-led array. Nature Photonics Accepted, (2013).
5:15 AM - M4.07
Mechanical Properties of Organic Semiconductors for Large-Area, Ultra-Compliant Applications
Darren Lipomi 1 Suchol Savagatrup 1 Daniel J Burke 1
1University of California, San Diego La Jolla USA
Show AbstractOrganic conductors and semiconductors have always been regarded as mechanically resilient alternatives to inorganic materials for large-area, flexible, and stretchable applications. This intuition, however, does not take into account the wide range of mechanical properties possessed by films of conjugated polymers, small molecule dyes, fullerenes, and composites—from truly plastic in the case of pure poly(3-dodecylthiophene) to extremely brittle in the case of many polymer:fullerene blends. The fragility of films of some of the best-performing organic semiconductors has profound consequences for devices in the real world and for large-area production. Understanding and improving the compliance and interfacial adhesive properties of conjugated polymers is necessary, because the applications for which organic devices are among the most logical solutions—e.g., compliant devices for off-grid energy, integration with textiles, and other portable applications—are the applications that are most likely to undergo mechanical deformation. Even for applications in which the devices are to be fixed in place (e.g., utility-scale solar farms), the devices must withstand the stresses of roll-to-roll coating, diurnal and seasonal thermal expansion, the pressure of wind, and the weight of snow and rain. This presentation describes our group&’s efforts to understand the structural determinants of the mechanical properties of organic semiconductors. We find, for example, that the tensile modulus of a polymer decreases with the size of the solubilizing alkyl chains for polythiophenes, but that long solubilizing chains can decrease the adhesive strength of the polymer to other layers in the device and thus lead to forms of failure arising from delamination. We then present some general guidelines for improving the robustness of large-area organic solar cells using existing materials, and some insights that might lead to new molecular structures that exhibit significant elasticity.
5:30 AM - *M4.08
Nanogenerators for Self-Powered Systems and as Active Sensors
Zhong Lin Wang 1 2
1Georgia Institute of Technology Atlanta USA2Beijing Institute of Nanoenergy and Nanosystems Beijing China
Show AbstractCharges induced in triboelectric process are usually referred as a negative effect either in scientific research or technological applications, and they are wasted energy in many cases. Here, we demonstrate a simple, low cost and effective approach of using the charging process in friction to convert mechanical energy into electric power for driving small electronics. The triboelectric nanogenerator (TENG) is fabricated by stacking two polymer sheets made of materials having distinctly different triboelectric characteristics, with metal films deposited on the top and bottom of the assembled structure [1]. Once subjected to mechanical deformation, a friction between the two films, owing to the nano-scale surface roughness, generates equal amount but opposite signs of charges at two sides, respectively. Thus, a triboelectric potential layer is formed at the interface region if the generated triboelectric charges are separated by a small distance; the electrons in the external load are driven to flow for generating an induced potential for screening the triboelectric potential. This is the mechanism of the trioboelectric nanogenerator. Triggered by commonly available ambient mechanical energy such as human footfalls, a NG with size smaller than a human palm can generate maximum short-circuit current of 2 mA, delivering instantaneous power output of 1.2 W to external load. The power output corresponds to an area power density of 313 W/m2 and a volume power density of 54,268 W/m3 at an open-circuit voltage of ~1200 V. The power was capable of instantaneously lighting up as many as 600 multi-color commercial LED bulbs. [2-5]. TENGs have the potential of harvesting energy from human activities, rotating tires, ocean waves, mechanical vibration and more, with great applications in self-powered systems for personal electronics, environmental monitoring, medical science and even large-scale power.
[1] F.R. Fan, Z.Q. Tian and Z.L. Wang, Nano Energy, 1 (2012) 328-324.
[2] F.R. Fan, L. Lin, G. Zhu, W.Z. Wu, R. Zhang, Z.L. Wang, Nano Letters, 12 (2012) 3109.
[3] G. Zhu, C.F. Pan, W.X. Guo, C.-Y. Chen, Y.S. Zhou, R.M. Yu, Z.L. Wang, Nano Letters, 12 (2012) 4960 - 4965.
[4] S.H Wang, L. Lin, Z. L. Wang, Nano Letters, 12 (2012) 6339.
[5] G. Zhu, Z.H. Li n, Q.S.Jing, P. Bai, C.F. Pan, Y. Yang, Y.S. Zhou, and Z.L. Wang, Nano Letters, 13 (2013) 847
M5: Poster Session
Session Chairs
Ioannis Kymissis
Matthew Panzer
Tuesday PM, December 03, 2013
Hynes, Level 1, Hall B
9:00 AM - M5.01
Observation of a Large Photo-Response in a Single Nanowire and Nanowire Array Devices of Charge Transfer Complex Cu: TCNQ
Rabaya Basori 1 Kaustuv Das 1 Arup K Raychaudhuri 1
1S N Bose National Centre for Basic Sciences Kolkata India
Show AbstractIn recent years, a variety of semiconductor nanowires (NWs) have been synthesized and used as basic building blocks for the development of electronic and optoelectronic nanodevices. In particular there are exciting reports of optoelectronic nanodevices made from single nanowires or arrays of nanowires. We report an ultra large photo responsivity of 8x10^4 A/Watt in a nanowire (NW) device made from a single strand of a nanowire (diameter ~30nm and length ~200nm) and 0.3 mA/W that of an array (diameter ~30nm and length ~1.7mu;m) of the charge transfer complex Cu:TCNQ, a material well known for its application in MEMRISTORS and resistive non-volatile RAM. The single NW device made in metal-semiconductor-metal (MSM) configuration with Pt electrodes with separation ~200nm shows a large response at wavelength 405 nm with a zero bias current that shows an enhancement over the dark current exceeding 10^5 and that of array is ~10^4. Analysis of the I-V curves in dark and under illumination shows that the large photoresponse of the devices arise predominantly due to reduction of the Schottky barriers at the MS interfaces due to diffusion of the photo generated carriers from the NW to the contact region. This conclusion has been corroborated by making similar measurements in a MSM device of array of NW with one contact nearly ohmic and another of Schottky type. It is found that a large optical response occurred when the MS contact with Schottky barrier is reverse biased. The reduction of responsivity of array nanowire compared that of single NW, occurs due to larger length of the former. This observation, in addition to an ultra-large photoresponse, opens up the possibility to add an opto-electronic functionality to the conventional MEMRISTOR or resistive non-volatile RAM devices.
9:00 AM - M5.02
Deterioration and Recovery in Electrical Conductivity during Fatigue Test of Stretchable Wires Printed on Fabrics Using Electrically Conductive Pastes with Ag Loading
Masahiro Inoue 1 Yasunori Tada 1 Yousuke Itabashi 1 Tomohiro Tokumaru 2
1Gunma University Kiryu Japan2Bio Signal Co., Ltd. Osaka Japan
Show AbstractStretchable wires formed on clothing by the additive printing method were characterized as leads for monitoring biological signals from the human body. The wires were prepared using electrically conductive pastes composed of acrylic-based or polyurethane-based binders containing Ag flakes. These pastes could be successfully cured at 100 °C. Although the electrical resistivity of these pastes apparently varied depending on binder chemistry, low electrical resistivities of 20-80 mOmega;cm were obtained after curing. The wires were encapsulated using electrically insulative pastes by stencil printing. The inter-wire insulation was sufficiently maintained even in 0.5% NaCl solution with an applied voltage of 1 V. Electrocardiograms (ECG) were monitored using the printed wires as leads from human bodies during normal activities of daily life. During ECG monitoring, the printed wires exhibited a tolerance to electrostatic noise due to deformation of the wires.
However, fatigue tolerance is a serious problem of the stretchable wires printed on fabrics. The electrical resistance of the printed wires increased with increasing elongation. In addition, the resistance continuously increased during a fatigue test although the magnitude of fatigue damage was different depending on the binder chemistry.
The increase of electrical resistance of the wires was found to be caused by two factors, including the Mullins effect softening and cracking. In general, the softening (decrease in elastic modulus) of elastomers by the Mullins effect can be recovered by annealing at 80-100 °C. In the case of the stretchable wires, recovery in elastic modulus was also observed during annealing at 100 °C. The recovery of electrical resistance of the printed wires coincided of the Mullins effect healing. In this paper, fatigue and recovery mechanisms of the stretchable wires printed on fabrics will be discussed in detail.
9:00 AM - M5.03
Ultra Large Scale Integration of Flexible Inorganic Electronics
Mohamed T Ghoneim 1 Jhonathan P Rojas 1 Muhammad Mustafa Hussain 1 Amir Hanna 1
1King Abdullah University of Science and Technology Thuwal Saudi Arabia
Show AbstractFlexible electronics is an emerging field with serious technology potential [Adv. Mat. 24, 5284 (2012), Adv. Fun. Mat. 21, 29 (2011)]. Although commercially available high resolution flexible displays exist, still ultra-large-scale-integration (ULSI) devices like traditional electronics is yet to be developed. Performance-functionality-cost have made usage of inorganic substrates specially silicon the champion of modern devices and ICs. Therefore, we show that low-cost bulk mono-crystalline (100) silicon wafer (4”) with already fabricated devices can be transformed into flexible (25 mu;m thick fabric with bending radius of 1 cm) and often semi-transparent (7% transmittance) electronics using a trench-protect-release-recycle process. To carry out such process, first we fabricate the devices on a regular silicon wafer without compromising any integration density or high thermal budget, then cover the devices with a hard or soft mask, pattern it to make trenches through the deposited mask to land on the inactive (unused) areas of the substrate, next perform a deep-reactive-ion-etching into the silicon, depositing a protective sidewall and then perform a XeF2 based isotropic etch to release the top portion of the silicon substrate with the already fabricated devices [MRS Fall Meeting 2012, MEMS 2012, Appl. Phys. Lett. 102, 064102 (2013)]. Using this process we show ULSI of thousands of metal-insulator-metal-capacitors (MIMCAPs) fabricated with high-k/metal gate stacks (TaN/Al2O3/TaN). MIMCAPs are often used as the basic building blocks for dynamic random access memory, ultra and supercapacitors and recently for hydrogen based fuel cell. We focus on high density memory devices where form factor (F2) plays critical role to achieve such attribute. Since inorganic substrates, especially silicon is the most compatible substrate for the most advanced lithography therefore flexing a silicon substrate is the most pragmatic approach to attain such goal. Additionally in our approach we reuse the same silicon wafer multiple times as the flexible silicon is released layer-by-layer with already integrated devices. Although plastic based electronics may offer initial cost lucrativeness, in reality the discreet positioning of the devices increase the cost when the metric turns into bit (unit of data) per cost. Additionally, we show that the holes (10 mu;m diameter, 25 mu;m deep) needed to release the flexible silicon layers (fabrics) with atomic layer deposition of the MIM stack inside the holes increase the MIMCAP active area. Our study shows that these additional MIMCAP areas provide extra capacitance per planar unit area due to the uniformly deposited TaN/Al2O3/TaN stack. We use scanning electron microscopy and electrical characterization to confirm this interesting phenomenon. To summarize, we have demonstrated a pragmatic approach to achieve ULSI of flexible inorganic electronics in a cost effective way for future generation consumer electronics.
9:00 AM - M5.04
Large Area Thin (70 nm) Flexible Monocrystalline Silicon for CMOS Compatible Device Integration
Galo A Torres Sevilla 1 Aftab M Hussain 1 Jhonathan P Rojas 1 Muhammad Mustafa Hussain 1
1King Abdullah University of Science and Technology Thuwal Saudi Arabia
Show AbstractToday&’s digital and information era is driven by silicon based micro and nanofabrication. Silicon based semiconductor industry has played a vital role in the development of complex technologies such as high performance computation, reliable communication, and sensors. Semiconductor industries are based on silicon, an excellent semiconductor with outstanding electrical and mechanical properties. Also its natural abundance makes it affordable in price. However, silicon is brittle and cannot be easily flexed for applications that demand integration of electronics on irregular shapes. Many different approaches have been made in the field of flexible electronics using plastics [PNAS USA. 98, 4835 (2001); Nat. Mater. 3, 106 (2004); Adv. Mater. 24, 34 (2012); Angew. Chem. Int. Edit. 47, 5524 (2008)]. However, there are three major drawbacks that hinder plastics for high performance applications: i) incompatibility with high thermal budget processes, ii) inherent low electron mobility and iii) incompatibility for ultra-large-scale-integration. Some other approaches have been made to obtain flexible monocrystalline silicon from silicon-on-insulator (SOI) wafers [Adv. Funct. Mater. 21, 3029 (2011), Nat. Mater. 5, 388- (2006)] and with abrasive processes such as back-gridding and polishing. The major limitation with these processes is the amount of area lost in the case of SOI released silicon and the danger of destroying the on-top chips for the case of polishing and gridding.
Previously we have reported a novel way of releasing thin silicon sheets from low-cost bulk (100) wafers [Proc. MESM 2012, pp. 281. MRS Fall Meeting 2012]. In this work, using similar techniques, we report a way of releasing large area thin (70 nm thick) silicon films from standard SOI wafers. First we deposit a layer of Al2O3 using atomic layer deposition to protect the top silicon from being etched in future release steps. Next we create trenches in the silicon and buried oxide layers to give access to the isotropic etchant for release, in this case, compared to our previous work, there is no need of using DRIE and thus reducing the cost of the overall process. Then, we deposit a second layer of Al2O3 and remove it from the bottom of the trenches using highly directional RIE to create the spacers in the sidewall and protect the top silicon from being etched laterally by the isotropic etchant. Finally, the needed piece or the whole SOI wafer (8”) is exposed to XeF2 isotropic etch which creates caves below the BOX, once these caves meet, the top portion of the wafer is completely released and transferred to PDM, which gives the thin monocrystalline silicon layer mechanical support.
With this work, we make major progress by eliminating the scallop shapes created at the bottom of the released substrate. We also take advantage of the high active/etched ratio that we can obtain when compared with previously reported silicon release from SOI substrates.
9:00 AM - M5.05
High Throughput Electrochemical Method for Ohmic Contact Optimization in Printed Rectifying Diodes
Petri Samuli Heljo 1 Himadri Majumdar 2 Donald Lupo 1
1Tampere University of Technology Tampere Finland2VTT Technical Research Centre of Finland Espoo Finland
Show AbstractThe performance of the printed semiconductor devices depends heavily on the bulk semiconductor and charge injection properties. For example, in vertical diodes the bulk semiconductor properties dominate the device characteristics with thick semiconductor layers. However, with thin semiconductor layers the charge injection and collection properties of the electrodes become more important. P-type semiconductor materials are widely used in printed electronics due to the good stability compared to most n-type materials. The HOMO levels of the p-type semiconductors are often about -5eV. Thus, formation of a good ohmic contact to these materials is problematic with most materials. For example, layer of PEDOT:PSS, molybdenum oxide or vanadium pentoxide has been used to improve the ohmic contact. However, deposition of ultra thin additional layer makes the fabrication process more complex and limits the production volume. Some metal oxides, including TiO2 and Ag2O, have rather semiconducting than insulating properties. In 2010, Lilja et al. proposed that a thin oxide layer on top of Ag anode electrode enhances the ohmic contact with p-type poly(triarylamine) (PTAA) semiconductor in printed rectifying diodes [1]. Therefore, optimization of the oxide layer thickness could enhance the ohmic contact and device operation.
We report rectifying diode contact optimization method using anodic oxidation of silver. The method is suitable for high volume production and enables sub-1 nm modifications to oxide layer thickness. The effect of the oxide layer thickness on rectification ration, forward current and AC rectification are reported. The results demonstrate the usability of the method in printed electronics device fabrication.
[1] K.E. Lilja, H.S. Majumdar, F.S. Petterson, R. Österbacka, T. Joutsenoja, Enhanced Performance of Printed Organic Diodes Using a Thin Interfacial Barrier Layer, ACS Appl. Mater. Interfaces, 3 (2011) 7-10.
9:00 AM - M5.06
Tunable Electromechanical Properties of n-Type Hydrogenated Amorphous Silicon for Large Area Fabrication of MEMS Microresonators
Joao Mouro 1 Alexandra Gualdino 1 Joao Pedro Conde 1 2 Virginia Chu 1
1INESC Microsistemas e Nanotechnologias and IN- Institute of Nanoscience and Nanotechnology Lisbon Portugal2Instituto Superior Tamp;#233;cnico Lisbon Portugal
Show AbstractThin film silicon microelectromechanical systems (MEMS) can be fabricated using surface micromachining techniques. The large-area and low-temperature deposition processes (<300°C) allows using alternative substrates, such as glass or plastic, with some unique features, like transparency, flexibility, low-cost or lightweight. Due to its low process temperature and the possibility of choosing compatible materials, it is also expected that thin film MEMS can be monolithically integrated with electronics as part of the backend processing of CMOS technology. Large area thin film MEMS resonators thus have a wide range of potential new applications as sensors and actuators.
For thin film MEMS application, the parameters that most influence device fabrication and performance are the deposition rate, electrical conductivity and mechanical stress. In this work, n-doped hydrogenated amorphous silicon thin films were deposited by rf-PECVD (using a mixture of silane, hydrogen and phosphine) and a systematic study of deposition conditions (hydrogen dilution, substrate temperature, rf-power coupled to the plasma and deposition pressure) was performed with the aim of understanding how the deposition conditions affect the properties of the material for MEMS structural layer applications.
Different internal structures of silicon thin films, associated to a set of properties, were identified. Typically, tensile mechanical stress is associated to low electrical conductivity and compressive mechanical stress to higher electrical conductivity. Deposition rate can be controlled by the rf-power or the pressure of deposition and is relatively independent of mechanical stress and conductivity.
MEMS resonators were fabricated by surface micromachining on glass substrates, using four distinct and characteristic silicon thin-film amorphous and nanocrystalline structural layers, deposited at 200°C on an aluminum sacrificial layer. The resonators are electrostatically actuated in vacuum and its displacement is optically and electrically detected. Each structural silicon film presents a different set of material properties, covering a wide range of intrinsic stress values (from tensile to highly compressive). Very significant differences on the resonance frequency, quality factor and actuation forces were detected on analogous resonators made with films with different types of intrinsic stress. Quality factors up to ~10000 in vacuum were measured for the range of lengths of the microresonators (20 - 250 µm). Mechanical models were used to understand the resonance frequency and quality factor behavior of these resonators in terms of the stress of the structural materials.
This work presents a detailed look at the range of material properties attainable with n-type amorphous and nanocrystalline silicon thin films and will discuss how these properties affect the performance of MEMS resonators that utilize these films as structural layers.
9:00 AM - M5.08
Kinetics of Electric Field through Thickness( ldquo;Zrdquo;) Alignment of Anisotropic Particles for Z Direction Property Enhancement
Saurabh Batra 1 Emre Unsal 1 Mukerrem Cakmak 1
1University of Akron Akron USA
Show AbstractIn this research, we demonstrate a liquid casting based roll-to-roll process1 to create continuous directionally anisotropic films where inorganic particles are aligned along the thickness (Z-direction) of the film through application of electric field prior to solidification. To understand the process and its optimization, we developed a real time measurement system that tracks in and out of plane birefringence2 that allowed us to monitor the reorientation of particles in “Z-direction” under a wide range of simulated processing conditions.
We chose a photocurable resin (NOA65) as the matrix and clay (Cloisite 30B) as the filler, the clay is dispersed in the monomer and poured in a cell with two ITO coated glasses, electric field is then applied through the thickness to induce out of plane orientation of particles inside the monomer solution. At higher voltages a faster birefringence response was observed before the maximum orientation was achieved, whereas at lower voltages the orientation response was slower. The use of higher particle concentration caused frustration between the particles leading to increase in the time required to reach maximum orientation whereas the maximum averaged orientation was decreased with increasing concentration. After the maximum orientation was achieved at a particular voltage, frequency and concentration the morphology was frozen using a UV light source for further characterizations including wide angle X-ray diffraction (XRD), TEM, thermal conductivity, dielectric properties etc. Combining the birefringence measurements with XRD we are able to determine the orientation of both exfoliated and intercalated particles, thereby determining the minimum voltage required for maximum possible alignment of clay platelets.
References
(1) CAKMAK, M. WO Patent WO/2011/008,870 2011.
(2) Unsal, E.; Drum, J.; Yucel, O.; Nugay, I. I.; Yalcin, B.; Cakmak, M. The Review of scientific instruments 2012, 83, 025114.
9:00 AM - M5.09
Tin/Indium (Sn/In) Nanosolders and Their Application in Micro- and Nanoscale Assembly and Packaging
Yang Shu 1 Fan Gao 1 Zhiyong Gu 1
1University of Massachusetts Lowell Lowell USA
Show AbstractMany electronics and photonics manufacturing processes require low temperature joining or bonding, such as flexible electronics, electronics or photonics involving thermal sensitive components, etc. To meet this requirement as well as satisfy the need for energy saving, low melting point solder particles are necessary. Herein we report the synthesis of tin/indium (Sn/In) nanoparticles as a new nanosolder material for low temperature assembly and joining processes. The Sn/In nanosolder particles are synthesized by a surfactant-assisted chemical reduction method in an aqueous solution. The parameters that affect the synthesis process such as solution pH, stirring speed and surfactant concentration are investigated to control the size and shape of the nanosolder particles. It is found that oxidation have a significant effect on the nanosolder formation. SEM, TEM, EDS and XRD are used to determine the structure and composition of the nanosolders. DSC test has been conducted to determine the melting points of the nanosolders and confirm the alloy formation. Our results show that the nanosolder particle size and shape can be well controlled under optimum conditions. Furthermore, the melting and wetting behavior of the nanosolders on various substrates are probed, and the joining properties have been tested between different components. These low melting point nanosolders have great potential for such applications as micro- or nanojoining techniques, bonding and interconnection on flexible electronics, and have the capability to be scaled up for large area assembly and patterning.
9:00 AM - M5.10
Influence of the Electron Deficient Co-Monomers on the Optoelectronic Properties and Photovoltaic Performances of Dithienogermole-Based Copolymers
Chin Pang Yau 1 Zhuping Fei 1 Raja Shahid Ashraf 1 Munazza Shahid 1 Vasilis G. Gregoriou 2 Christos Chochos 2 Martin Heeney 1
1Imperial College London London United Kingdom2Advent Technologies SA Patras Greece
Show AbstractA series of donor-acceptor (D-A) conjugated polymers utilizing 4,4-bis(2-ethylhexyl)-4H-germolo[3,2-b:4,5-b']dithiophene (DTG) as the electron rich unit and three electron withdrawing units of varying strength, namely 2-octyl-2H-benzo[d][1,2,3]triazole (BTz), 5,6-difluorobenzo[c][1,2,5]thiadiazole (DFBT) and [1,2,5]thiadiazolo[3,4-c]pyridine (PT) are reported. It is demonstrated how the choice of the acceptor unit (BTz, DFBT, PT) influences the relative positions of the energy levels, the intramolecular transition energy (ICT), the optical band gap (Egopt) and the structural conformation of the DTG-based copolymers. Moreover, the photovoltaic performance of poly[(4,4-bis(2-ethylhexyl)-4H-germolo[3,2-b:4,5-b']dithiophen-2-yl)-([1,2,5]thiadiazolo[3,4-c]pyridine)] (PDTG-PT) poly[(4,4-bis(2-ethylhexyl)-4H-germolo[3,2-b:4,5-b']dithiophen-2-yl)-(2-octyl-2H-benzo[d][1,2,3]triazole)] (PDTG-BTz) and poly[(4,4-bis(2-ethylhexyl)-4H-germolo[3,2-b:4,5-b']dithiophen-2-yl)-(5,6-difluorobenzo[c][1,2,5]thiadiazole)] (PDTG-DFBT) was studied in blends with [6,6]-phenyl-C70 butyric acid methyl ester (PC70BM). The highest power conversion efficiency (PCE) was obtained by PDTG-PT (5.2%) in normal architecture. The PCE of PDTG-PT was further improved to 6.6% when the device architecture was modified from normal to inverted. Therefore, PDTG-PT is an ideal candidate for application in tandem solar cells configuration due to its high efficiency at very low band gaps (Egopt = 1.32 eV). Finally, the 6.6% PCE is the highest reported for all the copolymers containing bridged bithiophenes with 5-member fused rings in the central core and possessing an Egopt below 1.4 eV.
9:00 AM - M5.11
Large-Area, Continuous Roll-to-Roll (R2R) Nanoimprinting with PFPE Hybrid Molds - A Route to High-Throughput Manufacturing of Sensors
Jacob John 1 Martin Muthee 2 Sigfrid Yngvesson 2 Kenneth Raymond Carter 1
1University of Massachusetts - Amherst Amherst USA2University of Massachusetts - Amherst Amherst USA
Show AbstractSuccessful implementation of a high-speed roll-to-roll nanoimprinting technique for continuous manufacturing of electronic devices has been hindered due to lack of simple substrate preparation steps, as well as lack of durable and long lasting molds that can faithfully replicate nanofeatures with high fidelity over hundreds or thousands of imprinting cycles. In this work, we demonstrate large-area high-speed continuous roll-to-roll nanoimprinting of 1D and 2D micron to sub-100 nm features on flexible substrate using perfluoropolyether (PFPE) hybrid molds on a custom designed roll-to-roll nanoimprinter. The efficiency and reliability of the PFPE based mold for the dynamic roll-to-roll patterning process was investigated. The PFPE hybrid mold replicated nanofeatures with high fidelity and maintained superb mold performance in terms of dimensional integrity of the nanofeatures, nearly defect free pattern transfer and exceptional mold recovering capability throughout hundreds of imprinting cycles. The roll-to-roll nanoimprinted substrate was used to suspend multiwall carbon nanotubes applied via a simple roll-to-roll nanocoating process for the fabrication of highly sensitive infrared (IR) and terahertz (THz) sensors. The successful roll-to-roll sensor fabrication process developed in the present work has opened up a new low cost, high volume manufacturing technique for the production of sensors based on 1D nanomaterials.
9:00 AM - M5.13
Fabric Embedded Batteries for Haute Couture Devices
Daniel A Steingart 1 Benjamin Hertzberg 1 Alla Zamarayeva 1 2 Jake Garcia 1 4 Carrie Mae Rose 3
1Princeton University Princeton USA2City College of New York New York USA3Eyebeam New York USA4Regis University Denver USA
Show AbstractIn recent years many batteries have been designed that have flexible and stretchable qualities, but these batteries currently lack the aesthetic qualities of fine fabrics that might command attention on the runway or a high price at the department store. In this presentation we discuss work towards the integration of energy storage materials with fine fabrics. Beyond the traditional qualities one measures in a battery (energy density, capacity and cycle life), the haute couture battery must be considerate of aesthetic features such as drape and visual consistency. The battery is not “snapped” into a dress, rather it may have to withstand being sewn or hewn. For all applications the battery must be safe enough to wear, and for “pret-a-porter” applications, washed readily.
We will discuss how these new features can be quantified, and how traditional battery processes can be reworked to add new functionality to clothing while preserving traditional aspects of beautiful clothing.
9:00 AM - M5.15
Influence of Carrier Gas and Guard Flow Gas Properties on Patterning Resolution of Molecular Semiconductor Films Deposited by Guard Flow Enhanced Organic Vapor Jet Printing
Olga Shalev 1 Shaurjo Biswas 1 David Hinz 1 Kanika Agrawal 1 Max Shtein 1
1University of Michigan, Ann-Arbor Ann Arbor USA
Show AbstractA recently developed method of guard flow-enhanced organic vapor jet printing (GF-OVJP) has been demonstrated for the fabrication of organic light emitting diodes and photovoltaic cells in air, with device performances comparable to analogous device structures made using vacuum thermal evaporation. [1,2] The technique utilizes carrier gas (CG) transport of sublimated organ-ic vapor towards the substrate in the form of a focused jet, surrounded by an annular inert guard flow jet (GF). [1] This approach enables direct, solvent-free, additive patterning of device-quality organic semiconductors in air. Patterning resolution, however, has not been studied for this method thus far, and is a key factor for scalable commercialization of organic optoelectronic devices.
In this work we examine the trade-offs between pattern resolution, deposition throughput and film quality, and propose methods for breaking those trade-offs. Previous studies have shown that choosing low molecular mass gases (e.g. helium) for the carrier gas reduces the dispersion of the organic vapor jet and thus improves resolution when printing in vacuum at higher rates. [3] Concomitantly, varying the guard jet flow rates has a significant impact on crystallinity, rough-ness and device performance of the patterned films [2]. In this study, we vary the CG and GF gases (choosing between He, N2, Ar and CO2) and show the effect of gas molecular weight, size, and structure, on the film&’s pattern resolution and morphology. We demonstrate theoretically and experimentally that the diffusivity of sublimated organic molecules and pattern resolution can be improved by appropriate combinations of GF/CG gases and can therefore enable greater flexibility in choosing deposition conditions (sublimation and substrate temperatures, mass flow rates, etc.) for a given molecular material, thus breaking one key trade-off. [4] For example, using helium as the carrier gas results in improved pattern resolution of the deposit due to lower dispersion (or spread) of organic material from the center of the deposit, enhances sublimed organic material diffusivity and subsequently the deposition rate. On the other hand, heavy molecular weight guard jets, such as Ar, further focus the organic jet, producing a better patterned deposit and improving the shielding of the hot organic vapor from ambient oxygen and moisture while printing in air, thus breaking a trade-off between patterning resolution and film quality.
References
(1) S. Biswas, K. P. Pipe , M. Shtein, Appl. Phys. Lett. 96, 263301 (2010)
(2) S. Biswas ,K.A. Luck, M. Shtein , Org. Electron. 13, 2905 (2012)
(3) M. Shtein, P. Peumans, J.B. Benziger, S.R. Forrest, J. Appl. Phys. 96, 4500 (2004)
(4) S. Biswas, O. Shalev, M. Shtein, Annu. Rev. Chem. Biomol. Eng. 4, 289 (2013)
9:00 AM - M5.16
Optimization of Roll-to-Roll Processing for the Improvement of OPV Efficiency
Christos Koidis 1 2 Stergios Logothetidis 1 Argiris Laskarakis 1 Despoina Georgiou 1 Apostolos Ioakeimidis 1 Christos Kapnopoulos 1 Nikos Pliatsikas 1
1Aristotle University of Thessaloniki Thessaloniki Greece2Organic Electronic Technologies P.C. Thessaloniki Greece
Show AbstractOne of the main advantages of Organic and Printed Electronics (OEs) is the flexibility of the fabrication processes that include the use of inks for the low cost deposition of the device nanolayers onto flexible polymer substrates by roll-to-roll (R2R) printing processes. The rapid printing of precise features and uniform thin films by R2R processes is of great importance in OEs. Various printing technologies have been developed for R2R processes. However, techniques such as gravure can achieve both high precision and throughput in a R2R process. The functionality of the printed nanolayers (and thus the OE device efficiency and performance) is affected by their morphology and thickness as controlled by the R2R process experimental parameters such as rolling speed, drying temperature and material viscosity. These key parameters have to be optimized in order to achieve the cost-efficient scalability of OEs such as flexible organic photovoltaics (OPVs). In this work, we investigate and discuss on the effect of the R2R process parameters on the morphology and structure of printed functional organic nanolayers such as bulk heterojunction polymer:fullerene blends for OPVs. The vertical distribution of the polymer and fullerene components in the blend, that it was investigated by the analysis of the measured by Spectroscopic Ellipsometry spectra, shows that there is a diffusion of these components at the top and bottom regions of the blend, which can be controlled during the R2R processing. The effect of phase separation mechanism in combination with the interface properties of the bottom transparent electrode on the OPV device efficiency and performance is discussed in detail. Our findings underline the importance of the optimization of the R2R drying stage and the control of the photoactive layer vertical distribution and morphology for the production of OPVs.
9:00 AM - M5.17
Conjugated Polymer Chain Alignment in Nanoporous Metal
Zeqing Shen 1 Deirdre O'Carroll 1 2
1Rutgers, the State University of New Jersey, New Brunswick Piscataway USA2Rutgers, the State University of New Jersey, New Brunswick Piscataway USA
Show AbstractTo enable next-generation, nanostructured organic polymer-based optoelectronic devices, high-throughput, large-area, low-cost methods to pattern metal electrode surfaces are necessary. Additionally, conjugated polymer chain orientation has shown great influence on charge-carrier mobility and overall performance of large-area organic optoelectronic devices [1]. Although, numerous prior studies have characterized polymer chain alignment in bulk or thin-film environments, the chain organization behavior of conjugated polymers in nanostructured or confined environments is expected to be very different from that in planar or bulk formats and could lead to improved optical or electrical properties [2]. Here, we report the fabrication and characterization of large-area nanoporous metals and their use as a platform to control or modify conjugated polymer chain alignment for optoelectronic applications. We investigate how the structure of the patterned metal electrode and the resulting changes in polymer chain organization can improve the light-emitting properties of the polymer relative to those on planar metal surfaces.
In our work, we developed a dealloying method (wet etching, FeCl3, HCl solution [3]) to fabricate nanoporous silver (NPAg) from a silver-copper thin film alloy on glass substrate (deposited by co-thermal evaporation), and applyed different pre-annealing and post-annealing conditions to control the sizes and distribution of nanopores [4]. The NPAg thin films prepared using this method had thicknesses less than 250 nm, pore sizes ranging from 100 nm - 2000 nm and porosity between 5% and 50% over areas of 2 cm2 without delamination. Poly(9,9-dioctylfluorene-alt-benzothiadiazole) (F8BT) was deposited on the NPAg surfaces using both drop casting and spin coating methods. From dark-field microscopy, we observed strong back-scattering from the NPAg relative to planar Ag, and, following F8BT deposition, back-scattered light intensity notably decreased, mostly likely due to trapping or absorption of the scattered light by the F8BT layer. Transmission, reflection, dark-field and epi-fluorescence optical spectroscopy will be employed to further investigate the differences in optical properties between bare NPAg, F8BT-coated NPAg, F8BT-coated planar Ag and F8BT coated glass. In addition, the chain alignment of F8BT in NPAg will be varied by controlling deposition parameters, solvents and annealing conditions. F8BT chain arrangement in NPAg will be studied by wide- and small-angle X-ray diffraction to correlate polymer chain alignment with measured optical properties.
[1] M. Aryal, K. Trivedi, W. Hu, ACS Nano. 3, p3085 (2009).
[2] K. Shin, S. Obukhov, J. T. Chen, J. Huh, Y. Hwang, S. Mok, P. Dobriyal, P. Thiyagarajin, T. P. Russell, Nature Mater. 6, p961 (2007).
[3] K. R. Williams, K. Gupta, M. Wasilik, J. Microelectromech. Sys. 12, p1057, (2003).
[4] L. Qian, X. Yan, T. Fujita, A. Inoue, M. Chen, Appl. Phys. Lett. 90, p153120 (2007).
9:00 AM - M5.18
Robust Determination of Optical Properties and Quality of Roll-to-Roll Printed Nanolayers by in-Line Spectroscopic Ellipsometry
Stergios Logothetidis 1 Argiris Laskarakis 1 Despoina Georgiou 1 Christos Koidis 2 Christos Kapnopoulos 1 Apostolos Ioakeimidis 1 Nikos Pliatsikas 1
1Aristotle University of Thessaloniki Thessaloniki Greece2Organic Electronic Technologies P.C. Thessaloniki Greece
Show AbstractOrganic electronics (OE) is a rapidly growing field that provides low-cost and flexible electronics due to solution processable deposition of semiconducting polymers and organic small molecules and high-throughput manufacturing capabilities by roll-to-roll (r2r) printing processes, and compatibility with flexible polymer substrates. The OE applications include organic photovoltaics - OPVs, organic light emitting diodes - OLEDs, organic thin film transistors -OTFTs, sensors, and biosensors. However, the quality and operation of the printed nanolayers (that include organic semiconductors, transparent electrodes, barrier nanomaterials, etc.) is controlled by the r2r process parameters. The insufficient process optimization results to undesirable fluctuations in the micro-structure and thickness of the above mentioned nanolayers during the printing, and consequently to organic electronic devices with unsatisfactory and non-reproducible performance.
One of the most crucial steps of r2r production processes is the in-situ quality control that will provide robust information on the printed films performance quality and it will ensure the process stability and reproducibility. Spectroscopic Ellipsometry (SE) is a powerful and robust, non-destructive and surface sensitive optical technique for the determination of the optical properties, thickness, deposition rate and growth mechanisms of a wide range of nanolayers. It has been implemented for many years for in-situ monitoring and investigation of the growth mechanisms of vacuum deposited thin films.
In this work, we describe the implementation of in-line SE system working in the visible-far ultraviolet spectral region on a r2r printing system for the real-time monitoring and analysis of the optical properties, and nano-scale morphology of gravure printed nanomaterials for OE devices. These include barrier layers (inorganic and hybrid barriers), and Poly(3,4-ethylenedioxythiophene) poly(styrenesulfonate) transparent electrodes and bulk heterojunction polymer:fullerene blends that are deposited onto flexible polymer substrates (PET) in the form of web rolls. Also, we discuss on the analysis of the measured pseudodielectric function spectra and the stability of measurements and final properties of the gravure printed OE nanomaterials.
The proposed methodology will open the way for the in-line robust determination of the optical properties and quality of other nanolayers for several OE applications (e.g. OLEDs, OTFTs, sensors, and biosensors). Finally, it emphasizes the potentiality of in-line SE to become a standard technique for the r2r manufacturing of flexible organic electronic materials and devices.
9:00 AM - M5.19
Development and Optical Investigation of Barrier Materials for Encapsulation of Organic Electronic Devices
Despoina Georgiou 1 Argiris Laskarakis 1 Christos Koidis 1 2 Stergios Logothetidis 1 Nikos Pliatsikas 1
1Aristotle University of Thessaloniki Thessaloniki Greece2Organic Electronic Technologies P.C. Thessaloniki Greece
Show AbstractOne of the main challenges to overcome for the fabrication of Organic Electronic (OE) devices onto flexible substrates is the achievement of a sufficient protection of the photo-active layers from the penetration of atmospheric gas molecules (O2 and H2O). This undesired phenomenon leads to corrosion of the highly sensitive device active layers (small molecule and polymer organic semiconductors, organic conductors and electrodes, such as transparent conductive oxides, etc.), subsequently limiting the functionality, performance and stability of the photo-active layers and furthermore of the whole device. Another result of the atmospheric gas molecule permeation is the organic film delamination that also leads to the failure of the organic electronic device.
Among the barrier nanomaterials architectures, the most promising is the multilayered structure of inorganic-hybrid barriers. In this architecture, the improved barrier response is attributed to the synergetic effect of the confinement of the molecule permeation to the defect zones of the inorganic layer, and of the formation of chemical bonds and cross-linking between the hybrid polymer and the inorganic layer. The investigation of the micro-structure and bonding structure of these inorganic-hybrid barriers by the investigation of their optical properties, will contribute to the understanding of the mechanisms that control this synergetic effect.
In this work, we discuss on the mechanisms for the growth of inorganic and hybrid (inorganic-organic) barrier nano-layers onto flexible polymer substrates. More specifically, we discuss on the effect of the stoichiometry of inorganic barrier nanolayers (e.g. silicon oxide) on the growth mechanisms and their final properties. Also, the substrate has been found to play a major role to the formation of the inorganic layer and to its defects that define its barrier response. In addition, we discuss systematically on the optical and barrier properties of hybrid barrier nanolayers with embedded silicon dioxide nanoparticles and on the effect of the subsequent inorganic nanolayer on the overall barrier response of the inorganic-hybrid multilayers. Finally, we implement in-line SE for the real-time optical monitoring of the thickness and quality of the gravure printed hybrid barrier nanolayers. As it has been found, the printing parameters and speed affect significantly the barrier properties of the final barrier system.
9:00 AM - M5.20
Large-Area Nanopatterning of Multifunctional Oxides by Beam Pen Lithography
Yi-Kai Huang 1 Xing Liao 1 3 Chad Mirkin 1 2 3 Vinayak Dravid 1
1Northwestern University Evanston USA2Northwestern University Evanston USA3International Institute for Nanotechnology Evanston USA
Show AbstractOxide materials represent diverse properties and phenomena that are scientifically exciting and technologically relevant. The natural evolution of oxide materials architecture calls for their spatial and dimensional confinement in the form of nanopatterns. It is expected that the properties and associated phenomena in oxides would likely change under nanometer scale confinement. However, in order to investigate such changes, it is necessary to develop patterning approaches that meet the critical criteria of large-areal coverage, sub-100nm definition, flexibility of shape control, among others. Given the chemical stability and refractive nature of many oxides, it has proved difficult to achieve these characteristics for oxides.
We have introduced several direct nanopatterning techniques in recent decade specifically for fabricating surface patterned architecture for oxides. Some of them are based on dip-pen lithography (DPN) related techniques, while others use synergy between top-down and bottom-up patterning approaches. In the present work, we demonstrate an innovative new approach for oxide nanopatterning which combines beam pen lithography (BPL) with molecular precursors (e.g., sol-gel) to generate size- and shape specific large area nanopatterns of multicomponent and multifunctional oxides. BPL involves use of massively parallel pyramidal array of polymer (PDMS) pens which act as concentrators for photolithographic exposure. The as-exposed pattern, is then etched to removed exposed area, followed by infiltration of molecular precursors (e.g., sol-gel), which is subsequently converted into their solid-state form under appropriate substrate. We have utilized BPL to demonstrate well controlled shape/size/separation and multiplexed composition of oxide nanopatterns with large-areal coverage, which allows measurements of collective properties such as magnetization. The presentation will cover the BPL approach for multifunctional oxides, and demonstrate the BPL fabrication of rings, lines, and sub-100nm dots by tuning substrate surface chemistry. We will also cover conversion of BPL nanopatterns to epitaxial and crystallographically oriented form, including examples of ferroelectric and ferromagnetic systems. Localized measurement techniques such as piezoresponse force microscopy (PFM) and magnetic force microscopy (MFM) are employed to probe their local magnetic and electronic properties. The presentation will argue that BPL is a promising approach for large-area nanopatterning and nano-composites of multifunctional oxides.
9:00 AM - M5.21
Interfacial Convective Assembly for Large-Area Integration of Nanostructures
Adnan Korkmaz 1 Cihan Yilmaz 1 Ahmed Busnaina 1
1Northeastern University Boston USA
Show AbstractDirected assembly has been considered as a fast and scalable technique to integrate nanoelements onto device surfaces. Among the various directed assembly techniques, convective flow-driven assembly is one of the most widely used methods because it enables the assembly of various types of nanoelements into several arrangements including lines and arrays on surfaces. However, convective assembly methods typically take many hours to assemble over macro-scale areas (cm2), making it unsuitable for high-rate/high-volume nanomanufacturing. In addition, the process requires functionalization of substrates and complex fabrication steps. Herein, we present an entirely new flow-driven assembly technique called interfacial convective assembly, in which the nanoelements are precisely integrated on surfaces in less than three minutes at the macro-scale. This technique accommodates nanoparticles, nanowires, carbon-nanotubes, and other nanoelements. The substrate may be flat or curved, flexible or rigid and does not require any hydrophobic or hydrophilic functionalization. In this process, a layer of organic solvent, generally one with high vapor pressure and low density, is spread into the prefabricated nanoscale patterns where the nanoelements will be assembled. Then, a second layer containing an aqueous dispersion of nanoelements is placed on top of the first layer. A fluid flow occurs between the liquid layers because of the fast evaporation of the organic solvent (in minutes) and the difference in density. This flow creates a force on the nanoelements driving them into the nanoscale patterns. Using this method, we have assembled organic and inorganic nanoparticles such as Polystyrene Latex (PSL), silica, gold and cadmium selenide nanoparticles into deep channels, holes, wells, vias and trenches, with sizes ranging from 30 to 600nm. We have shown the capability of this technique to assemble either on a super-hydrophobic polyethylene (contact angle ~110°) and hydrophilic surfaces containing patterned geometries with an aspect ratio up to 40. The speed, scalability and applicability to various surfaces of the new assembly technique will enable large-area integration of nanoelements on device surfaces for many applications in optics, electronics and biomedicine.
9:00 AM - M5.22
Printable, High Performance Nanoparticle/Polymer Composite Capacitor
Shyuan Yang 1 Barry Van Tassell 2 4 Shuangyi Liu 3 Eli S. Leland 4 Daniel A. Steingart 2 Stephen O'Brien 3 Ioannis Kymissis 1
1Columbia University New York USA2Princeton University Princeton USA3City University of New York, City College New York USA4City University of New York, City College New York USA
Show AbstractPrintable nanoparticle/polymer composite capacitors are a promising approach to fabricating large area, flexible, high performance capacitors at low temperatures. Current capacitor technologies face challenges that may not be suited for large area flexible electronics. Ceramic dielectrics exhibit high performance but require high process temperatures, and polymer dielectrics possess an ideal thermal budget but perform worse. This study investigates the electrical and mechanical properties of spray- and spin-coated (Ba,Sr)TiO3/polymer composite capacitors, which combine the advantages of both ceramic and polymer dielectrics to achieve a reliable capacitor and a process suited for flexible electronics. The devices exhibit a stable relative dielectric constant (~15 at 1 MHz) well into the MHz range, a low dissipation factor (< 0.05 at 1 MHz), high operating voltages (~20 V), and low leakage current densities (< 100 nA/cm2). The capacitors also show promising reliability with less than 4% change in performance during 1000-hour age tests with a continuous voltage bias at 125 C. These results show that this new class of composite capacitor is competitive in terms of performance compared to existing technology. Additionally, the devices withstand more than 0.4 % strain (2 cm bending radius) during the fracture toughness tests, demonstrating feasibility in large scale roll-to-roll fabrication.
9:00 AM - M5.23
Transparent Paper for Flexible Electronics
Hongli Zhu 1 Zhiqiang Fang 1 Yuanyuan Li 1 Liangbing Hu 1
1University of Maryland College Park USA
Show AbstractGreen electronics continue to gaining more commercial interests and research due to their flexibility, cost efficiency, light weight, and renewability. Green electronics manufacturers must incorporate natural materials in their designs in order to perpetuate their goal of achieving a sustainable future. One of the main components of electronic devices is the substrate, which provides support for the devices hosted on its surface. The transition from rigid glass to flexible plastic substrates enabled flexible and transparent devices that are currently mass produced using established roll-to-roll printing methods. Plastic substrates, however, are not produced from sustainable materials nor are recyclable. Nanopaper made from the nanocellulose is emerging as the new generation for green substrates used in flexible electronics because of its tunable optical properties and strong mechanical stability. This nanopaper retains all of the desirable properties of plastic substrates, yet possesses the advantage of being recyclable, renewable, and inexpensive. We will discuss our development of this new generation of transparent paper and device integrations such as a transistor, an organic light emitting diode, and a resistive touch screen.
9:00 AM - M5.24
Direct Laser Patterning of GaAs(001) Surfaces
Haeyeon Yang 1 Joseph Andrew Street 1
1South Dakota School of Mines and Technology Rapid City USA
Show AbstractThe ability to pattern surfaces in nanoscale has numerous applications such as magnetic data storage, efficient energy storage, photovoltaic cells, and other electronic devices [1]. Lithography has been successful in patterning surfaces over a large area. Unlike lithography, direct laser patterning is a simple and low-cost technique as it does not require processes involving photomasks, photoresist materials, and chemical etching [2]. In this paper we present our recent results of direct laser patterning of GaAs(001) surfaces. Atomic force microscopy (AFM) images from the patterned GaAs surfaces indicate that direct laser patterning enables us to fabricate nanostructures with a period smaller than that of the interference period as well as the wavelength of the laser used. Furthermore, the depth of trenches can be varied from 300 to a few tens of nanometers depending on the laser intensity while the produced patterns cover an area larger than a few tens of micrometers. The patterns are produced by overlapping two laser pulses that are produced by splitting a single pulse, similar to our recent report [3]. AFM images from samples directly etched by the laser suggest a critical, or threshold intensity, below which melting and recrystallization occur and beyond which ablation occurs. Above the threshold, the created patterns show periodic strips that have the same periodicity of two-beam interference and the trenches have a depth of a few hundred nanometers. However, the regions exposed below the threshold show features with smaller period than 100 nm—far smaller than the diffraction limit of the interference. The aforementioned features have sizes similar to findings in our recent reports [3, 4] of quantum dots produced by direct laser annealing.
[1] C. Zhang and R. Kalyanaraman, Applied Physics Letters 83, 4827 (2003)
[2] T. Zhai, X. Zhang, Z. Pang, and F. Dou, Advanced Materials 23, 1860 (2011)
[3] C. M. Clegg and H. Yang, Solar Energy Materials and Solar Cells 108, 252 (2013)
[4] H. Yang and C. M. Clegg, Proceedings of Materials Research Society (MRS, Boston, 2012), Vol. 1527 http://dx.doi.org/10.1557/opl.2013.394
9:00 AM - M5.25
Low Temperature Spray Deposition of Multilayer Polymer Ceramic Composite Capacitors
Barry Van Tassell 1 2 Paul Chando 2 Shyuan Yang 3 Shuangyi Liu 4 Limin Huang 4 Stephen O'Brien 4 Ioannis Kymissis 3 Daniel A Steingart 1
1Princeton University Princeton USA2City College of New York New York USA3Columbia University New York USA4City College of New York New York USA
Show AbstractAs the field of printed electronics matures the demand for scalable, high throughput printing methods grows. Spray deposition, or spray coating, has been used for decades in graphic arts and industrial painting, and shows significant promise as an alternate method for continuous, roll-to-roll fabrication of wide-area electronic devices due to its ability to quickly and evenly coat wide areas in a scalable manner.
Multilayer ceramic capacitors (MLCCs), in addition to being ubiquitous in the electronics industry, are useful to display the repeatability and quality of wide-area thin-film deposition techniques due to their reliance on consistent defect-free films. Traditionally, MLCCs are fabricated using a tape casting technique for this reason.
In this study, we demonstrate the fabrication of thin film MLCCs with composite dielectric materials deposited by spray deposition. The composite dielectric contains no binder or filler, and can be fully cured at low temperature without the need for energetically expensive processing. Devices with capacitance densities in excess of 0.75 nF per square mm and low leakage current are shown.
9:00 AM - M5.26
Towards Gravure Printed Electronics, a Systematic Approach
Barry Van Tassell 1 2 3 Gregory Whiting 3 Daniel A Steingart 1 Charles Tonkin 4 Bruce E Kahn 4 Liam O'hara 4
1Princeton University Princeton USA2City College of New York New York USA3Palo Alto Research Center, A Xerox Company Palo Alto USA4Clemson University Clemson USA
Show AbstractGravure printing is positioned to be a major fabrication method for printed electronics, due to its high throughput, high resolution, and its current level of adoption in the traditional printing industry. However, the way gravure design parameters correlate to final film and device quality is not well understood.
In this study a custom gravure cylinder was produced with a single test pattern repeated for different design variables such as resolution, cell depth, and frame-out. Additionally, because of the design's modular nature, multilayer devices can be fabricated without the need for multiple cylinders or industrial equipment. This cylinder enabled a systematic analysis of how these design variables correlate the quality of printed conductive silver films. This knowledge was then applied to the fabrication of functional 2- and 3-layer devices.
9:00 AM - M5.27
Electronic and Mechanical Tailoring of Polyfused Metallole Based Donor Polymers for Plastic Electronics
Bob C Schroeder 1 Michael Hurhangee 1 Raja Shahid Ashraf 1 Stephan Rossbauer 2 Thomas D Anthopoulos 2 Iain McCulloch 1
1Imperial College London United Kingdom2Imperial College London United Kingdom
Show AbstractCyclopentadithiophene (CPDT) based polymers are amongst the most studied semiconducting polymers and this not only because of the high power conversion efficiencies, respectively hole mobilities, achieved in devices, but also because of their ease of processability.[1-3] Extended π-conjugated ladder type monomers present many desirable features for opto-electronical applications. The aromatic rings of CPDT donors are in conjugation and the co-planarity of the unit is ensured by a bridging atom, thus maximizing orbital overlap and minimizing conformational energetic disorder. Those fused building blocks offer an exceptional flexibility to synthetic chemists to modulate and fine tune the electronic and mechanical properties of the semiconducting polymer.
Inspired to exploit this flexibility further, we developed novel rigid donor units for incorporation into polymeric semiconductors. By extending the fused ring system of CPDT by an additional three, respectively five aromatic rings, we can introduce additional alkyl side chains on the polymer backbone, thus ensuring sufficient solubility for solution based processing. [4] Furthermore the planar and rigid backbone of the new ladder type donor moieties is expected to be beneficial for charge transport and photon absorption due to a better electron delocalization along the polymer backbone. Besides controlling the polymers solubility, one has also to carefully balance the frontier energy levels of the new semiconductors in order to achieve reasonably high open circuit voltages in photovoltaic cells and to maximize oxidative stability. An evenly important factor is the polymers microstructure in solid state. One often neglected possibility to gain control over the polymers crystallinity is the substitution of the bridging heteroatom for various group 14 metalloles.
Herein we will present the synthesis of novel polyfused metallole donor moieties and their incorporation into semiconducting polymers. Some general design principles to achieve large-scale processability will be discussed and the potential of the new semiconductors will be evaluated in organic photovoltaic cells, respectively organic field effect transistors.
[1] J. Peet et al., Nature Materials 6, 497 (2007).
[2] T.-Y. Chu et al., J. Am. Chem. Soc. 133, 4250 (2011).
[3] C. M. Amb et al., J. Am. Chem. Soc. 133, 10062 (2011).
[4] B. C. Schroeder et al., Chem. Comm. 48, 7699 (2012).
9:00 AM - M5.28
Self-Oriented Graphene Nanosheets and Titanium Oxide for Fabrication of Energy Devices
Eric R. Meshot 1 2 Jeffrey G. Tait 1 3 Barry P. Rand 1 4 Chris Van Hoof 1 3 Michaamp;#235;l F. L. De Volder 1
1imec Leuven Belgium2Lawrence Livermore National Laboratory Livermore USA3KU Leuven Leuven Belgium4Princeton University Princeton USA
Show AbstractWhile organic photovoltaics (PV) are emerging as a viable commercial option, the most competitive devices still rely on expensive metals, namely as electrode materials. Thus it is crucial to explore other carbon-based materials that combine low cost and added functionality due to unique structure or morphology.
Here we report progress on the synthesis of nanostructured hybrid films comprised of self-oriented graphene nanosheets (GNS) that are coated by TiO2. The GNS are synthesized by wafer-scale (200 mm), plasma-enhanced chemical vapor deposition (PECVD) from common hydrocarbon precursors (i.e., CH4, Cnot;2H2), and they self-align perpendicular to their substrate (but are disordered in the x-y plane), resulting in a sheet resistance on the order of 10 µohm-cm. Each nanosheet is highly graphitized as indicated by Raman spectroscopy, with 3 layers at the perimeter, creating a high-surface-area material with a high density of active sites at the edges. This process does not require a catalyst material, so we can synthesize GNS directly on insulators, making it attractive for device applications. Finally, GNS are mechanically robust and strongly adhered to its host substrate, and we demonstrate that they easily withstand additional processing, including spin coating, photolithography, and spray coating.
Toward testing the viability of GNS as a back electrode in bulk heterojunction (BHJ) PV devices, we first examine different coatings of TiO2 as a buffer layer, with a thick and smooth ultrasonically spray-coated P3HT:PCBM blend as an active layer. We find that TiO2 coated on the GNS by atomic layer deposition (ALD), when compared to TiO2 particles cast from solution, resulted in a reduced turn-on voltage (and resistance) closer to that expected for P3HT:PCBM. Our observations in cross-sectional high-resolution SEM confirm that our approach capitalizes on the high surface area of the GNS using the conformal coating of ALD, whereas cast nanoparticles merely contact the top surface of the GNS film, leaving most of the electrode material unavailable for charge transport. Our study also shows that the ALD TiO2 layer must be sufficiently thick to eliminate the shorting effect of pinholes (observed in TEM) while remaining thin enough so as not to discourage transport across the buffer layer. Furthermore, vacuum annealing of GNS/TiO2 films increases the percentage of the more highly conductive anatase phase (by Raman spectroscopy, X-ray diffraction), resulting in a significant shift in turn-on voltage (1.2 to 0.4 V) and a current density of 2.5 mA cm-2 at 1 V.
This high-surface-area nanocomposite film is not only promising for PV but also for capacitors and catalysis applications, and our ongoing studies involve device testing under illumination as well as doping of the GNS film to reduce its sheet resistance in the x-y plane.
9:00 AM - M5.29
A Novel Method for Fine Patterning by Piezo-Electrically Induced Pressure Adjustment of Inkjet Printing
Young-Tae Kwon 1 Young-In Lee 2 Kun-Jae Lee 3 Yo-Min Choi 1 Yong-Ho Choa 1
1Hanyang University Ansan Republic of Korea2Univ. of California-Riverside Riverside USA3Institute for Advanced Engineering Yongin Republic of Korea
Show AbstractDrop-on-demand (DOD) inkjet printing has gained specific attention alternatives to traditional photolithography techniques for fabrication of thin-film transistor, LED, and biomaterials, because of simple, convenient and inexpensive method to fabricate the functional patterns to desired location. In inkjet printing technology, there are two different types of nozzles (i.e., thermal or piezoelectric types) depending on mechanism of droplet formation. The piezoelectric nozzle is better than thermal one for industry fields because it has some advantages including controlling a line width, a mass-production and a jet stability. Therefore, the piezoelectric DOD inkjet printer was employed for this study.
Recently, the demand of high resolution printing in electric industry has been increased as minimizing their feature size. The typical dimensions of printed tracks width depend on the nozzle size. The apparent way of reducing the width of tracks is to use smaller nozzle diameter. However, small nozzles are easier to clog, resulting in reducing the reliability and repeatability. Currently, the smallest drops ejected from a volume of 1 pl cartridge create line width of 30 mu;m (contact angle < 10°).
In the present experiments, we conducted an inkjet printing of a Cu complex ion ink on polyimide (PI) film, controlling the duration time in waveform. The duration is the time of the applied voltage that determines how long the transducer will stay in that position. The influence of duration time was investigated in terms of the size of ejected droplet and the width of line patterns using a scanning electron microscopy and optical microscopy. Atomic force microscopy was also used for observing 3D image of printed lines.
9:00 AM - M5.31
An Aluminum Nanoparticle-Acrylate Copolymer Nanocomposite for Dielectric Elastomer with a High Dielectric Constant
Wei Maggie Hu 1 Suki Naifang Zhang 1 Xiaofan Niu 1 Chao Liu 1 Qibing Pei 1
1University of California, Los Angeles Los Angeles USA
Show AbstractDielectric elastomers are useful for large-strain actuation and energy generation. The application potential of these polymers has been limited due to their low dielectric constants. Various high dielectric constant filler materials have been investigated to increase the dielectric constants and improve the actuation strain, force output, and energy density of the compliant polymer actuators and generators. In this work, we synthesized a high dielectric constant elastomer comprising aluminum nanoparticles and an acrylate copolymer. The nanoparticle surface was functionalized with methacrylate groups such that the nanoparticles were copolymerized with the acrylate co-monomers matrix during bulk polymerization to form uniformly dispersed nanocomposites. The leakage current under applied high electric field is suppressed as agglomeration of the nanoparticles is effectively controlled. The nanocomposite exhibits a significantly higher actuation force output than the pure acrylate copolymer.
9:00 AM - M5.33
A Process for Creating Structural Batteries for Air and Space Applications
Benjamin Hertzberg 1 Alla Zamarayeva 3 Frank Ritzert 5 4 Randy Bowman 4 Devon Beckett 4 Jake Garcia 6 Daniel A Steingart 1 2
1Princeton University Princeton USA2Princeton University Princeton USA3City College of New York New York USA4NASA Glenn Research Center Cleveland USA5Nirvana Energy Systems Cleveland USA6Regis University Denver USA
Show AbstractAs energy storage becomes a necessity rather than a luxury in a wide range of fields, electrochemical engineers must think in a more holistic manner about how battery technology can be adapted to new purposes. In addition to requiring high energy density and cycle life, batteries for air and space applications must produce only a minimal increase in the overall weight of the airframe. By using structural materials as current collectors and even active material, we can drastically decrease the parasitic load of a battery. These structural batteries both act as an integral component of an airframe, providing mechanical support, and provide energy storage.
We have combined our spray deposition techniques and novel electrodes synthesized by our lab to construct structural three-dimensional batteries that can act as a power source for air- or spacecraft. Spray deposition is a versatile low cost printing technique that allows for rapid deposition of material on unconventionally shaped substrates. Load bearing fibers or foams are coated with anode material that gives excellent electrochemical performance and has high tolerance for mechanical stress. This integrated anode structure is incorporated into a full 3D battery structure consisting of a fiber anode, cathode, electrolyte and separator, constructed entirely through spray deposition techniques. In this presentation, we will discuss our construction techniques, as well as the mechanical and electrochemical performance of our batteries.
9:00 AM - M5.34
Printable Ammonia Sensor Based on Organic Field Effect Transistor
Kalpana Besar 1 Ana Maria Rule 2 Patrick N. Breysse 2 Ioannis John Kymissis 3 Howard E Katz 1
1Johns Hopkins University Baltimore USA2Johns Hopkins Bloomberg School of Public Health Baltimore USA3Columbia University SEAS New York USA
Show AbstractRecently, ammonia sensing has received great attention from the scientific community worldwide due to the potential role of ammonia as a trigger for severe respiratory diseases such as asthma. As the common sources of ammonia are farm residues, paints & varnishes and industrial waste, it is commonly present in our environment in varying concentration. Thus there is a pressing need for a detailed study for the role of ammonia vapor as the trigger for asthma and other related respiratory diseases to design a suitable personalized intervention strategy and also to make a broader case for environmental remediation.
Organic field effect transistor (OFET) based ammonia sensors have many advantages over other sensing techniques, such as high sensitivity, low cost, low weight and potential to make flexible sensors. There have been many advances in OFET based ammonia sensors, but developing a sub ppm v/v sensitive organic field effect transistor had been a great challenge for a very long time until recently, when our group reported OFET-based ammonia sensors which could detect concentrations of 450 ppb v/v, with a limit of detection of 350 ppb, the highest sensitivity reported to date for semiconductor films . These OFETs were vapor-deposited, leading to high process time and cost of production and hence making the sensor unfeasible for mass-production.
Herein we report a fully printable OFET sensor with the sensitivity of 450 ppb v/v for ammonia making it much more time and cost efficient then vapor deposited structure. The device developed is a polyethylene terephthalate (PET) substrate based bottom contact device with poly (3,3&’&’&’-didodecylquaterthiophene) (PQT-12) cast from 4mg/ml cholorobenzene solution as active semiconductor and PEDOT: PSS source and drain electrodes. Inherent static charges present on PET surfaces could be easily tailored using techniques like corona charging and can be used for threshold voltage tuning. We used these static charges as a static gate thus, over came the major challenge of developing a reliable printable dielectric. For the sensor test, drain current is measured in output curve measurements at -40 Volts drain voltage in ambient conditions for 2-3 times at an intervals of 10 minutes to ensure the stability of the device performance in air. On exposure to 0.45 ppm of ammonia for 5 minutes the drain current decreases by 30%. For large-scale production of these sensors we are testing a more straightforward structure with the gate, gate dielectric (a composite which can be charged), source/drain, and semiconductor stacked sequentially on a flexible substrate. The semiconductor can be patterned through inkjet printing. The gate (when used) and source/drain layers can also be printed using commercially available silver inks. Thus a novel, highly sensitive, flexible, mass producible and cost efficient ammonia sensor has been developed.
9:00 AM - M5.35
Controlled Synthesis of MoS2 by Chemical Vapor Deposition Method
Ismail Bilgin 1 Swastik Kar 1
1Northeastern University Boston USA
Show AbstractThe large area synthesis of MoS2 has become increasingly significant in recent years in Nano electronics due to the discovery of its high I on/off ratio. Single and few layered MoS2 are grown by Chemical vapor deposition (CVD). We control the thickness and shape of the MoS2. We also present detailed optical and electrical characterizations of different thicknesses and shapes of MoS2. By doing so, it is our conviction that we accumulate accurate data in regards the synthesis of MoS2.
9:00 AM - M5.36
Flexible Field-Effect Transistor Arrays with Patterned Solution-Processed Organic Crystals
Yun Li 1 2 Chuan Liu 2 Yang Yang 1 Yu Wang 1 Xinran Wang 1 Yi Shi 1 Kazuhito Tsukagoshi 2
1Nanjing University Nanjing China2National Institute for Materials Science (NIMS) Tsukuba Japan
Show AbstractThe development of Organic field-effect transistors (OFETs) is characterized by several major factors, including the improvement of device performance, reduction of manufacturing costs, and extension to more applications. OFETs with solution-processed organic crystals as active semiconductor materials on flexible substrates are promising candidates that meet such requirements. Given that the solubility of organic molecules enables device fabrication by relatively simple solution-based technologies, solution-processed organic crystals are ideal materials that can be used to achieve high device performance and substantial reduction in production costs. Electronic systems that can be processed in a largely covered area on flexible substrates have been developed because such systems can greatly extend applications to classes beyond the scope of rigid wafer-based electronics. Although studies have been devoted to solution-processed OFETs on flexible substrates, current solution processes involved in the growth of organic semiconductor crystals on flexible substrates do not have a patterning capability, which is a desirable integration with commercial semiconductor technology. Furthermore, traditional lithography technologies are not applicable to soluble organic films. Patterning techniques that use self-assembled molecules have been proposed to develop OFET arrays on flexible substrates, but polycrystalline organic materials in the channel regions are used. Thus, fabrication of transistor arrays with patterned organic crystals via a solution-based method using flexible substrates is a great challenge.
To solve this issue, we use a direct spin-coating process from a mixture of a small-molecule semiconductor and a polymer insulator on a flexible plastic substrate with patterned wettability. In this process, organic crystals with large grain size of hundreds of micrometers are formed in the patterned regions during spin coating without any post-treatment. The resulting FET arrays show high electrical performance with the field-effect mobility of 0.22 cm2/Vs. Besides, the crystal transistors exhibit good stability during the bending test with only an acceptable decrease in mobility. Thus, it indicates that the proposed method is a promising technology that can be applied to develop solution-processed organic crystals in flexible electronics.
To solve this issue, we use a direct spin-coating process from a mixture of a small-molecule semiconductor and a polymer insulator on a flexible plastic substrate with patterned wettability. In this process, large plate-like organic crystals are formed in the patterned regions during spin coating without any post-treatment. The resulting FET arrays show high electrical performance, indicating that the proposed method is a promising technology that can be applied to develop solution-processed organic crystals in flexible electronics.
Reference:
[1] Yun Li, et al, AIP Advances, 3, 052123 (2013).
9:00 AM - M5.37
In Situ Purification to Eliminate the Impurity Influence in Solution-Processed Organic Crystals for Transistor Arrays
Yun Li 1 2 Chuan Liu 2 Michael Lee 2 Yi Shi 1 Kazuhito Tsukagoshi 2
1Nanjing University Nanjing China2National Institute for Materials Science (NIMS) Tsukuba Japan
Show AbstractThe desire to decrease manufacturing costs has promoted the rapid development of solution-processable organic semiconducting materials, which offer easier low-temperature and large-area processing for devices. Of these materials, organic field-effect transistors (OFETs) have attracted considerable interest. High purity, which is mainly required for organic channel materials, is an essential requirement for device fabrication. It is because that impurities can induce traps that capture charge carriers, thus changing the electrical field distribution in a device and degrading charge transport. However, purification of organic materials has a significant disadvantage. Typical separation processes increase production costs and can be time-consuming; separating similar compounds can be difficult and can require special solvents, equipment, or carefully controlled conditions; and the loss of active materials during purification can be significant. Consequently, a fabrication technique that can directly use low-purity and solution-processable organic materials would significantly lower manufacturing costs and may be critical for the large-scale acceptance of commercial organic electronics, especially if performance levels equivalent to high-purity materials can be maintained.
Here, we show that OFETs made from low-purity organic semiconductors can exhibit the same high-performance properties as those made from high-purity materials. We used a mixture of a solution-processable semiconducting small molecule and a dielectric polymer. Organic crystals can be produced with well-defined geometric features using direct spin-coating of the mixture solution under ambient conditions. Semiconductors with high and low purities were used. The transistor behavior of individual devices made from the organic crystals was nearly identical regardless of purity, which indicates that the electrical properties of the crystals were not affected by the impurity when this mixture was used. Further investigation revealed that, for the low-purity material, the impurity and semiconducting molecules were simultaneously and spontaneously segregated, and they became crystallized on the surface during the spin-coating process. We demonstrate that the proposed method eliminates the influence of impurities on electrical performance and allows the direct use of low-purity materials for electronic device fabrication.
Reference:
[1] Yun Li, et al, Journal of Materials Chemistry C, 1, 1352 (2013).
9:00 AM - M5.38
Applications and Mechanism Study of Peel-and-Stick Process for Flexible/Transparent Thin-Film Electronic Devices
Chi Hwan Lee 1 Jae-Han Kim 2 Chenyu Zou 3 In Sun Cho 1 Jeffery Weisse 1 William Nemeth 4 Qi Wang 4 Adri C. T. van Duin 3 Taek-Soo Kim 2 Xiaolin Zheng 1
1Stanford University Stanford USA2KAIST Daejeon Republic of Korea3Pennsylvania State University University Park USA4National Renewable Energy Laboratory Golden USA
Show AbstractPeel-and-stick process, or water-assisted transfer printing (WTP), is an emerging technology that peels off fully fabricated thin-film electronic devices (e.g., solar cells, memory devices and magnetic devices) from a Ni coated SiO2/Si wafer in water and then stick them to receiver substrates with unchanged electrical characteristics and nearly a 100% yield. The peel-and-stick process, unlike conventional transfer printing processes, requires no further fabrication processes on the receiver substrates, thereby enabling the use of diverse receiver substrates, such as papers, glasses, plastics and polymers. However, the working principle of the peel-and-stick process remains unknown that hinders its broad and scalable application. In this paper, we study the effect of water on the critical adhesion energy of the Ni-SiO2 interface by both double-cantilever-beam measurements and molecular dynamics (MD) simulations, and both results suggest that water reduces the critical adhesion energy of the Ni-SiO2 by 70~80% in comparison to that of ambient air environment, leading to the clean separation of Ni together with the top thin-film electronic devices from SiO2 surface in water. These results suggest that the peel-and-stick process is governed by the water-assisted subcritical interface debonding phenomenon. In addition, the water-assisted subcritical interface debonding phenomenon is observed for a range of metal-SiO2 interfaces, greatly increasing the flexibility of implementing the peel-and-stick process. Finally, we demonstrate several key features of the peel-and-stick process, such as high-temperature compatibility, scalability and multiple-layer-transfer capability, which will facilitate the transfer of high-performance thin-film electronic devices, ranging from organic light emitting diodes, inorganic thin-film transistors, to many emerging hybrid high-performance thin-film electronic devices.
9:00 AM - M5.39
Electric Double Layer Induced by Polarization of Organic Ferroelectric Thin Films
Simone Fabiano 1 Xavier Crispin 1 Magnus Berggren 1
1Linkamp;#246;ping University Norrkamp;#246;ping Sweden
Show AbstractGreat efforts are currently being devoted to explore and develop new devices and systems for printed electronic applications. These efforts aim at providing novel electronic functionalities that can be built onto or integrated into unconventional substrates such as clothes, paper and plastic foils, etc. manufactured using printing techniques. Examples of such applications include flexible displays, electronic paper, smart labels, point-of-care diagnostics, and security tags. Most of these applications require some kind of non-volatile memory technology that can be programmed, erased and read-out electrically. Non-volatile memory devices based on organic ferroelectrics represent a promising approach towards the development of a low-cost technology that is possible to manufacture using common printing techniques. To this end, ferroelectric polymers are especially attractive as the active medium for data storage, owing to their high flexibility, low cost and simple production protocol.
Ferroelectric polymers can maintain an electric polarization state in the absence of an externally applied electric field. The polarization state and the resulting surface charge density originate from a bistable, switchable dipole moment, maintained across the ferroelectric domains of the material. The net surface charge density can be induced to be either positive or negative depending on the direction of the applied polarizing electric field.
Here, we demonstrate that the surface charge density expressed by the ferroelectric polymeric thin film induces ion displacement within a polyelectrolyte layer, which results in the formation of electric double layers (EDLs). This ferroelectric-induced EDL has successfully been exploited to introduce hysteretic functionalities in new organic electronic devices, e.g. transistors and novel memory elements.
9:00 AM - M5.40
Strain-Durable Printed Organic Thin-Film Transistors for Sensor Application
Kenjiro Fukuda 1 Kenta Hikichi 1 Tomohito Sekine 1 Yasunori Takeda 1 Tsukuru Minamiki 1 Daisuke Kumaki 1 Shizuo Tokito 1
1Yamagata University Yonezawa Japan
Show AbstractWe demonstrated the strain-durable printed organic thin-film transistors (TFTs) with ink-jet printed silver electrodes fabricated from silver nanoparticle inks. The modified silver nanoparticle inks enabled the strong adhesion to the underlying polymer layer, and the fabricated organic TFTs exhibited excellent reproducibility in the bending cycle tests. The strong channel length dependence on the strain sensitivity was observed in both p-type and n-type organic TFTs. The organic TFTs with a short-channel exhibited higher sensitivity to the bending strain. These results suggest that the flexible organic TFTs with printed silver electrodes have excellent mechanical durability and are useful for bending and strain sensor applications [1].
The devices were fabricated on 125-µm-thick PEN substrates. The substrates were coated with an polymer planarization layer. The silver nanoparticle ink (JAGLT-01, DIC) was patterned with an inkjet printer (DMP2800, Fujifilm Dimatix) onto the planarization layers. After the printing, the substrates were stored for 30 min in an environmental test chamber (SH-221, espec) in which the temperature was held at 30 °C and relative humidity was held at 95%RH in order to planarize the electrodes [2]. After the drying process, the substrates were heated at 140 °C for 1 hour to sinter the silver nanoparticles. The fabricated silver gate electrodes had uniform thickness of ~100 nm. A solution of PVP was spin-coated and baked to form 350-nm-thick dielectric layers. The silver electrodes were subsequently inkjet printed. Finally, a 50-nm-thick pentacene or trifluoromethylphenyl groups (FPTBBT) semiconducting layer was deposited on the source/drain electrodes.
Both p- and n-type devices on polymer dielectrics exhibited a decrease in saturation on-current upon the application of tensile strain. The transfer characteristics of both p-type and n-type TFTs completely returned to the initial state after the 1.6% tensile strain. The mechanical durability was comparable with previously reported organic TFT devices with evaporated Au electrode [3], which was attributed to the strong adhesion of the electrodes with the underlying dielectric surfaces. Therefore, these results exhibited the feasibility of applying inkjet-printed electrodes to the flexible electronics.
Furthermore, the electrical characterization was performed for devices with different channel length (L). The strain sensitivity clearly depends on the channel length for both p- and n-type devices. As L shortened, the current became more sensitive to the surface strain. The devices with a high strain sensitivity can be made useful for sensing applications by using a short channel length. In contrast, wider channel length is suitable for decreasing their sensitivity to strain.
[1] K. Fukuda et al., Scientific Reports, 3, 2048 (2013).
[2] K. Fukuda et al., ACS Appl. Mater. Interfaces, 5, 3916 (2013).
[3] T. Sekitani et al., Appl Phys. Lett. 86, 073511 (2005).
9:00 AM - M5.41
Channel Length Dependence of Device Performances in Fully-Solution Processed Flexible Organic Thin-Film Transistor Array
Kenjiro Fukuda 1 Yasunori Takeda 1 Makoto Mizukami 2 Daisuke Kumaki 1 Shizuo Tokito 1
1Yamagata University Yonezawa Japan2Yamagata University Yonezawa Japan
Show AbstractWe fabricated fully solution-processed organic thin-film transistors (TFTs) and array with a high yield with as high as 100% and high electrical performance. The treatment layer for source/drain electrodes improves the carrier injection from those electrodes into semiconducting layer, resulting in the low contact resistance. Furthermore, large crystal domain sizes of organic semiconductor resulted in the high mobility in the devices with shorter channel length. The highest mobility over 1.2 cm2/Vs was obtained in the devices with a channel length below 20 µm. By combining those fabrication techniques, we demonstrated the organic TFT arrays with average mobility as high as 0.80 cm2/Vs and a ideal threshold voltage of 0 V as well as great performance uniformity.
A 125-µm-thick PEN film was used as a substrate, on which a polymer solution was spin-coated to form a base layer. A silver nanoparticle ink (JAGLT-01, DIC) layer was applied using inkjet printing (Fujifilm Dimatix, DMP2830) and then sintered at 120 °C to form the gate electrode. An insulating material (lisicon® D207, Merck) was then spin-coated to form a 600 nm-thick gate dielectric layer. Silver nanoparticle ink (NPS-JL, Harima Chem.) was then inkjet printed and sintered at 120 °C to form the source-drain electrodes, which were modified using self-assembled monolayer (lisicon® M001, Merck). 200-nm-thick fluoropolymer bank layers were printed by using dispenser equipment (Image Master 350PC, Musashi Engineering). Lastly, an organic semiconducting ink (lisicon® S1200, Merck) was printed using dispenser equipment and annealed at 100 °C for 1 min.
The fabricated organic TFTs showed specific correlation between the channel length and mobility. the mobility decrease approximately linearly to the channel length in the range from 10 µm to 150 µm. A fitted line has a negative slope and with correlation coefficient R2 of 0.52. A large mobility of 1.2 cm2/Vs was obtained from the device with short channel length of 10 µm. Large crystal grain sizes of semiconducting layer of 30 µm and low contact resistance of 1.8 kOmega;cm caused this specific channel length dependence of the TFT performance [1].
The fabricated 10 × 10 TFT array on flexible PEN film was operated successfully with yield of 99%. The mobility in the saturation regime was 0.80 ± 0.23 cm2/Vs on average. The threshold voltage was 0.0 ± 1.7 V on average. These performance dispersions are comparable with organic TFTs with evaporated gold source/drain electrodes on glass surfaces [2,3].
[1] D. J. Gundlach et al., Nature Mater. 7, 216-221 (2008).
[2] H. Minemawari et al., Nature 475, 364-367 (2011).
[3] M. Ikawa et al., Nature Commun. 3, 1176 (2012).
9:00 AM - M5.43
Contact Modification Using MoOx Layer for Top-Contact Organic Thin-Film Transistor with Patterned Copper S/D Electrode by Using Photolithography Process
Daisuke Kumaki 1 2 Yuya Utsuno 1 Tsubasa Sato 1 Kenjiro Fukuda 1 2 Makoto Mizukami 1 3 Shizuo Tokito 1 2
1Yamagata University Yonezawa Japan2Yamagata University Yonezawa Japan3Yamagata University Yonezawa Japan
Show AbstractWe have successfully fabricated a short channel top-contact organic thin-film transistor (TFT) with a molybdenum oxide (MoOx) for contact modification and a copper (Cu) for source and drain (S/D) electrodes patterned by photolithography process. The top-contact pentacene TFT with Cu S/D electrode showed the mobility of 0.2 cm2/Vs at Vgs of -20 V, which was four times higher than that of bottom-contact pentacene TFT with Cu electrode. Furthermore, the mobility was increased to 0.5 cm2/Vs by introducing MoOx layer between pentacene and Cu electrode to improve the carrier injection property.
Aluminum (Al) was deposited on a glass substrate for 50 nm. A 180-nm-thick crosslinkable poly-4-vinylphenol (PVP) was formed by spin-coating on the substrate as gate insulator. The crosslinkable PVP was prepared by blending a melamine, PVP and silane coupling agent. Then, pentacene was deposited on the gate insulator through shadow mask for 50 nm. A Cu layer was deposited by thermal evaporation for 50 nm, and was patterned by photolithography and wet etching. In the case with MoOx layer, molybdenum trioxide was deposited by thermal evaporation before Cu deposition. The bilayer electrode was simultaneously wet-etched by the Cu etchant. Channel lengths were 5 to 20 mu;m. Finally, the fabricated pentacene TFTs were annealed in dry nitrogen at 120 °C for 2 hours. The electrical characteristics were measured in dry nitrogen by using semiconductor parameter analyzer.
The pentacene TFT with 5 mu;m channel showed a high mobility of 0.2 cm2/Vs at Vgs of -20 V, which was four times higher than that of bottom-contact pentacene TFT with Cu electrode. However, the on current was significantly decreased in pentacene TFTs with longer channel. This degradation was observed particularly in longer channel than 10 mu;m. From these results, the semiconductor channel might be damaged by infiltration of the etchant or water into channel region adjacent to gate insulator through the grain boundary. The grain size of pentacene was 1 to 3 mu;m, it is therefore considered that the damage was reduced by shortening the channel. Additionally, we have successfully introduced the MoOx layer for improving carrier injection to organic semiconductor. The mobility of the pentacene TFT was increased up to 0.5 cm2/Vs.
9:00 AM - M5.44
Highly Stable Bottom-Contact Organic Thin-Film Transistor with Short Channel Copper Source and Drain Electrodes
Daisuke Kumaki 1 2 Yuya Utsuno 1 Tsubasa Sato 1 Kenjiro Fukuda 1 2 Makoto Mizukami 1 3 Shizuo Tokito 1 2
1Yamagata Univeristy Yonezawa Japan2Yamagata University Yonezawa Japan3Yamagata University Yonezawa Japan
Show AbstractWe have successfully fabricated a bottom-contact organic thin-film transistor (TFT) with short channel copper (Cu) source and drain (S/D) electrodes. The S/D electrodes with a channel length of 5 mu;m was patterned by photolithography and wet etching process. By treating the Cu S/D electrode with pentafluorobenzenethiol (PFBT), the pentacene TFT showed the field effect mobility of 0.1 cm2/Vs at a Vgs of -20 V, which was comparable to that of similar TFT with gold (Au) electrodes. We also investigated air stability of the Cu electrode by evaluating the change in the contact resistance in air condition because significant contact degradation is suspected by the oxidation of Cu electrode.
Aluminum (Al) was deposited on the glass substrate for 50 nm. A 220-nm-thick Teflon was formed by spin-coating on the substrate as gate insulator. A Cu was deposited on the Teflon by using thermal evaporation for 50 nm. The Cu layer was patterned by photolithography and wet etching to form S/D electrodes, which have a channel length in the range from 5 mu;m to 50 mu;m. We used acid based etchant containing a nitric acid, phosphoric acid and acetic acid (Kanto Chemical co., acid etchant) for pattering the Cu layer. After pattering, the Cu S/D electrode was treated with PFBT vapor for 1 min. Finally, pentacene or dinaphthoTTF (DNTTF) [1] was deposited on the substrate as a semiconductor layer. We observed the change in contact resistance in air condition after initial characteristics was evaluated in nitrogen atmosphere. The contact resistance was estimated by using transmission line method (TLM).
In general, the edge sharpness of the S/D electrode at the channel region is especially important to obtain minimum contact resistance. We have successfully fabricated a fine Cu electrode with no residual on channel region by reducing the ratio of acetic acid. By this improvement, the hysteresis in transfer characteristics was dramatically reduced. The pentacene TFT with Cu S/D electrodes treated with PFBT showed the mobility of 0.1 cm2/Vs at a Vgs of -20 V, which was comparable to that of similar TFT with gold (Au) electrodes. The contact resistance could be reduced from 200 kOmega;cm to 100 kOmega;cm at a Vgs of -20 V by using DNTTF because of a shallow ionization potential (4.95 eV) compared to that of pentacene (5.05 eV).
We evaluated the contact degradation of the Cu S/D electrode after exposure to air. In DNTTF TFT without contact treatment, although the contact resistance was slightly increased from 95 to 103 kOmega;cm at a Vgs of -20 V by exposure to air, it was maintained at almost the same level (105 kOmega;cm) after storing in air for 50 days. This result indicates that the influence of the oxidation in Cu electrode is negligible for the transistor performance.
[1] Naraso, et al., J. Am. Chem. Soc. 127, 10142 (2005).
9:00 AM - M5.45
SWCNT Networks by Spray Deposition as Transparent Electrode for Photovoltaics and Organic Electronics
Fernando Ely 1 Valdirene Sullas Texeira Peressinotto 1 Michele Odnicki da Silva 1
1CTI Renato Archer Campinas Brazil
Show AbstractCurrently, sputtered indium tin oxide (ITO) is the most widely used material for transparent electrode in organic photovoltaics (OPV) and other organic electronic device structures. However, ITO has several disadvantages towards such devices commercialization including high cost and availability of indium, brittleness of ITO and work function. Along, Ag-nanowires, metallic mesh structures and grapheme films, single-walled carbon nanotube networks (SWCNT-NW) is a fascinating alternative due to the benefits including higher work function, bendability and range of possible deposition methods including printing/coating. One of the challenges to obtain SWCNT-NW with good optical and electrical properties is to avoid the formation of aggregates in large bundles. In this contribution we explore the spray deposition technique to achieve SWCNT-NW for transparent electrodes in flexible devices. Two different spray systems were used and compared namely: (a) automated ultrasonic system and (b) handheld airbrush. The SWCNTs investigated possess low and high functionalities and were beforehand dispersed in water and surfactant. The dispersions were characterized by UV-Vis-NIR absorption spectroscopy and demonstrate a high level of isolated tubes. After sprayed the films were evaluated with respect to their morphological (optical microscopy, SEM and AFM), electrical (c-AFM and Van der Pawn) and optical properties (by UV-Vis-NIR spectroscopy). The highest conductivity obtained was 1,255 S.cm-1 for a 15 nm thick NW with 85% of transparency @550nm. The sprayed SWCNT-NWs were them patterned by two different methods and OPV devices derivated from them are demonstrated.
9:00 AM - M5.46
Broadband Absorber Based on MIM Reflection Filters Using Aperiodic Perforated Metal Films
Tianyi Sun 1 2 Chuanfei Guo 1 Yangfei Guo 3 Qinggeng Lin 3 Qikun Rong 3 Jinwei Gao 3 Yang Wang 3 Krzysztof Kempa 2 Zhifeng Ren 1
1University of Houston Houston USA2Boston College Chestnut Hill USA3Academy of Advanced Optoelectronics, South China Normal University Guangzhou China
Show AbstractEmergence of metamaterials, and recent development in nano-fabrication and simulation revolutionized fields of nano-optics and plasmonics. This includes development of a new class of reflection filters. Here, we report broadband absorption in the visible frequency range of multi-layer structures, which include a perforated metal film. First is the three-layer structure perforated metal/insulator/metal, where an ultrathin high-index absorptive material is incorporated as the insulator. The phase shift at the interface between this absorptive layer and the metal back reflector reduces the dielectric layer thickness requirement for anti-reflection. The simulations are verified by experiments, and show that the broadband absorption occurs even when the perforated film is aperiodic. Even broader and higher absorption (~ 90% in the entire visible range) is achieved by adding a thin interference film of a lossless dielectric over the perforated film. Such a four-layer structure could be used as a platform for ultra thin a-Si solar cells.
9:00 AM - M5.47
{001} Oriented Pb(Zr0.52,Ti0.48)O3 Films Deposited on Nickel Foils with LaNiO3 Seed Layers
Hong Goo Yeo 1 Susan Trolier-McKinstry 1
1The Pennsylvania State University University Park USA
Show AbstractPiezoelectric Pb(Zr,Ti)O3 (PZT) films for micro-electro-mechanical systems (MEMS) devices are generally microfabricated on electrode silicon substrates. However, Si is brittle, has a high stiffness and a low density. In contrast, base metal substrates, which have a high fracture strength and high density are desirable in some applications. For example, in MEMS energy harvesting, metallic substrate can improve the output power efficiency and decrease the device resonance frequency as a result of higher density end masses at the fixed size of a device as compared to PZT on Si. Therefore, it is necessary that oriented PZT films, which show larger piezoelectric responses than randomly oriented PZT films, be fabricated on base metal substrate.
To suppress substrate oxidation during crystallization of PZT and LaNiO3 films, HfO2 was coated on nickel foil by atomic layer deposition. Strongly (100) oriented LaNiO3 layers, which act as orienting seed layers for the PZT, were coated on HfO2 and crystallized in air. Strongly {001} oriented PZT films are deposited by chemical solution deposition as confirmed by X-ray diffraction. The dielectric constant and loss tangents of {001} oriented PZT film on Ni foil were about 700 and 0.04 at 1kHz. The hysteresis loops are well saturated with a high remanent polarization of 39 mu;C/cm2 and coercive field of 80 kV/cm. The effective transverse piezoelectric coefficient e31, f (about -10 C/m2) were obtained. An energy harvester utilizing these films was fabricated.
9:00 AM - M5.48
Printable Ultrathin Sticker-Type ZnO Thin Film Transistors by Introducing Polymer Sacrificial Layer to Concave/Convex Underlayer
Jongwon Yoon 1 Suk Ho Kim 1 Su Ok Yun 1 Youngkyu Hwang 1 Hun Soo Jang 1 Heung Cho Ko 1
1Gwangju Institute of Science and Technology Gwangju Republic of Korea
Show AbstractRecent technology of flexible electronics enables evolutionary transition in use from rigidity governed by manufacturers to flexibility allowing more freedom over the limitation of space and time that conventional devices cannot afford. Regarding that thinner structure gives higher flexibility and esthetic value, creating a device thinnest possible is of importance to achieve this category of devices. Because this effort faces another difficulty in direct fabrication when using an ultrathin flexible substrate, it is highly desirable to use an additional handling substrate to support the ultrathin substrate mechanically during the device fabrication, manipulate the final device into a printable format, and transfer the printing to a target surface. Here, we demonstrate one of promising methods to guarantee both stability in the device fabrication and high yield of transfer printing with no distortion of the final devices by introducing water soluble sacrificial polymer on a dimpled handling substrate. This structure enables the device fabrication in a stable manner and topological confinement of the polymer residue inside and near the dimples after chemical etching process reduces the interfacial adhesion in a deterministic manner to provide high yield of transfer printing. As one of the examples of device application to exhibit the efficacy of our method, we successfully developed printable ultrathin sticker-type ZnO thin film transistors (TFTs) with the total thickness of 13 mu;m including the ultrathin substrate through solution process and transferred them onto the unconventional surfaces such as paper, human skin, a pen, and display panel. The devices show saturation mobility, threshold voltage, and on/off current ratio of ~0.1 cm2V-1sec-1, ~4.3 V, and ~10^5, respectively, with high degree of bendability at the bending radii between infin; and 4.2 mm for 10,000 bending cycles with no significant failure of electronic performance.
[1] S. H. Kim, J. Yoon, S. O. Yun, Y. Hwang, H. S. Jang, H. C. Ko, Adv. Funct. Mater. 23, 1375 (2013).
9:00 AM - M5.49
High-Performance Stretchable Silver Electrode with Scalable Inkjet-Patterned Photo-Curable Strain Modulators
Junghwan Byun 1 2 Sangwoo Kim 1 2 Seungjun Chung 1 2 Yongtaek Hong 1 2
1Seoul National University Seoul Republic of Korea2Inter-university Semiconductor Research Center Seoul Republic of Korea
Show AbstractStretchable electronics has been one of the most outstanding research fields because of its diverse applicable property. Numerous works accomplishing remarkable outcomes, in practice, have adopted stretchable components as a new strategy for further developed products such as artificial skins, smart surgical gloves, and deformable display. Several attempts have been competing for the realization of ultimate stretchable electronics system, where either the out-of-plane wavy structures or new materials with superior mechanical property were utilized. However, conventional metal film-based stretchable electronics system has been limited to the low-strain application due to its poor mechanical property though it has superiority in very low initial resistance, easy fabrication process and various material accessibilities.
In this work, we report the strain-modulated compliant substrate which enables commonly used metals to be a sufficient material for stretchable electronics system. In practice, high-performance in-plane (compared to out-of-plane wavy structures) stretchable silver (Ag) electrode with strain-modulated compliant substrate (PDMS) was demonstrated. By inkjet-printing photocurable polymer and sequential UV exposure, programmable large-area positioning of strain modulators was accomplished. Embedded in PDMS matrix, strain modulators facilitated artificially modulated elastomeric strain as originally intended under the externally applied strain. The designed strain distribution upon strain modulator-embedded compliant substrate was successfully verified by finite element analysis and wrinkling phenomena. Finally, thin Ag film was thermally evaporated upon the strain-modulated PDMS, and tested under the 1-dimensionally loaded condition.
Notably, strain-relief effect induced by strain modulation impeded micro-cracking on the metal film, and improved the performance of stretchable electrode (generally resistance variation under tensile strain) by more than five times compared to the reference sample (the normalized resistance only increased by 2.5 up to 50% tensile strain). Moreover, the position and the size of the strain modulators can be easily controlled by the simple inkjet-printing process, resulting in optimized structures in order to further improve the electrode characteristics. We believe that this approach would be the best candidate for the realization of stretchable electronics system compatible with conventional in-situ fabrication process.
This work was supported by a grant (Code No. 2012M3A6A5055728) from the Center for Advanced Soft Electronics under the Global Frontier Research Program of the Ministry of Education, Science and Technology, Korea.
9:00 AM - M5.50
Synthesis of All-Conjugated ABA and AB-Type Donor-Acceptor Block Copolymers and Their Application in All-Polymer Solar Cells
Jin Wang 1 Tomoya Higashihara 1
1Yamagata University Yamagata Japan
Show AbstractIn this work, we report the synthesis of all-conjugated donor-acceptor block copolymers via a externally initiated Kumada catalyst-transfer polycondensation (KCTP) method. In the first step, electron acceptor blocks, poly(naphthalene diimide)s (PNDIs), were prepared via the Stille coupling polycondensation. Then, P3HT blocks were polymerized KCTP initiated by Ni(COD)2 activated PNDI complexes. Therefore, a series of ABA (P3HTs were initiated from both ends of PNDI) and AB-type (P3HT was initiated from one end of PNDI) block copolymers were successfully synthesized. Before fabrication of all-polymer solar cells, the morphologies and crystalline behaviors of the block copolymers were extensively investigated as a function of thermal annealing and the main chain composition of PNDI block. As a control, the crystalline behaviors of the physical blends of P3HT and PNDIs were also reported. According to literatures, there were large phase separations in the micron scale in the blend of PNDI2Th and P3HT. Moreover, the phase separations were vertically distributed, where the PNDI2Th were accumulating at the film surface while the P3HT domains were underneath the PNDI2Th domains. However, for the PNDI1Th systems, TEM results revealed well-defined nanoscale phase separation between PNDI1Th and P3HT both in the blends and the block copolymers, though large separation was observed in the blends after thermal annealing while the microphase separation in the block copolymer was relatively stable. The GIWAXS results indicated that the crystalline behaviors of PNDI1Th and PNDI2Th based block copolymers were quite different. In the PNDI1Th based block copolymers, the PNDI1Th are isotropically dispersed and P3HT aligns in the edge-on rich structure. In the PNDI2Th based block copolymers, the PNDI2Th align in the face-on rich structure and P3HT aligns in the edge-on rich structure. On the contrary, both the PNDI1Th and PNDI2Th align in the face-on rich structure in the physical blend with P3HT. The results suggested that the P3HT block can significantly affect on the crystalline structure of PNDI1Th block but show little affection on that of PNDI2Th block. Finally, all-polymer solar cells were fabricated by using the block copolymers as the single active component or as surfactants. A PCE of 0.11 % with Voc=0.46 V, Jsc=0.50 mA/cm2, and FF=0.46 was recorded for the first time by using the donor-acceptor all-conjugated block copolymer as the single active component. Though the efficiency of the all-polymer solar cells reported in this work is relatively low when compared to other all-polymer solar cells based on donor and acceptor blends, further improvements are possible by optimizing the fabrication conditions or chemical component of the block copolymers, such as varying the weight ratios of donor and acceptor blocks.
9:00 AM - M5.51
Solution-Processed Vertical-Type Metal-Base Organic Transistors Using Poly(3-hexylthiophene)
Kohei Umetsu 1 Junji Kido 1 2 Ken-ichi Nakayama 1 2
1Yamagata University Yonezawa Japan2ROEL Yonezawa Japan
Show AbstractThe metal-base organic transistor (MBOT) is a promising vertical-type organic transistor with simple organic/metal/organic layered structure that realize large output current modulation and current amplification at low voltage. Thus far, transistor behavior in the MBOT has been observed in only n-type material such as perylene bisimide compounds and fullerene, and its fabrication process has been limited to vacuum deposition. Recently, we have reported a p-type MBOT using pentacene evaporated film (Appl. Phys. Express, 5, 094202 (2012)). This achievement opened up the door to a wide variety of p-type materials including polymers. In this study, we fabricate the solution-processed MBOT using poly(3-hexylthiophene) (P3HT) as collector and emitter organic layers by a simple spin-coating method.
The device was fabricated by spin coating of polymer layer and vacuum deposition of electrodes. The final device structure was ITO(collector) /P3HT/LiF/Al(base)/LiF/P3HT/MoO3/Au(emitter). After spin-coating the collector layer of P3HT on an ITO glass substrate, the base electrode composed of LiF/Al/LiF was evaporated thermally. Then, the emitter layer of P3HT was spin-coated, and top MoO3/Au emitter electrode was evaporated. The output collector current was measured for sweeping base voltage under a constant collector voltage.
Spin coating of the upper P3HT layer did not affect the electric property of the lower layer, because relatively poor solvent (tetrahydrofuran) was used for the upper layer, and the base electrode served as a protecting layer. The optimized device showed high performance, collector current, current amplification factor (hFE) and on/off ratio reached 91 mA cm-2, 56 and 1.2x105, respectively, at collector voltage of 5 V and base voltage of 3 V. We applied this device to a resistance-load inverter circuit, and achieved high voltage gain as high as 44. Thus, high performance vertical-type organic transistors were successfully fabricated by simple layered structure fabricated by solution process.
9:00 AM - M5.52
Modelling of Joule Heating Based Self-Alignment Method for Metal Grid Line Passivation
Marika Janka 1 Pasi Raumonen 2 Sampo Tuukkanen 1 Donald Lupo 1
1Tampere University of Technology Tampere Finland2Tampere University of Technology Tampere Finland
Show AbstractA self-alignment method for solution-processable insulator structures has been investigated for the passivation of metal grid lines, for example for organic light emitting diodes or photovoltaic cells. Accurate alignment of the passivation layer solely on top of the grid line is crucial in order to minimize loss of aperture ratio in the active device. To minimize overhang of the passivation layer from line edges, we have studied a self-alignment method for solution-processable, cross-linkable polymer insulator films. The method is based on Joule heating: electrical current heats the metal lines, causing a localized temperature increase at the vicinity of the conductor, which locally cures the insulator [1]. After curing it is possible to remove the uncured polymer. The degree of localization depends on the temperature selectivity of the cured polymer and the thermal conductivity of the substrate. The spreading of the heat into the substrate increases the overhang of the passivation layer.
In this work, finite element simulations were performed to investigate the heating of the sample using either glass or poly(ethylene terephthalate) (PET) substrate. The sample was at the room temperature and the current was selected to induce a temperature of 400 K at the conductor. The selection of substrate material is crucial for the localization of cross-linking. For the PET substrate, the temperature gradient at the edge of the conductor is approximately two times the gradient for glass. As a result, using a glass substrate demands high selectivity from the polymer cross-linking, thus making PET more suitable substrate material for our application.
[1] M. Janka, S. Tuukkanen, T. Joutsenoja, and D. Lupo. Self-alignment method for solution-processable dielectric structures via joule heating. Thin Solid Films, 519(19):6587-6590, 2011.
9:00 AM - M5.53
Enhancement of Light Extraction Efficiency of AlGaInP-Based Vertical-Typed LED by Direct Printing Technique
Joong-Yeon Cho 1 Hak-Jong Choi 1 Yangdoo Kim 1 Gyutae Kim 1 Jehong Choi 1 Heon Lee 1
1Korea University Seoul Republic of Korea
Show AbstractIn this study, we fabricated a high-brightness AlGaInP light-emitting diode (LED) using the direct printing technique and dry etching. In general, wet etching is used for surface roughening to improve the light extraction of AlGaInP red LEDs. However, a structure fabricated by wet etching has limited height and shows a tiled cone shape after the etching process due to the AlGaInP crystal structure. These limitations reduce the light extraction of the LED. We fabricated a perfectly cone-shaped pattern with high aspect ratio using direct printing by etching to maximize the LED light extraction efficiency. 2-inch wafer-scale pattern transfer was established by the direct printing process. The direct printing process, with its advantages of high throughput, pattern transfer reliability and low cost, can be applied to fabricate a high efficiency LED. As a result of the patterning, compared to the red LED with a wet-etched structure, the patterning enhanced the light output power by 12% without electrical degradation. This enhanced light output power was maintained even after the packaging process.
9:00 AM - M5.54
Interface Stereo-Electronic Properties Dominate Charge Transport by Tunneling in Large Area Molecular Junctions
Martin Thuo 1 2
1UMass-Boston Boston USA2Harvard University Boston USA
Show AbstractThe study of charge transport across large area junctions has gained tremendously in the recent past due, in part, to development of reliable methods to make electrical contacts on self assembled monolayers (SAMs). The recent development of the highly sensitive EGaIn (liquid eutectic gallium-indium) electrode has led to reliable physical-organic studies on the rate of charge transport across organic thin films. Whitesides and co-workers, using junctions of the type Ag-SAM\\Ga2O3\ EGaIn (where \\ is a Van der Waal interface) observed the previously predicted odd-even effect in the rate of charge transport by tunneling across n-alkanethiolate SAMs. We recently observed that perturbing the SAM tilt angle leads to significant differences in the rate of tunneling currents due, primarily, to the nature of the physi-sorbed surface of the SAM. We discuss the role of the SAM\\top-electrode interface in EGaIn based junctions and demonstrate that the nature of this interface dominates simple structural or molecular perturbations in the SAM.
9:00 AM - M5.55
Terazulene: Novel Class of N-Type Semiconductor Material
Yuji Yamaguchi 1 Keisuke Ogawa 1 Hiroshi Katagiri 1 2 Yoshihiro Ohba 1 2 Ken-ichi Nakayama 1 2
1Yamagata University Yonezawa Japan2ROEL Yonezawa Japan
Show AbstractAzulene, a blue hydrocarbon, has an attracted much attention lately because of its unusual properties, typified by a large dipole moment and long-wavelength absorption. The azulene backbone can be used to trigger the construction of materials with narrow energy gaps. In this study, we present a simple hydrocarbon compound, 2,6prime;:2prime;,6Prime;-terazulene, wherein three azulene units are connected linearly in the same direction. This molecule is the first example of linear and unidirectional oligoazulene, and expected to enhance the unique properties of the azulene unit including the internal dipole moment and electronic state. We report the synthesis, properties, and organic field-effect transistors (OFET) characteristics.
2,6prime;:2prime;,6Prime;-terazulene was prepared in four steps from 2-chloro-6-(4,4,5,5-tetramethyl-1,3,2-dioxaborolan-2-yl)azulene-1,3-dicarboxylate via Suzuki-Miyaura cross-coupling reaction. This starting material is a novel compound and a valuable synthetic intermediate for selective substitution at the 2- and 6- positions in the azulene moiety. Top-contact OFETs were fabricated by vacuum deposition with an active layer thickness of 60 nm on octadecyl trichlorosilane (ODTS)-treated Si/SiO2 substrate.
Single-crystal X-ray diffraction of 2,6prime;:2prime;,6Prime;-terazulene showed planar molecular structure and herringbone packing. Thin-film X-ray diffraction indicated that the molecules take the edge-on orientation on ODTS-treated Si/SiO2 substrate. Interestingly, the OFET device gave clear n-channel operation with good saturation in the output curves. The FET mobilities gradually improved with Tsub, and the highest performance, an electron mobility of 0.29 cm2 V-1 s-1, and an on/off ratios of 2.5 × 107, was observed at Tsub = 100 °C. This n-type operation is attributed to the unique orbital distributions of 2,6prime;:2prime;,6Prime;-terazulene. The LUMO is widely distributed over the entire molecule, whereas the HOMO is localized at one end azulene unit. Large overlap of LUMO and small overlap of HOMO with adjacent molecules results in n-type operation. This system presents an unconventional concept: polarity control of OFET by molecular orbital distribution control.
9:00 AM - M5.56
Metal Nano-Transfer Printing Technique for Next-Generation Transparent Conducting Electrode
Hak-Jong Choi 1 Soyoung Choo 1 Joong-Yeon Cho 1 Yang-Doo Kim 1 Jehong Choi 1 Kyutae Kim 1 Heon Lee 1
1Korea University Seoul Republic of Korea
Show AbstractMetals are attractive materials in various applications due to their good ductility, malleability, electrical and thermal conductivity. In the fields of electronic devices, metals have been commonly used as electrode materials. However, the metals have been limited in the fields of optoelectronic devices because the metals possess an opaque characteristic. Ultrathin metal films, owns decent transmittance, have insufficient characteristics for electrical properties because of electron scattering from the grain and surface boundaries. Recently, metal nanostructures have been investigated to improve their optical properties without loss of electrical properties. Furthermore, metal structures have a lot of attention as a transparent conducting electrode according to increase of an interest for flexible and transparent devices.
In this study, we fabricate the metal nanostructure using metal nano-transfer printing. At first, polymeric molds, such as polydimethylsiloxane (PDMS) and perfluoropolyether (PFPE), were prepared by molding process on master molds with nano-scale metal grid. Then, metals such as Au, Ag and Cu, were deposited on polymeric mold with nano-scale grid. In order to transfer the metals from polymeric mold to targeted substrate such as Si, glass, polycarbonate (PC) and polyterephthalate (PET), the surfaces of both mold and substrate were activated using ozone treatment. Subsequently, the polymeric mold is conformally contacted on the substrate. Pressure and heat were then applied to transfer the metal on the substrate. After process was completed, nano-scale metal grid is transferred on the substrate. Optical transmittance of nano-scale metal grid was observed with an average transmittance up to 90% and sheet resistance of nano-scale metal grid were also measured with an average value as low as 30Omega;/sq, which have higher value than those of commercially used indium tin oxide (ITO) film.
9:00 AM - M5.57
Oil/Water Interfacial Assembly of Au Nanoparticles into Films on Flexible Chemiresistor Devices
Yong-Jun Li 1 Shiyao Shan 1 Jin Luo 1 Chuan-Jian Zhong 1
1State University of New York at Binghamton Binghamton USA
Show AbstractOil/water interface provides an ideal platform for fabricating uniform thin films of nanoparticle assemblies. By the mediation of acetone, acrylate-capped Au nanoparticles with a monodispersed diameter ranging from 10 nm to 80 nm were assembled on a toluene/water interface. The interparticle distance was tuned by the molecular interaction of alkyl thiols in the toluene solution. These as-prepared nanoparticle thin films can be transferred onto flexible devices with chemiresistor arrays to address the versatility of chemical sensor design. The correlation between the relative change in electrical conductivity and the change in dielectric medium constant in response to flexible wrapping of the device demonstrated the viability of manipulating the electrical responses in terms of wrapping direction. The responses of the devices in response to volatile organic compounds (VOCs) were analyzed in terms of particle size, interparticle properties, and substrate-film interactions.
9:00 AM - M5.58
Flame-Spray Pyrolysis of Highly Efficient NaYF4 Upconversion Phosphors
Oleksandr Stepuk 1 Karl W. Kraemer 2 Wendelin J. Stark 1
1ETH Zurich Zurich Switzerland2University of Bern Bern Switzerland
Show AbstractUpconverison phosphors are of great potential in numerous applications in optics, solar cells, printing applications, dental materials etc. Most of the applications require the production of nanoscale phosphors and high upconverting efficiency and large-scale manufacturing. However, existing approaches in synthesis of upconversion phosphors result either in low efficiency or micron-sized particles. β-NaYF4 is considered among the most efficient host matrices used for upconversion of near-infrared (NIR) to visible light. We here demonstrate one step flame synthesis of nanoparticles of rare-earth metals doped fluorides for upconversion applications [1].
The prepared upconverting nanoparticles were further treated with sustainable purification to achieve maximum efficiency. Such materials show improved luminescence properties upon excitation with 980 nm NIR light source. Up-scalable synthesis demonstrates suitability of the technique for further industrial applications. Using the prepared phosphors, common photo-curable polymers were successfully cured. The availability of large-scale concepts for flame synthesis, including large scale filtration and powder handling, allow preparation of non-oxide upconversion phosphors with high efficiency and could be considered as a feasible alternative to traditional preparation techniques.
[1] A. Stepuk, K.Kraemer, W.J. Stark. Kona Powder J. 2013, 30, 267-75.
9:00 AM - M5.59
Solution-Processable Reduced Graphene Oxide as an Interfacial Layer for Highly Efficient and Stable Performance Organic Photovoltaics Compatible with Roll-to-Roll Manufacturing
Jun-Seok Yeo 1 Jin-Mun Yun 1 Sehyun Lee 1 Minji Kang 1 Juhwan Kim 1 Seok-In Na 2 Dong-Yu Kim 1
1Gwangju Institute of Science and Technology Gwangju Republic of Korea2Chonbuk National University Jeonju Republic of Korea
Show AbstractThe motivation for the researchers to develop renewable energy sources has made organic photovoltaics (OPVs) become a promising technology that offers the potential of a flexible, low-cost and roll-to-roll processable applications in large-area. The conventional OPV architecture containing PEDOT:PSS as anode interfacial layer (AIL) has been showed the most successful device performance with the highest potential of OPVs, however, the long-term operation of OPVs based on PEDOT:PSS is unfavorable due to high acidity, and hydroscopic properties of PEDOT:PSS. Herein, as a new class of a promising alternative to PEDOT:PSS, we present the novel reduced graphene oxide functionalized with sulfonic acid group (sr-GO) and demonstrated its successful application as an AIL. In contrast to recently reported PEDOT:PSS alternatives such as V2O5, MoO3, and NiO, the resultant sr-GO are readily dispersed in pure water with very high concentration up to 20 mg/ml and the sr-GO interfacial layer is deposited by environmental friendly solution-processing without any need for thermal treatments, which is compatible with flexible substrate, and possibility of large-area roll-to-roll mass production. More importantly, the good compatibility even with deep HOMO materials was also achieved, resulting in PCEs up to 4.80%, 7.18%, and 7.18% for TQ1, PTB7, and PBDTTT-CF cells, respectively. In addition, OPV-based on sr-GO exhibited a superior device operation-time compared to those of the cells-based on PEDOT:PSS. These demonstration of donor material-independent high performance of OPVs with sr-GO would be a critical step toward the realization of high efficient, high-stable, and roll-to-roll mass production of OPVs.
9:00 AM - M5.60
Nanolithography for Wafer-Scale Nanopatterning with High-Aspect-Ratio Using Photolithography
Jungho Seo 1 Hanchul Cho 1 Ju-Kyung Lee 1 Jinyoung Lee 1 Ahmed Busnaina 1 Hea-Yeon Lee 1
1Northeastern University Boston USA
Show AbstractThe nanolithography techniques have played an important role to fabricate and manipulate high-aspect-ratio nanostructures, especially for electronic, and nano-scale chemical and biological sensors. However, recently evolved nanolithography techniques such as EUV lithography, e-beam lithography, and nanoimprint lithography are facing difficulties in terms of high-resolution, large-scale patterning, and high-aspect-ratio nanostructure manufacturing. A new nanolithography technique for the high aspect-ratio nanostructure manufacturing is developed using DODE (double oxide deposition and etching) process. Conventional microfabrication processes are integrated to manufacture nanostructure arrays with sub-100 nm of linewidth. This lithography method is developed to overcome resolution limits of optical lithography. Sub-100 nm of patterns was obtained from photolithographically patterned microstructure.
We report new nanolithography technique for high-aspect ratio nanostructure manufacturing using DODE process. We have investigated the nanopatterning process by thin film deposition using PECVD (plasma-enhanced chemical vapor deposition). Oxide thin film was isotropically deposited on the microstructures to obtain nano-scale patterns. Various nanopatterns and shapes can be obtained by controlling the oxide deposition time and microstructure shapes, respectively. Plasma etching process is crucial for nano-scale manufacturing. Anisotropic plasma etching process was utilized in terms of mean free path, chemical reaction, and radical etching direction using ICP (induced coupled plasma). Nanostructures with high aspect-ratio were fabricated by anisotropic dry etching process. High aspect-ratio (20:1) nanostructures with sub-100 nm of lindewidth were successfully fabricated on wafer-scale substrates without advanced nanolithography methods such as e-beam lithography or nanoimprint lithography processes.
The DODE lithography process presented enabled to pave new way to overcome limitations of nanolithography processes and allowed to manufacture large-scale nanostructures using photolithography and thin film deposition and etching processes.
9:00 AM - M5.61
Gold Hyperdoping for Large Area Fabrication of Sub-Bandgap Photoactive Single-Crystal Silicon
Jonathan Pradana Mailoa 1 Austin J Akey 2 Christie B Simmons 2 David Hutchinson 3 Jay Mathews 4 Joseph T Sullivan 2 Jeffrey M Warrender 4 Peter D Persans 3 Michael J Aziz 5 Tonio Buonassisi 2
1Massachusetts Institute of Technology Cambridge USA2Massachusetts Institute of Technology Cambridge USA3Rensselaer Polytechnic Institute Troy USA4US Army Benamp;#233;t Laboratories Watervliet USA5Harvard School of Engineering and Applied Sciences Cambridge USA
Show AbstractDeep-level dopants are known to be lifetime-limiting defects in microelectronic devices. Nevertheless, it has been shown that incorporation of deep-level dopants with concentration beyond the solid solubility limit can facilitate strong absorption of photons with energies below the semiconductor bandgap [1]. Due to this strong sub-bandgap absorption, it is possible to engineer silicon devices exhibiting sub-bandgap optoelectronic response. These materials can potentially be useful to fabricate silicon-based infrared photodetectors and intermediate-band solar cells. In this work, we show the room-temperature optoelectronic response of silicon doped with a gold concentration surpassing the equilibrium solid solubility limit (gold-hyperdoped silicon, Si:Au).
Large-area, high-yield fabrication of Si:Au is enabled by ion implantation followed by nanosecond pulse laser melting, achieving a gold dopant concentration of over 1019 cm-3, well above the equilibrium solubility limit of gold in silicon (less than 1017 cm-3). Patterned Si:Au can be obtained by simple masking during the ion implantation process. The absorption coefficient of Si:Au at lambda; = 1550 nm is found to exceed that of germanium. Sub-bandgap photodiodes are fabricated using Si:Au, with sub-bandgap optoelectronic response that scales with the gold dopant concentration at room temperature, allowing crystalline silicon devices to operate in the spectral regime previously dominated by Ge and InGaAs. Sub-bandgap optoelectronic response mechanism will be discussed.
[1] Bob, B. P.; Kohno, A.; Charnvanichborikarn, S.; Warrender, J. M.; Umezu, I.; Tabbal, M.; Williams, J. S.; Aziz, M. J. Fabrication and subband gap optical properties of silicon supersaturated with chalcogens by ion implantation and pulsed laser melting. J. Appl. Phys. 107, 123506 (2010).
9:00 AM - M5.62
Large-Area, Flexible Nanostructured Electrodes for Enhanced Supercapacitor Performance
Zenan Yu 1 2 Binh Duong 1 Danielle Abbitt 1 Jayan Thomas 1 2 3
1University of Central Florida Orlando USA2University of Central Florida Orlando USA3University of Central Florida Orlando USA
Show AbstractFabrication of highly ordered nanostructures over a large and flexible substrate area has attracted considerable attentions in recent years due to its increasing applicability in potential markets such as energy storage devices, smart paper, displays, etc. Thermal nanoimprint lithography (T-NIL) has become a promising candidate for preparing nanoscale structures since it can print nanostructure in a very short time. However, only thermoplastic materials without a cross-linking reaction during heating process can be printed through T-NIL. Moreover, it is challenging to print a large nanostructured area using T-NIL since it requires a heating and cooling treatment each time a structure needs to be printed. Here, we present a facile method called spin-on nanoprinting (SNAP) to print large area well-ordered nanostructures. We have successfully printed a 1 cm × 1cm footprint with nanostructures within 3 minutes without using any expensive equipment. Moreover, a large nanostructured area can be easily printed by stitching one film next to another. In addition, it is possible to print these structures on a flexible substrate. We have successfully fabricated nanostructured electrode for supercapacitors using SNAP. Our nanostructured electrodes show remarkable charge/discharge ability, high power and energy densities, and good cycle stability. The simplicity of the nanoarchitectured electrodes and their phenomenal performances has shown promising features for future energy storage systems.
9:00 AM - M5.63
Understanding the Rate-Limiting Processes for the Purification of Small Molecule Organic Semiconductors
Nathan Thomas Morgan 1 Yi Zhang 1 Erich J Molitor 2 Bruce M Bell 3 Russell J Holmes 1 Edward L Cussler 1
1University of Minnesota Minneapolis USA2The Dow Chemical Company Midland USA3The Dow Chemical Company Midland USA
Show AbstractOrganic electronic materials are receiving considerable commercial attention for next generation display and solid-state lighting applications. Rapid implementation of these technologies is hampered by considerable production costs, in part due to an expensive materials purification step. This work explores the current method of industrial purification, thermal gradient sublimation, in order to isolate the fundamental mechanisms limiting sublimation rate. For the archetypical hole transport material, N,N'-bis(naphthalen-1-yl)-N,N'-bis(phenyl)-benzidine (NPD), a combination of viscous flow and physical vapor deposition are shown to be rate limiting at constant sublimation temperature. Surprisingly, diffusion within the solid feed, reaction at the feed particle surface, and mass transfer within the bed of feed particles are not rate limiting in the case. This mechanism is different from that which is observed in many industrial sublimation systems, and these results can be used to guide the design of future large-scale purification systems.
9:00 AM - M5.64
Large Area Free-Standing PEDOT: PSS/Iron Oxide Nanoparticles Composite Nanofilms for Humidity Sensing Applications
Silvia Taccola 1 Francesco Greco 1 Alessandra Zucca 1 2 Barbara Mazzolai 1 Virgilio Mattoli 1
1Istituto Italiano di Tecnologia Pontedera Italy2Scuola Superiore Sant'Anna Pontedera Italy
Show AbstractOver the last decade there has been a significant increase in the efforts dedicated to the development and implementation of new electronic devices and sensing technologies on non conventional substrates, such as flexible and stretchable substrates, due to their applications in many different fields, for comfort, food and pharmaceutical quality and storage, safety, and security purposes [1,2]. In this study, we proposed a new simple, fast, and inexpensive technique for the preparation of large area free-standing nanocomposite nanofilms based on the conductive polymer poly(3,4minus;ethylenedioxythiophene) : poly(styrenesulfonate) (PEDOT:PSS) and embedding iron oxide nanoparticles (NPs). These nanofilms were fabricated by a single step of spin coating assisted deposition in conjunction with a release technique (“Supporting Layer Technique”) to detach them from the substrate [3]. The integration of iron oxide nanoparticles into the polymeric matrix allowed us to realize robust, flexible, conformable free standing multifunctional PEDOT:PSS/iron oxide NPs nanofilms retaining both magnetic and electrical conductivity functionalities. These nanofilms can be easily transferred onto several substrates with arbitrary shape and surface topography preserving their functionalities.
The effect of the addition of iron oxide nanoparticles on the structural and functional properties of the PEDOT:PSS nanofilms was investigated through topography, thickness and conductivity characterizations. PEDOT:PSS and PEDOT:PSS/iron oxide NPs nanofilms were tested as resistive type humidity sensors when collected as suspended nanomembranes, on plastic sheets, and on paper strips. PEDOT:PSS/iron oxide NPs nanofilms showed enhanced sensitivity (S) to moisture, defined as S = (ΔR/R0 (%)) / ΔRH(%)), with respect to nanofilms made of PEDOT:PSS only. The enhancement of sensitivity in composite sensors is ascribable to the differences in the nanostructure of the nanofilms. All the samples showed a linear response with respect to RH, while the maximum total percentage change in resistance was observed at higher NPs concentration (25 % for a 30 - 70% change in relative humidity, corresponding to a sensitivity S of about 0.65). These composites are capable of detecting a humidity variation in the order of 0.03-0.05 % RH, even better of commercial high-quality low-cost humidity, paving the way for a possible real world application of the proposed technology.
Based on these results, it is expected that the proposed composites could lead to the development of simple, inexpensive, and reliable conformable humidity sensors easily transferrable onto different rigid and flexible substrates, such as paper, clothing, buildings, among others.
References
1. X. Wang, et al., Sens. Actuator B 166-167, 556-561, (2012).
2. D. Briand, et al., Mater. Today 14, 416-423, (2011).
3. F. Greco, et al., Soft Matter 7, 10642-10650 (2011).
9:00 AM - M5.65
An Integrated Experimental and Computational Investigation of the Mechanical Behavior of P3HT-Fullerene Blends for Photovoltaic Applications
Bingxiao Zhao 1 Omar Awartani 1 Brendan O'Connor 1 Mohammed Zikry 1
1North Carolina State University Raleigh USA
Show AbstractOrganic solar cells have the potential to provide renewable energy that is cost competitive with fossil fuel sources. However, there is limited understanding of the mechanical behavior of these devices, and how this behavior can be tailored to optimize organic solar cell performance and device reliability. An integrated experimental and computational approach is used to identify the dominant morphological features that would affect both the mechanical and electrical properties of the active layer, and how these properties can be controlled. The experimental approach combines polymer thin film mechanics and optoelectronic device measurements along with detailed morphological characterization. The computational finite-element approach is based on a microstructural approach that represents the polymer microstructure as an aggregate with a two-phase inclusion model. The crystalline phase is modeled as anisotropic elastic with plastic flow occurring by crystallographic slip. The fullerene is modeled using an isotropic elastic continuum approach that accounts for the carbon (C-C) bonds. Based on this approach, we are able to determine potential failure modes in the organic solar cell active layer,. This research provides important insights on the fundamental relations between mechanical and electrical behavior in organic solar cells as we move toward flexible and robust devices.
9:00 AM - M5.66
Enhancement of Out-Coupling Efficiency by Adopting Nano Sized High Refractive Index Pattern
Jehong Choi 1 Joong-Yeon Cho 1 Yang-Doo Kim 1 Gyutae Kim 1 Hak-Jong Choi 1 Heon Lee 1
1Korea University Seoul Republic of Korea
Show AbstractOrganic Light Emitting Diodes(OLED) have many advantages such as low power consumption, light weight, good flexibility and have particular importance because of its various application in flat panel display and lighting. When light is generated in organic layer due to combination of electrons and holes, generated light is trapped via total internal reflection in OLEDs. Finally, extracted light from organic layer to air is about 20% compare to total generated light.
In order to increase light extraction efficiency, various methods are under investigation such as reflector modification, structure modification of organic layer and ITO layer, insertion of low-index grids in the organic layer, patterning of ITO electrode. In this study, we fabricated nano sized nitride pillar array which has effect of light scattering using nano imprint lithography on the glass substrate. When generated light from organic layer pass through ITO electrode(n=1.5) and glass substrate(n=2.0), lights of 80% compared to generated light are trapped and reflected due to the difference in the refractive index at the ITO-glass and glass-air interfaces. But reflected lights from each layer are able to reduce. Nitride patterns which have refractive index of 1.8 were inserted between ITO and glass substrate. These stacked layer can be caused change of refractive index gradually. Thus, reflected light was reduced and extracted light was increased. And nano sized pillar patterns have an effect on scattering. Green lights have wavelength of 550nm. In this research, we fabricated nano pattern which have diameter of 300nm, pitch of 600nm to maximize scattering effect. And planarization process was carried out for stacking organic layer uniformly. AS a result, a 45% increase in the Luminance efficiency at 10mA/cm2 was obtained from the OLED cells with nano imprinted nitride pillar layer.
9:00 AM - M5.67
Electrophoretic Deposition of Solution-Phase Ligand-Exchanged Quantum Dots and Their Application in Optoelectronic Devices
Htay Hlaing 1 2 Seung Whan Lee 1 3 Irving P Herman 1 3 Ioannis Kymissis 1 2
1Columbia University New York USA2Columbia University New York USA3Columbia University New York USA
Show AbstractColloidal semiconductor quantum dots (QDs) are promising materials in next-generation optoelectronic devices, such as light emitting diodes, photo detectors, and solar cells due to the tunability in bandgap, high luminescence efficiency, narrow spectral emission, and high photostability. Current QDs based devices are mainly fabricated by layer-by-layer (LBL) deposition methods such as spincoating or dropcasting. These sequential processes are further prolonged by the necessity to ligand exchange with shorter and more conductive surfactant molecules which involves up to three steps to deposit each layer, and considered to be incompatible with mass production. Moreover, the choice of the final ligand is often made based on the solvent compatibility with underlying films rather than the conductivity of ligand itself. Here, we investigate electrophoretic deposition as a potential solution for rapid production of large-area uniform thin film with desired thickness.
In this talk, we will present the detailed study of electrophoretically deposited CdSe QDs thin films and demonstrate their application in photovoltaic devices. In contrast to LBL deposition methods, CdSe QDs capped with TOP/TOPO/phosphonate ligands were exchanged to more conductive pyridine in the solution phase prior to EPD. The higher yield of ligand exchange in the solution phase was confirmed by FT-IR, PL, UV-absorbance, and EDS. The pyridine-capped CdSe QDs were well dispersed in pyridine as well as chloroform solvents and were successfully deposited on Au, ITO and ZnO coated ITO via EPD. The thickness of the EPD deposited film could be accurately tuned via deposition time and applied voltage. The uniformity and surface morphology of these thin films, analyzed by AFM and SEM measurements, were comparable to the LBL deposited films. The electrical characterization of the photovoltaic devices based on EPD QDs thin films will be presented and compared with the performance of the devices prepared with LBL methods.
Acknowledgments: This study is supported by the Energy Frontier Research Center under Award Number DE-SC0001085 with additional support by the New York State Office of Science, Technology, and Academic Research (NYSTAR) and New York State Energy Research Development Authority (NYSERDA).
9:00 AM - M5.68
Fabrication of Dual-Scale Light-Trapping Structures and Their Applications
Hyo-Jin Ahn 1 Pradheep Thiyagarajan 1 Sun-I Kim 1 Jong-Chul Yoon 1 Ji-Hyun Jang 1
1UNIST Ulsan Republic of Korea
Show AbstractWe fabricated solar conversion devices based on 2D patterned semi-conductor nanowires (NWs) with photon-trapping effects. After fabricating 2D polymer templates with the ability of trapping photons via interference lithography, TiO2 NWs or ZnO NWs were grown through holes of 2D polymer templates. The performance of the photon-trapping structures was evaluated as an electrode of photoelectrochemical cells (PEC) and dye-sensitized solar cells (DSSC). In the case of PEC, we deposited the gold nanoparticles on the patterned ZnO NWs in order to broaden the absorption range into the longer wavelength region. A hierarchically patterned metal/semiconductor (Au/ZnO NWs) has shown enhanced plasmon-enhanced photo-electrochemical water splitting in the visible region of light. A similar hierarchical structure exhibited a great increase in the absorbance of visible light and thus enhanced photo-conversion efficiencies of DSSCs. Our experiments prove that the 2D patterned nanostructures with light-trapping effects have great potential for applications in energy-related areas.
9:00 AM - M5.69
Enhanced the Performance of Light Emitting Diodes Using CdSe/Cd/ZnS Quantum Dot
Nam-Kwang Cho 1 Seong Jun Kang 1
1Kyung Hee University Yongin Republic of Korea
Show AbstractLight emitting diodes were fabricated using CdSe/Cd/ZnS quantum dots (QDs). Patterned indium-tin-oxide (ITO) was used as a transparent anode, and oxygen plasma treatment on a surface of ITO was performed. Poly(3,4-ethylenedioxythiophene): poly(styrenesulfonate) (PEDOT:PSS) was spin coated on the ITO surface as a hole injection layer. Then CdSe/CdS/ZnS QDs was spin coated and thermal treatment was performed for the cross-linking of QDs. TiO2 was coated on the QDs as an electron transport layer, and 70 nm of aluminum cathode was formed using thermal evaporator and shadow mask. We studied the effect of oxygen plasma and cross-linking treatments systematically during the fabrication process to enhance the device performance. The device shows a pure red color emission at 622 nm wavelength. Device characteristics will be presented in detail. The results would provide a promising method to improve the performance of QLEDs.
9:00 AM - M5.70
Large-Area Selective Laser Sintering of Silver Nanoparticle Ink for Metal Grid Transparent Conductor on Polymer Substrate
Sukjoon Hong 1 Junyeob Yeo 1 Seungyong Han 1 Jinhwan Lee 1 Gunho Kim 1 Jinhyeong Kwon 1 Seung Hwan Ko 1
1KAIST Daejeon Republic of Korea
Show AbstractTransparent conductor is the core component for various optoelectronics, and wide band gap transparent conductive oxides (TCO) such indium tin oxide (ITO) has been the most widely used material for transparent conductor to date due to its excellent optical transparency and low sheet resistance. However, because of its high scarcity and fragile nature, research on the alternative materials for transparent conductor has been continuously increasing. The possible candidates for future transparent conductor include conducting polymers, carbon-based materials and metal nanowire percolation networks, yet they either exhibit poor conductivity or display rapid degradation upon a mild mechanical stress.
In this study, we introduce a novel method to fabricate metal grid based transparent conductor on a large scale by selective laser sintering of silver (Ag) nanoparticle (NP) ink. Stable silver conductor micro lines with ~130 nm height and ~11 mu;m width are readily produced by using focused laser as a confined heat source, and the area subject to the scanning is significantly increased to wafer-scale through 2D electrically driven galvano-mirror scanner together with a f-theta telecentric lens. By the proposed method, three different types of metal grid transparent conductor with triangle, square and hexagonal shape at 200 mu;m to 500 mu;m grid size are fabricated without any pre-made mask or vacuum deposition process. We confirmed that the resultant transmittance and the sheet resistance of the metal grid transparent conductor are comparable to ITO, yielding the transmittance higher than 85 % and the sheet resistance less than 30 Omega;/sq at the grid size >300 mu;m.
This process can be directly applied to flexible substrate because the area subject to the elevated temperature is highly localized. A metal grid transparent conductor as large as 5 cmX5 cm is fabricated on a flexible PEN substrate whose glass transition temperature is as low as 120 °C and no observable damage on the substrate is found after a complete process, ensuring the compatibility of this process to the flexible substrates. The final transparent conductor on flexible substrate also shows superior stability in terms of electrical and mechanical properties under cyclic bending deformation and scotch tape test. The metal grid transparent conductor is further applied to 4-wire analog resistive touch panel as a device demonstration, and the device operated steadily under continuous mechanical stress. Being non-vacuum, maskless and low temperature, this process is expected to be particularly useful for mass production of flexible optoelectronic devices.
9:00 AM - M5.71
Transparent Conductor by Copper Nanowire Percolation Network with Laser Nanowelding Process in Ambient Condition
Seungyong Han 1 Sukjoon Hong 1 Jooyeun Ham 1 Junyeob Yeo 1 Jinhwan Lee 1 Young Duk Suh 1 Seung Hwan Ko 1
1KAIST Daejeon Republic of Korea
Show AbstractConventionally, electronic devices have been fabricated by means of lithographic processes where gold or silver is commonly chosen as conducting layer. In contrast, copper (Cu) has been increasingly considered as a potential replacement material for these noble metals due to its economical price. Nevertheless, the use of Cu has been very much limited to date for the reason that it is very easily oxidized in ambient condition. The oxidation problem can be lessened in vacuum or inert gas environment, yet such requirement increases the overall production cost and decrease the competitiveness of Cu as an alternative electrode material. In this study, to overcome these limits, laser induced plasmonic nanowelding is demonstrated for the efficient fabrication of transparent conductor based on Cu nanowire (NW) percolation network in ambient condition.
For a typical experiment, Cu NW percolation network is firstly fabricated on various substrates by using synthesized Cu NW with vacuum filtration method. The Cu NW percolation network originally exhibits very high resistance due to the contact resistance between nanowires. Therefore, the percolation network is followed by nanowelding process using the laser (Nd:YAG cw 532nm laser (Millenia V)) and galvano-mirror scanner, which produce light-induced heat generation solely at the junction of nanowires due to the plasmonic effect at the kissing point.
For the comparison, conventional bulk heating and the proposed laser nanowelding are conducted on Cu NW film in ambient condition. The sheet resistance of Cu NW percolation network under bulk heating declines initially due to the removal of resident agents and the slight melting on the surface of Cu NW, however, starts to rebound after ~200 s on account of the oxidation in the ambient. As a result, the sheet resistance remains relatively high (0.1~10 MOmega;/sq) at all times for the bulk heating as far as the annealing is conducted. On the contrary, laser nanowelding instantaneously drops the resistance upon the focused laser beam exposure, and the final sheet resistance reaches ~20 Omega;/sq when a single scanning over the sample is complete.
Through a new process using laser, the annealing of Cu NW percolation network is achieved in ambient condition without suffering from oxidation effects. Furthermore, the effect of laser polarization (circular, linear) and the mechanical properties of laser annealed Cu NW film including its flexibility and stretchability are analyzed in detail. Finally, touch screen panel has been fabricated as a device demonstration to ensure the applicability of the resultant transparent conductor to practical devices.
9:00 AM - M5.72
Fabrication of High Dielectric Constant Capacitors Embedded in Flexible Polymer by Dry Peel-Off Process
Amano Yuki 1 Ichiki Masaaki 2 Kim Beomjoon 1
1Institute of Industrial Science, University of Tokyo Tokyo Japan2National Institute of Advanced Industrial Science and Technology Tsukuba Japan
Show AbstractRecently, the demand that passive elements are embedded in flexible substrate is growing in order to miniaturize devices because there is a limit to reduce the size of devices using the surface mounting technology. Because capacitors occupy most area of substrate, transfer of PZT capacitors has been studied. PZT has a very high dielectric constant (about 1000). However it cannot be formed directly to a flexible substrate of polyimide which is generally used because it is not suitable for a high temperature process of PZT forming due to its low melting point.
This paper reports a process of transfer a lead zirconate titanate (PZT) that is fabricated on a silicon wafer to a polydimethylsiloxane (PDMS) substrate. In consideration of embedding in the substrate more easily, capacitors are used thin-film capacitor. To embed PZT in the PDMS substrate by dry process, 3-mercaptopropyltrimethoxysilane (MPTMS) is used in this process as the molecular glue. MPTMS was coated on the upper electrode as an adhesive layer between the upper electrode and PDMS. MPTMS molecules have a mercapto group and a Si atom. The mercapto group is easy to bind to the upper electrode and the Si atom is easy to bind to PDMS. The molecular glue improves the adhesion force between the platinum upper electrode and the PDMS substrate, and the PZT layer was peeled off manually with cured PDMS by dry process. As a result, the sample with thickness of 300 nm which was treated with MPTMS is embedded in PDMS and the sample which was not treated with MPTMS is not peeled off. We expect this process can improve downsizing of device (being smaller, thinner and lighter) and to fabricate the multi-layer capacitor.
9:00 AM - M5.73
Effect of Substrate Roughness on the Performance of Printed OTFTs on Paper Substrates
Gerd Grau 1 Vivek Subramanian 1
1UC Berkeley Berkeley USA
Show AbstractPaper is a very promising substrate for printed and large area electronics due its low cost, ubiquitous nature and biodegradability. Applications range from biosensors on food packaging to RFID tags for supply chain management. The major roadblock so far has been the large surface roughness, ink absorption and variability due to the fibrous nature of paper. We have reported elsewhere a locally printed smoothing process to overcome these challenges with low cost, high throughput gravure printing. This improves surface roughness and ink absorption significantly. Printed OTFTs with performance on par with comparable transistors on plastic substrates were obtained. However, some residual surface roughness remains. Here the effect of this residual surface roughness of smoothed paper on printed transistor performance is analyzed.
Transistors were fabricated using a combination of inkjet printing, gravure printing and spin coating. The gate dielectric was poly-4-vinylphenol (PVP) and the semiconductor was pBTTT. The pBTTT microstructure was analyzed using AFM and electrical measurements. Electrical transistor measurements revealed a dependency of peak mobility on gate dielectric thickness. This is explained by a substrate masking effect. Thinner gate dielectrics, which don&’t mask the substrate roughness as effectively, lead to smaller grains due to enhanced nucleation. This was validated with topographical AFM measurements. pBTTT grain size was extracted from AFM scans, which show the classical terrace structure of pBTTT. It was shown that thinner gate dielectrics lead to smaller grains.
Maximum saturation or linear mobility is not a comprehensive measure of the physics of transport in these polycrystalline systems. It does not allow one to differentiate between effects due to charge trap density and intrinsic band mobility. One would expect smaller grains to lead to an increased trap density due to grain boundaries but no change in intrinsic band mobility. A mobility edge model captures these effects. It is assumed that trapped carriers below the band edge don&’t contribute to conduction whereas carriers above the band edge exhibit an intrinsic band mobility. A differential mobility edge model was implemented here to reduce the effect of uncertainty in threshold voltage extraction. It was found that indeed thinner gate dielectrics exhibit larger trap concentrations leading to the degradation in electrical performance.
This work highlights the importance of substrate smoothness for organic semiconductor microstructure, which becomes increasingly important as printed electronics moves towards non-traditional substrates such as paper.
9:00 AM - M5.75
Enhancement of Amorphous Silicon Solar Cell Efficiency by Patterned Glass Substrates with Light Scattering Effect
Yang Doo Kim 1 Joong-Yeon Cho 1 Hak-Jong Choi 1 Jehong Choi 1 Gyutea Kim 1 Heetae Kim 1 Kyeong Seok Oh 1 2 Heon Lee 1
1Korea University Seoul Republic of Korea2Korea Institute of Enery Research Seoul Republic of Korea
Show AbstractEffective absorption of incident light is one of the most important elements for enhancing the conversion efficiency of solar cells. Optical functional layer such as light scattering and anti-reflection layers have often been used for the effective absorption of light. Surface texturing to reduce the surface reflectance of crystalline silicon solar cells, fabricating a light scattering layer by etching transparent conducting oxide (TCO) to increase the light absorption in the thin active layer of amorphous silicon solar cells, and forming nano-scale patterns to enhance the efficiency of organic solar cells have all been applied to a variety of solar cells. However, active layers on TCO with sharply rough surfaces can easily be degraded by TCO surface protrusions, resulting in degradation of the overall performance of solar. Especially for amorphous silicon solar cells, optical functional TCO structures often caused structural defects in the amorphous Si active layer during its deposition process. Fabrication of TCO layer with optical functional surface structures without degradation of the electrical properties of active layers due to structural defects is thus important for improving the conversion efficiency of solar cells. However, the fabrication of optically functional TCO layer without sharp-TCO surface structure is extremely difficult.
In this paper, a patterned glass was fabricated using nanoimprint lithography and dry etching process for increasing the light scattering. The patterned glass was fabricated with hemisphere shaped structures in order to preventing degradation of thin active layer of amorphous silicon solar cells. An Al-doped ZnO (AZO) layer followed by an amorphous silicon p-i-n layer were deposited on the patterned glass substrates by using a sputtering system and plasma-enhanced chemical vapor deposition (PECVD), respectively. Efficiency of amorphous silicon solar cells on patterned glass was increased 39% without degradation of active layer, compared with flat glass substrate..
9:00 AM - M5.76
Enhancement of Light Absorption Using Bunched Si Nanowire Arrays
Takuya Yamaguchi 1 Tomohiro Shimizu 1 Yasuhiro Morosawa 2 Koichi Takase 2 Shoso Shingubara 1
1Kansai University Osaka Japan2Nihon University Tokyo Japan
Show AbstractEffect of surface morphology of dense Si nanowire arrays on Si substrate on optical properties was investigated. By comparison of surface reflectance between vertical separated Si nanowires and bunched nanowires, we observed enhancement of light absorption for bunched Si nanowire samples.
The ordered Si nanowire arrays were prepared by metal assisted chemical etching using anti-dot patterned metal film as an etching catalyst. The HF-H2O2 solution was used for the etching of Si. In this method, nanowires were bunched each other by surface tension of the solution during drying process. Degree of bunching is controllable by selecting the solution with different surface tension. To prepare the arrays of separated vertical Si nanowires, we used supercritical carbon dioxide that has much more small surface tension than the HF-H2O2 solution. Bunched nanowires array was also prepared by natural drying with deionized water or ethanol.
The morphology of these samples was observed by scanning electron microscopy (SEM). In the case of drying with supercritical carbon dioxide, periodic arrays of separated Si nanowires with the diameter of 60 nm and length of 3000 nm were formed on Si substrate. On the other hand, bunching of nanowires as well as bending at the top was observed in the case with natural drying. By the optical measurements, bunched nanowires showed higher absorption property than the vertical nanowires. Moreover, absorption property depended on the degree of bunching. The morphology dependence of light absorption of Si nanowire arrays, such as diameter and inter-wire distance, will be presented and the mechanism of enhancement of light absorption in bunched nanowire will be discussed.
9:00 AM - M5.77
Effect of the Crystallinity on the Mechanical Reliability of Solution-Processed Organic Semiconductor for Flexible Electronics
Young-Joo Lee 1 Yong Uk Lee 2 Han-Wool Yeon 1 Hae-A-Seul Shin 1 Louise A. Evans 2 Young-Chang Joo 1
1Seoul National University Seoul Republic of Korea2Center for Process Innovation Limited Durham United Kingdom
Show AbstractOrganic semiconductors (OSCs) are leading candidate materials for next generation flexible electronics such as stretchable e-skins and bendable displays. For the application of flexible devices, not only the electrical performance but also the stability under various mechanical deformations is critical. As for the electrical performance of OSCs, crystalline OSCs show better performance than amorphous OSCs by enhancing π-π bonding interaction. However, the dependence of the mechanical reliability on the crystallinity of OSCs is still unclear. Vacuum deposition has been used for early development of OSCs, but solution-processed organic semiconductors are required for large area and cost effectiveness application. We have investigated the microstructure dependence of the mechanical stability of the solution processed OSCs under the large cycles of bending deformation.
Two kinds of solution processed OSCs were selected; 6,13-bis (triisopropylsilylethynyl) pentacene (TIPS-pentacene) as a crystalline OSC and poly (triarylamine) (PTAA) as an amorphous OSC. Parallel metal-semiconductor-metal (MSM) structures were fabricated to monitor the degradation of the semiconductor properties under cyclic bending deformation. The OSCs were spin-coated on Au/Ti electrodes which were deposited on polyethylene naphthalate (PEN). Samples were subjected to the cyclic bending with 1.3 % strain up to 3,000 cycles and the current-voltage (I-V) characters were measured using MSM structure during the bending cycles. Bulk OSC resistance (RBulk) and metal/OSC contact resistance (RCon) were extracted separately through transmission-line method. TIPS-Pentacene showed large increase of the bulk resistance under the cycling deformation. The bulk resistance increase about 120 times during 3,000 bending cycles, while the contact resistance has been unchanged. In contrast to TIPS-pentacene, RBulk and RCon of PTAA were unchanged during the cycling. To prove the cause of degradation, surface morphology of OSCs were analyzed by atomic force microscopy. Intergranular cracks were developed in TIP-penctacene surface after the 1,000 cycles, while PTAA displayed stable surface morphology even after 50,000 cycles. In TIPS-pentacene, stress concentration occurred at grain boundaries, and it induced the intergranular cracks during bending cycles. From this result, it is suggested that crystalline OSC is vulnerable to mechanical deformation due to grain boundary which acts as a crack initiation site. Inhibiting crack nucleation in crystalline OSCs by the grain size control or TIPS-pentacene:PTAA blends is discussed.
9:00 AM - M5.78
Thermal Lamination Process as Solvent-Free Printing of Organic Devices
Masatoshi Sakai 1 Yota Yamazaki 1 Shohei Yamaguchi 1 Junro Hayashi 1 Shigekazu Kuniyoshi 1 Hiroshi Yamauchi 1 Yuichi Sadamitsu 2 Masahiro Hamada 2 Kazuhiro Kudo 1
1Chiba University Chiba Japan2Nippon Kayaku Co. Tokyo Japan
Show AbstractVarious printing processes have been intensively developed and expected for the industrial fabrication of large area flexible electronics using a high-throughput roll-to-roll scheme. However, conventional printing processes necessarily use inks including toxic solvents. Therefore, some toxicity reduction and/or recovery processes are necessary to dispose these solvents and their vapor which have a negative impact on the environment. In this study, we proposed a novel and complementary method for the fabrication of organic semiconductor devices that utilizes direct melt of organic semiconductor powder and subsequent recrystallization. The proposed method requires no solvents to form the thin semiconductor layers, and the fabricated organic transistors have a device structure in which the organic semiconductor layer is sandwiched by two equal thickness flexible films. This structure has advantages of protecting organic layer from physical damage, ambient humidity and atmosphere, and imparting structural bending stability because the organic semiconductor layer is placed at the neutral strain surface.
We have fabricated organic flexible sheet transistors between two thin polyimide sheets using an office-use desktop laminator as making an laminated plastic cards. Well known organic semiconductor C8-BTBT was used in this process because of the low melting point of 127 degree C, which is sufficiently low not to affect the polyimide film. The fabricated thin film of C8-BTBT showed oriented growth along the flow direction of the melt. Field effect transistor characteristics of the fabricated transistors exhibited adequate performance and bending durability.
9:00 AM - M5.79
Simulation and Experimental Characterization of Cell Filling during Highly-Scaled Gravure Printing for Printed Electronics Applications
Artos Cen 1 Rungrot Kitsomboonloha 1 Vivek Subramanian 1
1University of California, Berkeley Berkeley USA
Show AbstractHighly-scaled direct gravure is a promising printing technique for printed electronics due to its high printing speed, high resolution, and excellent pattern fidelity. Recently, we have shown that gravure can be scaled to print sub-2um cells at printing speeds of ~1m/s. While small cells are required to increase resolution, the ink filling process for such cells is not fully understood and printed results with missing dots are often observed. In this work, numerical simulations and experiments are used to investigate the filling process. Detailed 2D simulations based on the level set method are executed at various printing speeds. The results reveal that at high printing speeds and large contact angle, the fluid-air interface pins at the rounded corner of the cell and the interface deforms toward the other side of the cell, causing air entrapment. To verify the simulation results, a novel experiment has been set up, allowing a direct observation of the interface deformation near the contact line. The dynamic contact angle and the interface deformation near the cells are captured at the same capillary number and compared with the simulation results to provide insight into the filling of highly-scaled cells during gravure printing, which is a critical process to be addressed to make highly scaled gravure printed electronics viable.
9:00 AM - M5.80
Defect States in Organic Thin Film Transistors Studied by Current Deep Level Transient Spectroscopy with a Bipolar Rectangular Weighting Function
Kenji Nakamura 1 Tetsuya Katou 1 Masayuki Katayama 1 Tomoki Yoshida 2 Kouta Takagi 2 Yutaka Tokuda 2
1DENSO Corporation Nissin Japan2Aichi Institute of Technology Toyota Japan
Show AbstractWe have characterized small molecular organic thin film transistors (OTFTs) by using current deep level transient spectroscopy (DLTS) with a bipolar rectangular weighting function in the unit of coulomb [1]. Bottom Gate Top Contact OTFTs were fabricated with alumina gate dielectric by atomic layer deposition (ALD). Vacuum evaporated Au was used as source/drain electrode. We chose 2, 9-didecyldinaphtho[2, 3-b:2&’, 3&’- f] thieno[3, 2-b]thiophenes (C10-DNTT) as Organic semiconductor material [2]. The ALD of alumina at deposition temperature below 150°C is suitable for the flexible device that needs the low temperature process. DLTS measurements were carried out by applying the gate bias pulse for OTFTs with the source electrode shorted to the drain electrode. This MOS capacitor was biased into accumulation to fill traps with holes. Subsequently, it was biased into depletion to emit holes from filled traps. This caused current transients which were processed into DLTS signals. DLTS measurements were performed in the temperature range from 80 to 300 K. DLTS signals with the DLTS time constant of 1.82 ms exhibited the broader spectrum with a peak at about 240 K, which reveals the continuous energy distribution of defect states. The trap densities were found to be around 1014 cm-2eV-1 assuming that DLTS signals were originated from the interface states.
The authors acknowledge Nippon Kayaku Co., Ltd for supplying the DNTT materials. This work was financially supported by NEDO.
[1] Y. Tokuda, T. Shibata, H. Naitou, T. Katou, and M. Katayama, Materials Research Society Fall meeting, U3.11, 2011.
9:00 AM - M5.81
Directly Drawn Organic Transistors by Capillary-Pen: A New Facile Patterning Method Using Capillary Action for Soluble Organic Materials
Yeong Don Park 1 Hwasung Lee 2
1Incheon National University Incheon Republic of Korea2Hanbat National University Daejeon Republic of Korea
Show AbstractA capillary pen drawing technique was developed as a new patterning methodology for the large-area patterning and fabrication of organic electronics. This approach provided several advantages over conventional approaches: the method was simple and versatile, there were no restrictions on the patterning shapes that could be produced, and the method could be tailored to a variety of substrates. Experimental results suggested several potential application directions for the site-selective patterning technique, including conductive text, electronic art, and electronic array connections. Our approach provides that capillary pen is a quite versatile method to fabricate the soluble organic patterns consisting of the semiconducting/conducting/insulting materials.
9:00 AM - M5.82
Effects of Underlying Self-Assembled Monolayer Grain Structures on Pentacene Thin-Film and Field-Effect Transistor Characteristics
Yeong Don Park 1 Hwasung Lee 2
1Incheon National University Incheon Republic of Korea2Hanbat National University Daejeon Republic of Korea
Show AbstractEffects of Underlying Self-Assembled Monolayer Grain Structures on Pentacene Thin-Film and Field-Effect Transistor Characteristics
Yeong Don Park1, Hwa Sung Lee2*
1Departmetn of Energy and Chemical Engineering, Incheon National University, Incheon 406-772, Republic of Korea
2Department of Chemical & Biological Engineering, Hanbat National University, Daejeon 305-719, Republic of Korea
Abstract
This report describes the relationship between the microstructure of a pentacene thin film and the underlying grain structures of a self-assembled monolayer (SAM), which forms an organic interlayer on a dielectric surface. The microscale grain structures of the SAM layers were controlled by depositing octadecyltrichlorosilane (OTS) on a SiO2/Si substrate at three temperatures: -30°C (-30°C OTS), -5°C (-5°C OTS) and 20°C (20°C OTS). The deposition temperature controlled the nucleation density and growth rate of the SAMs. The -30°C OTS, in comparison to the 20°C OTS, formed fewer and larger islands, which therefore formed fewer island boundaries, a higher degree of long-range order, and better orientational homogeneity among the alkyl chains in the SAM. The pentacene films deposited on the -30°C OTS likewise displayed better crystalline characteristics, such as larger grain sizes and fewer crystalline defects that films deposited on the 20°C OTS. Moreover, the pentacene films deposited on the -30°C OTS displayed 0.5-fold fewer traps, and yielded a 2.4 times higher field-effect mobility. Our study indicates that the grain structure of an underlying SAM layer can affect the packing and rearrangement of pentacene molecules during pentacene film growth, thereby changing the electrical properties of the deposited pentacene films.
9:00 AM - M5.83
High Performance Organic Transistors Fabricated on Xerox Paper and Electronic Paper with Extreme Bending Stability
Peng Boyu 1 Lin Jiawei 1 Chan Paddy. K. L. 1
1The University of Hong Kong Hong Kong Hong Kong
Show AbstractFabricating organic thin film transistors (OTFTs) on unconventional substrates, such as printing paper, banknote and fabric is always a challenging issue due to their dramatically large surface roughness(Rq > 1 mu;m) while compared with widely-used silicon wafer (Rq asymp; 0.2 nm). Large roughness makes it difficult to fabricate a highly conductive gate electrode and it is also challenging to deposit a uniform dielectric material to cover the gate electrode with low leakage current, thus resulting in OTFTs have low mobility and low on/off ratio.
In this work, we demonstrate a screen printing method for large area electrodes deposition including gate, source and drain contacts. The printed electrode is made of a 6-mu;m-thick layer of silver nanoparticles, which can significantly reduce roughness of xerox printing paper to around 150 nm without vacuum and thermal annealing treatment. Parylene-C (210 nm) and DNTT (50 nm) are employed as gate dielectric and semiconductor, respectively. The source-drain electrodes are well patterned with a channel length smaller than 100 mu;m. The printed OTFTs show an average mobility of 0.11 cm2V-1s-1 (highest is 0.15 cm2V-1s-1) and an average on/off ratio of 8.7 x 105. Mobility of OTFTs on commercial xerox paper is highly comparable with the ones on PEN substrate and even slightly higher than that of DNTT on untreated SiO2. After 105 times bending (bending radius = 4 mm, corresponding to 4.95% stain), the mobility and on state current (at VG = -30 V) still remain 25% of original values. We will also discuss about the challenges that we came across in scaling up the device into the active matrix array structure especially patterning the gate electrode through laser via hole. The current low processing temperature and good bending stability OFETs show highly potential in large area, wearable electronic and security tag application like anti-counterfeiting on various substrates.
9:00 AM - M5.84
Segmentally Structured Disk Triboelectric Nanogenerator for Harvesting Rotational Mechanical Energy
Long Lin 1 Sihong Wang 1 Yannan Xie 1 Qingshen Jing 1 Simiao Niu 1 Youfan Hu 1 Zhong Lin Wang 1 2
1Georgia Institute of Technology Atlanta USA2Chinese Academy of Sciences Beijing China
Show AbstractScavenging mechanical energy from ambient environment has attracted increasing interest not only for achieving self-powered systems, but also for large-scale energy needs. The recently invented triboelectric nanogenerator (TENG) provides an effective approach to convert mechanical energy into electricity, based on the coupling between contact electrification and electrostatic induction. However, such approaches might lead to high processing cost and difficulty for fully packaging the TENG device. Moreover, the vertical separation based TENG may only work for low frequency mechanical triggering, such as impact and deformation. Recently, we have introduced a contact-sliding based approach for TENG, in which the two tribo-surfaces are in contact during the operation. A time-dependent change in their contact area results in a lateral polarization of the triboelectric charges parallel to the tribo-surfaces, which can also give high power output.
Here in this work, we developed a segmentally-patterned disk-shaped TENG, in which a periodic overlapping and separation process of the two groups of sectors on the two concentric and closely-contacted disks is achieved by relative rotation. This design not only introduces the sliding triboelectrification between the two contacting surfaces of the sectors, but also facilitates a rotation-induced periodic, in-plane charge separation for electricity generation. This in-plane charge-separation-based working mechanism was demonstrated with the combination of numerical calculations and experiments. With this rotation-based TENG, both finer segmentations and larger rotation speed lead to a faster charge transfer between the electrodes through an external circuit, producing a large current output at a high frequency (117. 6 µA and 29.0 mA/cm2 of 66.7 Hz at a rotating speed of 1000 rpm). Owing to its high output current and large frequency, multiple light-emitting diodes (LEDs) were lid up continuously, and a power storage unit such as a capacitor was quickly charged. The disk TENG is an important progress toward the practical application of nanogenerators and self-powered systems.
Reference: L. Lin, S. H. Wang, Y. N. Xie, Q. S. Jing, S. M. Niu, Y. F. Hu, and Z. L. Wang, Nano Letters (2013), DOI: 10.1021/nl4013002
9:00 AM - M5.85
Interfacial Layer Control of Tandem Structure in Color Selective Organic Image Sensor
Kyung Bae Park 1 Gaehwang Lee 1 Kyu-Sik Kim 1 Chuljoon Heo 1 Dong Seok Leem 1 Kwang Hee Lee 1 Seon Jeong Lim 1 Moongyu Han 1 Xavier Bulliard 1 Takkyun Ro 1 Sang Yoon Lee 1 Yong Wan Jin 1
1Samsung Advanced Institute of Technology Yongin-Si Republic of Korea
Show AbstractA color-selective organic photodiode that uses highly absorbing photoresponsive organic semiconductors in which N,N-dimethyl-quinacridone serves as a donor and dicyanovinyl-terthiophene serves as an acceptor was successfully demonstrated as a novel light image sensing structure. In our work, we fabricated an inverted organic photo diode with tandem structure having high a quantum efficiency and low dark current without loss of the incident light. The tandem structure was formed as interfacial layer which connected layer with two cells which absorbed each the same wave length of illumination. The interfacial layer is important to prevent a recombination which electron from top cell and hole from bottom cell is encountered. In our experiment, Carriers from top cell and bottom cell is capable to move to electrodes efficiently without recombination by using high doping interfacial layer such as high doped C60 in dicyanovinyl-terthiophene. The tandem organic photo diode shows to get as high as 25% of external quantum efficiency at 3V, 47% at 10V. And dark current of organic diode has very low cost at 5.5E-9A/cm2 at 3V. The C60 doping degree of Interfacial layer and thickness of Interfacial layer affect EQE and Dark current characteristics. We believe that an organic photodiode such as tandem structure would be promising as a next-generation high resolution image sensor.
9:00 AM - M5.86
Rotary Triboelectric Nanogenerator Based on a Hybridized Mechanism for Harvesting Wind Energy
Yannan Xie 1 2 Sihong Wang 1 Long Lin 1 Qingshen Jing 1 Zong-Hong Lin 1 Simiao Niu 1 Zhengyun Wu 2 Zhong Lin Wang 1 3
1Georgia Institute of Technology Atlanta USA2Xiamen University Xiamen China3Beijing Institute of Nanoenergy and Nanosystems Beijing China
Show AbstractNew technologies that can harvest energy from our daily living environment as sustainable and self-sufficient micro/nanopower sources are highly desirable. Wind energy, as a key mechanical energy offered by nature, has been regarded as one of the most important renewable and green energy sources under the threat of the global warming and energy crisis. However, there are many drawbacks of the current wind power technology, such as difficulty of being driven under low wind speeds and thus low efficiency, which limits its usability especially for the weak wind in our daily living environment. In this regard, developing innovative concepts and strategies is of great necessity for extending the applications of low-magnitude wind in our living environment.
Recently, triboelectric nanogenerators (TENGs), with the advantages of simple fabrication, excellent reliability, large output power, high efficiency, and low cost, have been invented based on the triboelectric effect. The TENGs generate electricity from mechanical motions through the coupling of triboelectrification and electrostatic induction. In practical applications, the electricity generation process of the TENGs can be realized by any mechanical motions that can induce the periodic contact and separation of two surfaces either in a vertical direction contact-separation mode or in-plane cycled sliding mode.
In this work, we developed a rotary structured triboelectric nanogenerator for scavenging weak wind energy in our environment. Under the wind flow, the wind-cup structure will be driven to rotate and thus the soft and flexible polytetrafluoroethylene (PTFE) film based rotor blade will sweep across the Al sheets based stators consecutively, so that a repeating process of contact-sliding-separation-contact between the two charged surfaces can be achieved by hybridizing the two modes. To enhance the surface roughness and therefore improve the triboelectric charge density, nanowire-like structures were patterned onto the surface of PTFE. On the basis of these new designs, an open-circuit voltage of 250 V and short-circuit current of 0.25 mA have been reached, corresponding to a maximum power output of 62.5 mW, which is capable of either driving hundreds of electronic devices (such as commercial LEDs) instantaneously or efficiently charging energy storage units. Furthermore, we also demonstrated its potential application as a self-powered active wind speed sensor based on the distinct relationships between the electrical outputs and the wind speed. For the first time, a combination of TENG with traditional wind power technology is demonstrated for efficiently converting wind energy into electricity, which is an important progress in the practical applications of nanogenerators and also exhibits enormous potential as the future wind power technology. [1]
[1] Xie, Y. N.; Wang, S. H.; Lin, L.; Jing, Q. S.; Lin, Z. H.; Niu, S. M.; Wu, Z. Y.; Wang, Z. L. ACS Nano 2013, DOI: 10.1021/nn402477h.
9:00 AM - M5.87
Integrated Multilayered Triboelectric Nanogenerator for Harvesting Biomechanical Energy from Human Motions
Peng Bai 1 2 Guang Zhu 1 Zong-Hong Lin 1 Qingshen Jing 1 Jun Chen 1 Gong Zhang 2 Jusheng Ma 2 Zhong Lin Wang 1 3
1Georgia Institute of Technology Atlanta USA2Tsinghua University Beijing China3Beijing Institute of Nanoenergy and Nanosystems Beijing China
Show AbstractThe mechanical energy harvesting techniques based on different mechanisms have been developed for centuries. As one of the most common forms of mechanical energy in our living environment, biomechanical energy generated by human motions such as walking is usually wasted. If such form of mechanical energy can be effectively harvested, it will at least offset or even one day replace the reliance of our daily used portable electronic devices on traditional power supplies, such as batteries. To accommodate the needs of harvesting energy from human motions, the generator is required to be small-sized, light-weighted, and flexible as well.
In this work, based on the principle of triboelectric effect and contact electrification, we demonstrates a new flexible multilayered triboelectric nanogenerator (TENG) with extremely low cost, simple structure, small size (3.8 cm × 3.8 cm × 0.95 cm) and light weight (7 g) by integrating 5 layers of working units on a flexible substrate. Owing to the unique structure and nano-pores-based surface modification, the intergrated multilayered TENG provides an effective means of scaling up the electric output without increasing its area. This is the first 3D integrated TENG for enhancing the output power. The maximum power density of 9.76 mW/cm2 and 10.24 mW/cm3 (Voc of 215 V and Isc of 0.66 mA) were achieved. Triggered by press from normal walking, a self-lighting shoe which consists of a TENG attached onto the shoe pad and multiple LED bulbs fixed on the shoe has been demonstrated. With the flexible structure, the TENG can be further integrated into clothes or even attached onto human body without introducing sensible obstruction and discomfort to human motions.
9:00 AM - M5.88
Alkyl Side Chain Length Modulates the Electronic Structure and Electrical Characteristics of Poly(3-alkylthiophene) Thin Films
Hwa Sung Lee 1 Yeong Don Park 2
1Hanbat National University Daejeon Republic of Korea2Incheon National University Incheon Republic of Korea
Show AbstractThe alkyl side chain length in poly(3-alkylthiophene) (P3AT) was found to affect the electrical properties and molecular electronic structures in thin films. The self-assembly and morphology of a P3AT film was easily controlled through the solvent vapor pressure (varied over the range 0-55 kPa) during solidification. Under high solvent vapor pressure conditions, long dense nanowires formed in the P3AT thin films, and the electrical properties of field-effect transistors (FETs) based on these films improved. The enhancement in the electrical properties of FETs based on a P3AT nanowire structure was strongly affected by the alkyl side chain length. Alkyl side chains in the disordered P3AT thin film act as a barrier to charge movement; however, they assist in the self-assembly of P3AT under high solvent vapor pressures via alkyl chain interactions. Sufficiently long alkyl chains in P3AT molecules, however, form an insulating barrier between the conjugated backbone and the Au electrode, thereby preventing carrier injection and reducing the electrical characteristics of an FET device.
9:00 AM - M5.89
High-rate Nanoscale Offset Printing Process Using Reusable Template
Hanchul Cho 1 2 Jungho Seo 1 2 Jinyoung Lee 2 Sivasubramanian Somu 2 Ahmed Busnaina 1 2
1Northeastern University Boston USA2Northeastern University Boston USA
Show AbstractElectronic and 3D printing have been changing the manufacturing landscape and enabling the manufacture of products and devices without the need for traditional manufacturing techniques involving removal of material such as cutting, drilling and milling. However, a 2-D printing process is a slow, line-by-line printing process that takes a long time when printing a large area with high resolution. In this paper, we introduce a multi-scale offset printing process based on directed assembly and a transfer using a reusable template specifically created for a high-yield and high-rate assembly and transfer of nanoelements. Our reusable template is designed so that all micro/nano conductive patterns have same potential during the electrophoresis assembly. The template can be fabricated using hard substrates, such as silicon wafer or flexible substrates, such as polyimide and PET, thereby allowing continuous manufacturing systems, such as a roll-to-roll system. The printing process transferred the assembled nanoelements from the template to a substrate with 100% transfer yield. After transfer, the template is ready to be reused for another assembly process without any additional processing. The developed off-set printing process shows excellent compatibility with various nanoelements such as polymer nanoparticles, silica nanoparticles, carbon nanotubes (single and multi-wall) and also organic molecules. In addition, we can control the thickness and alignment of nanoelements, especially SWNTs, by controlling assembly parameters such the applied voltage and pulling speed. The template can be reused more than a hundred times without any additional process in the cycle of assembly and transfer. We have used this off-set printing process to fabricate a flexible biosensor to detect glucose, lactate, and enzymes with high resolution, large detecting range and high speed.
9:00 AM - M5.90
A Direct Route to High Aspect Ratio Poly(3,4-Ethylenedioxythiophene) Nanofibers from the Vapor-Phase
Julio M. D'Arcy 1 Paula T. Hammond 1
1Massachusetts Institute of Technology Cambridge USA
Show AbstractConducting polymers promote the development of electronic devices due to their attractive electrical properties, ease of processability, and high flexibility. Among them, poly(3,4-ethylenedioxythiophene) (PEDOT) is arguably the most commercially useful organic conjugated polymer of the last 20 years. Due to a relative low absorption in the visible spectrum in its conductive state, PEDOT is an excellent candidate for optoelectronic applications such as electrochromics, optical display panels, and electrode application in solar cells. Common strategies for the synthesis of PEDOT are solution based oxidation, electrochemical synthesis, and polymerization from the vapor-phase. The advantage of vapor-phase deposition is that it offers a scalable and versatile technique resulting in a highly conductive material thereby circumventing the substrate specificity of an electrochemical approach. Until now, the majority of the effectors in the vapor-phase PEDOT community have focused on the deposition of smooth transparent films that are highly conductive. Here we demonstrate a direct synthetic route that leads to high aspect ratio PEDOT nanofibers from the vapor phase without the need of an external template. This reaction results in a freestanding thick film characterized by vertically oriented anisotropic nanostructures possessing a conductivity of 130 S/cm.
M3: Optoelectronics
Session Chairs
Brendan O'Connor
Thomas D. Anthopoulos
Tuesday AM, December 03, 2013
Hynes, Level 2, Room 208
9:30 AM - *M3.01
Spray Coating of Organic Semiconductors and Electrodes for Solar Cells and Modules
Barry P Rand 1 2 Jeffrey G Tait 2 3
1Princeton University Princeton USA2imec Leuven Belgium3KULeuven Leuven Belgium
Show AbstractThe promise of solution processed organic solar cells lies in their low-cost high-throughput manufacturability. However, this low cost aspect can only be fully realized if all of the layers are deposited via in-line compatible methods. Spray coating is a high-rate, non-contact deposition technique characterized by the ability to deposit thin films over large areas by the superposition of small droplets. Here, we discuss our recent efforts in utilizing concurrently pumped ultrasonic spray coating, whereby two solutions are pumped to a vibrating nozzle and simultaneously atomized. With this technique, we are able to control solution concentration, donor:acceptor ratio, and multiple-solvent composition, giving us a method by which to optimize not only the smoothness of the dried film but also the active layer composition. Also, we will show our results with depositing patterned electrodes composed of either metallic nanoparticles sintered upon deposition or carbon-based inks. Ultimately, for large-area scale-up, we have been investigating the concept of controlling substrate surface energy via local deposition of fluorinated, low surface energy materials by ink jet or aerosol jet printing. Subsequently, we are able to spray coat patterned photoactive layers for large area (25 cm2) modules.
10:00 AM - M3.02
Fully Direct-Written Organic Pixels for Large-Area Plastic Imagers
Mario Caironi 1 Sadir G. Bucella 1 2 Giovanni Azzellino 1 2 Krishna C. Vishunubhatla 1 Andrea Grimoldi 2 Dario Natali 2 1 Marco Sampietro 2 1
1Istituto Italiano di Tecnologia Milano Italy2Politecnico di Milano Milano Italy
Show AbstractPlastic digital imagers are very appealing for a series of photodetecting applications, especially where a large-area is inherently required, as in the case of X-rays imaging. Organic electronics is a strong candidate to enable these kind of applications, thanks to the possibility of covering large areas by means of solution-based, cost-effective techniques at low temperature.[1] A digital imager requires the patterning of several pixels onto the same substrate, and the pixel itself is composed of different devices depending on the chosen architecture. This urges for the development of reliable deposition and patterning techniques of the required functional inks, compatibly with large-area polymeric substrates.
Here we report on passive pixels fabricated on plastic, where an efficient, inkjet printed organic photodetector (OPD) is integrated with a direct-written selecting element, an organic field-effect transistor (OFET).[2] The OPD is fabricated by patterning on a 100 mu;m x 100 mu;m2 area an active donor-acceptor bulk-heterojunction between two solution processed conductors. The device shows very good quantum yield (above 70 % in the visible range), a Ilight/Idark > 104 an a -3dB cutoff frequency > 10 kHz. In the case of the OFET, a technique with finer patterning capability is required to circumvent the limited resolution of inkjet printing in defining the channel length. In order to pattern source and drain electrodes with high resolution and reliability, we combined inkjet printing with another digital, direct writing technique: femtosecond laser ablation.[3] First silver lines are deposited by inkjet printing and sintered at low temperature and then micrometer to sub-micrometer channels are produced by highly selective femtosecond laser ablation. These direct-written electrodes are adopted in top gate OFETs which show mobility higher than 0.2 cm2/Vs and ON/OFF ratios higher than 106 in the linear regime.
The integration of the above mentioned devices realizes a fully operational passive pixel, which we can replicate with high reliability and yield in arrays on PEN foils, thus making this a very promising approach for future plastic digital imagers.
References
[1] K.-J. Baeg, M. Binda, D. Natali, M. Caironi, and Y. -Y. Noh, “Organic Light Detectors: Photodiodes and Phototransistors”, Adv. Mater., 2013, in press, DOI: 10.1002/adma.201204979
[2] K.-J. Baeg, M. Caironi, and Y. -Y. Noh, “Toward Printed Integrated Circuits based on Unipolar or Ambipolar Polymer Semiconductors”, Adv. Mater., 2013, in press, DOI: 10.1002/adma.201205361
[3] S. Bucella, G. Nava, C. Vishunubhatla, and M. Caironi, “High-resolution direct-writing of metallic electrodes on flexible substrates for high performance organic field effect transistors”, Org. Electron., 2013, in press, DOI: 10.1016/j.orgel.2013.05.002
10:15 AM - M3.03
Fully Inkjet Printed Organic Photodetectors for Large Area Digital Imagers
Giovanni Azzellino 1 2 Andrea Grimoldi 1 Mario Caironi 2 Dario Natali 1 2 Marco Sampietro 1 2
1Politecnico di Milano Milano Italy2Center for Nano Science and Technology @PoliMi, Istituto Italiano di Tecnologia Via Pascoli 70/3, 20133 Milano, Italy Milano Italy
Show AbstractAll-solution processed organic devices are promising candidates in large-area photodetection applications [1], thanks to room temperature processability and to the possibility of being deposited from solution on flexible substrates. In this work we demonstrate efficient organic photodetectors patterned on plastic foils by Drop-On-Demand (DOD) inkjet printing [2]. This digital, additive technique allows fine patterning, with a resolution up to few tens of micrometers compatible with detecting elements dimensions in pixelated imagers, and reliable fabrication of detectors arrays over large areas. We report here the detailed realization of organic photodectors fully processed by inkjet printing in ambient condition: these devices are conceived to avoid ITO as transparent electrode and to have plastic flexible sheets as substrates. To achieve this goal, we use a PEDOT:PSS-based ink formulation for the transparent top electrode in a so called “inverted” device architecture, where the bottom opaque electrode is made by an inkjet printed Ag-based ink. The photoactive material used for our devices is the very well-known blend of poly(3-hexyltiophene) (P3HT) and [6,6]-phenyl-C61 butyric acid methyl ester (PC61BM). The stacking of the different functional layers was enabled by proper tailoring of the ink formulations, by careful tuning of nozzle parameters and by optimizing the stage temperature in order to obtain a continuous, uniform, pin-holes and coffee-stain free printed patterns . In particular, to enable the deposition of the PEDOT:PSS water dispersion over the hydrophobic active blend a suitable surfactant was added to the conductive polymer formulation. We report for detectors with area as large as 100 x 100 µm2 EQE as high as 67% (at 570 nm, with 1 V applied bias), a ratio between photocurrent and dark current as high as 104 (3 mW/cm2, with 1 V applied bias), a -3dB cut-off bandwidth at 20 kHz and a yield in excess of 80%. Very interestingly, different performances can be achieved by controlling the overall amounts of volume of the PEDOT:PSS droplets. When few nL of solution were deposited we found annealing negatively affected the devices, while in the case of few hundreds of pL deposited annealing resulted beneficial, making the overall photodetectors manufacturing compatible with integration on pixels. Also, measurements of the monochromatic photoresponse versus incident power (at 570 nm) over four decades indicates that not annealed devices behave as photoconductors at low power density regime. Overall we show that high-performance, all inkjet printed OPDs can be reliably patterned in ambient conditions on plastic foils with good uniformity, making them excellent candidates for the fabrication of future light-weight digital imagers.
[1] K.-J. Baeg, M. Binda, D. Natali, M. Caironi, and Y. -Y. Noh, Adv. Mater. 2013, DOI: 10.1002/adma.201204979
[2] M. Caironi, E. Gili, T. Sakanoue, X. Cheng, H. Sirringhaus, ACS Nano 4, 1451-1456 (2010)
10:30 AM - *M3.04
Making Large-Area Systems by Lamination
Sigurd Wagner 1 Warren Rieutort-Louis 1 Liechao Huang 1 Yingzhe Hu 1 Josue Sanz-Robinson 1 James C. Sturm 1 Naveen Verma 1
1Princeton University Princeton USA
Show AbstractImagine an autonomous flexible strain sensing array that is draped over the surface of a bridge. Using thin-film sensors, the system monitors the local dimensions of the surface with high spatial resolution. A few CMOS ICs control the sensors, process their signals, and transmit them by wireless communication. Thin-film solar cells and thin-film batteries make the system autonomous.
We describe how such a system is assembled by the lamination of subsystems, such as TFT circuits or solar cells, each fabricated on its own substrate by its own specialized process. Lamination has compelling advantages for large-area systems. One is that conducting short process sequences in parallel enables higher yields than integrating the same processes in a single long sequence. A second advantage is the ample surface that large-area systems provide for adding planar inductor coils and capacitor plates. These enable wireless signal and power transfer between the laminated sheets. In consequence no hardwired via interconnects are needed, and tolerances for overlay alignment become generous. A third advantage of lamination is that the physically biggest sheet may be made with only passive components (R, L, C), which use simple, well-established materials and highly-scalable manufacturing processes. To illustrate our approach to lamination we present functional subsystems, for energy harvesting and storage, DC-to-AC and AC-to-DC power conversion, sensor addressing and readout, and a radio on plastic.
11:30 AM - *M3.05
Direct, Additive Patterning and Morphology Control of Organic Semiconductor Thin Films Using Guard Flow-Enhanced Organic Vapor Jet Printing
Max Shtein 1 Olga Shalev 1 Shaurjo Biswas 1 David Hinz 1
1University of Michigan Ann Arbor USA
Show AbstractPatterning of small molecular organic semiconductors over large areas is a critical challenge for the fabrication of organic-based devices, made difficult by relatively weak intermolecular bonding in these materials and their incompatibility with most traditional lithography techniques. Here we discuss the methods of solvent-free and mask-free organic vapor jet printing (OVJP) and guard flow-enhanced OVJP (GF-OVJP) that address the patterning challenge, focusing on the newer GF-OVJP variant. In this method, the organic semiconductor is evaporated into a fast, collimated jet of inert carrier gas directed at a cold substrate, where the organic semiconductor material selectively condenses as a device-quality thin film. Surrounding the primary jet with a guard jet of inert carrier gas allows enhanced control of deposit shape and broadens processing latitude and throughput, including enabling deposition of device-quality films in air and high rate of film growth (e.g. exceeding 100 nm / s).
In this talk we discuss the operating principles of GF-OVJP, how apparatus and process parameters affect pattern resolution and film morphology, and in turn how those affect device performance, including OLEDs, solar cells, and transistors. We show how GF-OVJP can be used together with in situ synchrotron x-ray diffraction to study crystallization of organic semiconductor thin films (e.g. pentacene and subphthalocyanine chloride) to deconvolve the concomitant effects of flow, oxidation, and growth rate on morphology and device performance. We also demonstrate novel film morphologies accessed by GF-OVJP, and discuss the interplay between the thermophysical properties of conjugated molecular organic materials, process conditions, and resulting morphology, along with novel applications of this additive film patterning technique.
12:00 PM - M3.06
Solar Cells Based on Scalable Organic Microcrystalline Thin Films
Bregt Verreet 3 1 Paul Heremans 1 3 Andre Stesmans 4 Barry P. Rand 1 2
1imec Heverlee Belgium2Princeton University Princeton USA3KU Leuven Leuven Belgium4KU Leuven Leuven Belgium
Show AbstractUncontrolled deposition of organic molecules on arbitrary surfaces will lead to amorphous or “nanocrystalline” (often referred to as polycrystalline) films with nm-sized crystals. The resulting grain boundaries and disorder in the films limits the exciton diffusion length (LD) to values of 5 - 40 nm, much smaller than the optical absorption length. However, measurements on single crystals of rubrene suggest higher values (LD = 2 - 8 mu;m) can be obtained in highly crystalline films.
Previous attempts to exploit the superior properties of single crystals in solar cells, involved the use of hand-picked vapor-grown crystals. This process is however inherently non-scalable and moreover complicates contacting the crystal.
Here, microcrystalline organic films with tunable thickness are produced directly on an indium-tin-oxide substrate, by crystallizing a thin amorphous rubrene film followed by its use as a template for subsequent homoepitaxial growth. The resulting films were characterized by polarized microscopy, X-ray diffraction, scanning electron microscopy and atomic force microscopy, thereby showing a film composed of 50 µm sized crystals.
Solar cells based on these microcrystalline rubrene films, with exciton diffusion lengths exceeding 200 nm, show increasing photocurrents for thicknesses up to 400 nm. Moreover, the fill factors still exceed 65% for the 400 nm thick film. We thereby demonstrate both the potential of using microcrystalline materials in organic electronic devices and a route towards the manufacturability of such devices.
12:15 PM - M3.07
Graphene Based Plasmonic Organic Photovoltaics
Emmanuel Kymakis 1 Emmanuel Stratakis 2
1Technological Educational Institute (TEI) of Crete Heraklion Greece2Foundation of Research and Technology (FORTH) Heraklion Greece
Show AbstractIn this presentation, we will review our recent advances for the application of solution processable graphene (SPG) based materials as components in organic photovoltaic (OPV) devices, including transparent electrodes, hole transport and active layers. In particular, functionalized SPG derivatives are utilized as the electron acceptor material or additive in bulk heterojunction OPVs. Such derivatives were synthesized via efficient functionalization of graphene oxide (GO) using conventional chemical and/or ultrafast laser induced photochemical modification routes. For the chemical routes, different ligands, such as 3,5-dinitrobenzoyl and isothiocyanate are used to functionalize the GO lattice [1]. On the other hand, laser pulses of nanosecond duration are employed to initiate charge transfer photochemical functionalization processes among precursor molecules and GO platelets [2]. Highly transparent and conductive graphene-based thin films on solid as well as flexible substrates produced by femtosecond laser, in situ, photothermal reduction of spin casted GO films are successfully utilized either as buffer interfacial layers or as a replacement to the traditional ITO electrodes [3]. The laser induce reduction technique is very attractive industrially, since laser heating that causes the reduction of the GO film is strongly localised at the surface, allowing the use of temperature sensitive flexible substrates. Finally, the SPG based materials are combined with plasmonic structures, such as noble metallic nanoparticles [4]. In this way, plasmon enhanced absorption effects can further improve both the OPV efficiency and stability [5]. Our work indicates the feasibility of efficient, all-graphene based , plasmonic OPV devices.
[1] Stylianakis M.M., Spyropoulos G.D , Stratakis, E., Kymakis, E, (2012) Carbon, 50, 15, pp. 5554-5561 [2] Stylianakis M.M., Kymakis, E, Stratakis E., submitted [3] Kymakis E., Savva K., Stylianakis M.M., Fotakis, C.,Stratakis E., (2013), Advanced Functional Materials, 23, 2742-2749 [4] Stratakis E., Kymakis E. (2013), Materials Today, 16 (4), 133-146. [5] Stratakis E., Stylianakis M., Koudoumas E., Kymakis E., (2013), Nanoscale, 5 (10), 4144-4150