Symposium Organizers
Cengiz S. Ozkan, University of California, Riverside
Kang Wang, University of California, Los Angeles
Markus J. Buehler, Massachusetts Institute of Technology
Nicola Pugno, Politecnico di Torino
DD3/EE1: Joint Session: Plenary Session: Recent/Advances in Graphene
Session Chairs
Monday PM, April 09, 2012
Moscone West, Level 3, Room 3008
2:30 AM - *DD3.1/EE1.1
Graphene-based Electronics and Optoelectronics
Phaedon Avouris 1
1IBM T.J. Watson Research Center Yorktown Heights USA
Show AbstractGraphene a two-dimensional, single atomic layer material with linear electron dispersion has rather unique electrical and properties. There is currently strong interest in taking advantage of these properties for technological applications. In my talk I will review some of the key properties of free graphene, how these are affected by environmental interactions and how they can be utilized in electronics and optoelectronics. Specifically, I will discuss high frequency (>300 GHz) graphene transistors, nanotube array transistors and simple IC circuits, as well as related device physics aspects, such as the role of electrical contacts, scattering effects, energy dissipation, etc. I will then discuss the key optical properties of graphene and how they can be combined with its excellent electrical properties and used in optoelectronics applications. Specific examples involving applications of graphene in the far-IR and THz ranges, ultrafast graphene photodetectors and their use in the detection of optical data streams will be presented.
DD2: Growth, Defects, Mechanisms I
Session Chairs
Monday PM, April 09, 2012
Moscone West, Level 3, Room 3009
2:45 AM - *DD2.1
From Carbynes and Nanotubes to GraphEnes, GraphAnes, and sp2-nanofoams
Boris I. Yakobson 1
1Rice University Houston USA
Show AbstractLeaving fullerenes to chemistry, the low-dimensional carbon materials begin with controversial 1D carbynes ...=C=C=C=... [1], richly explored nanotubes, and then go on to 2D graphEne. The latter contains yet lower-dimensional entitiesâ?"edges and grain-boundaries [2], which display their own remarkable behaviors. Further, its functionalized forms of graphAne [3] family (CH, CF, G-oxides), with drastically altered electronics, invite the notion of hybrids: nanoroads and quantum dots. The morphology control of inclusions in h-BN "white-graphEne" suggests variable electro-optic-magnetic properties [4]. Class of 3D-foams is also of growing interest as media for energy storage [5], in form of H for fuel cells or Li+ for batteries.
1. Sorokin et al. Nano Lett. 11 (2011) 2660.
2. Ajayan and BIY, Nature Mater. 10 (2011) 415; BIY and Ding, ACS Nano5 (2011) 1569; Liu and BIY, Nano Lett. 10 (2011) 2178.
3. Lin et al. Phys Rev B78 (2008) 041402R; Singh et al. ACS Nano4 (2010) 3510; Ribas et al. Nano Res. 4 (2011) 143.
4. Liu et al. Nano Lett. 11 (2011) 3113; Bhowmick et al. J. Phys. Chem. C115 (2011) 9889.
5. Singh et al. J. Phys. Chem. C115 (2011) 2476.
3:15 AM - DD2.2
In-situ, High Pressure XPS and XRD Study of Graphene Growth under Realistic CVD Conditions
Piran Ravichandran Kidambi 1 Bernhard C Bayer 1 Robert S Weatherup 1 Raoul Blume 2 Carsten Baehtz 3 Caterina Ducati 4 Robert Schloegl 2 Stephan Hofmann 1
1University of Cambridge Cambridge United Kingdom2Fritz Haber Institute Berlin-Dahlem Germany3Forschungszentrum Dresden-Rossendorf Dresden Germany4University of Cambridge Cambridge United Kingdom
Show AbstractA comprehensive understanding of the fundamental growth mechanisms of graphitic nanostructures (graphene and carbon nanotubes) remains incomplete limiting potential application. Different growth mechanisms based on carbon solubility in metallic catalysts eg: Cu (surface reaction) and Ni (precipitation) have been speculated based on ex-situ experiments but in-situ experimental evidence remains elusive. Using a combination of high-pressure time and depth resolved in-situ X-ray photoelectron spectroscopy (XPS)1 and in-situ X-ray diffraction (XRD) 1 at realistic CVD conditions of pressure (~0.001 - 1 mbar) and extreme temperatures (700-1000oC) we analyse the behaviour of some of the most popular poly-crystalline metallic catalyst films (eg: Cu, Ni, Co) for graphene growth during hydrocarbon (both gaseous and liquid precursors) exposures. These measurements allow for a clear understanding of carbon incorporation in the nanostructure as it happens by identifying the catalyst state at any point of time during CVD. These coupled with ex-situ experiments allows for development of a growth mechanism that is based on in-situ experimental evidence, avoiding the need for speculation. Finally we compare the graphitic carbon growth mechanism for metal catalysts to novel oxide catalyst systems2,3 which inherently exhibit a very low carbon solubility in an effort towards studying graphene growth directly on a dielectric. 1. In Situ Characterization of Alloy Catalysts for Low-Temperature Graphene Growth, Weatherup R.S., Bayer B.C., Blume R, Ducati C., Baehtz C., Schlögl R., and Hofmann S. Nano Letters. 11, 4154 (2011) 2. Nanoscale Zirconia as a Non-Metallic Catalyst for Graphitization of Carbon and Growth of Single- and Multi-Wall Carbon Nanotubes, Steiner III S. A., Baumann T. F., Bayer B. C., Blume R., Worsley M.A., Moberlychan W. J., Shaw E. L., Schloegl R., Hart A. J., Hofmann S., Wardle B. L., JACS 131, 12144 (2009). 3. Hafnia nanoparticles â?" a model system for graphene growth on a dielectric, Kidambi P.R., Bayer B.C., Weatherup R.S., Ochs R., Ducati C, Szabó D.V., Hofmann S., Physica Status Solidi â?" RRL. 5, 9, 341-343 (2011).
DD3/EE1: Joint Session: Plenary Session: Recent/Advances in Graphene
Session Chairs
Monday PM, April 09, 2012
Moscone West, Level 3, Room 3008
3:15 AM - *DD3.2/EE1.2
Physics of Dirac Electron in Graphene
Tsuneya Ando 1
1Tokyo Institute of Technology Tokyo Japan
Show AbstractGraphene is a two dimensional sheet consisting of carbon hexagon network, from which graphite, a typical layered material, is formed. It was successfully isolated by the group of Andre Geim and Kostya Novoselov at Manchester University in 2004 using the so-called scotch-tape method (mechanical exfoliation of graphite), which has attracted a great attention in the field of physics, chemistry, materials science, and device applications. Graphene has now become not only a central issue in basic science but also a challenging target in cutting-edge nanotechnology. The Nobel Prize 2010 in Physics was awarded to this historic contribution to graphene. Various methods are now being investigated for the purpose of fabricating graphene with large area and good quality. What is most interesting in graphene is the unconventional physics behind its electronic properties as well as the possibility of various applications intensively being explored. Indeed, the electron motion in graphene is governed by the Weyl equation for a neutrino or the Dirac equation with vanishing rest mass. This has been shown to give rise to various unprecedented electronic phenomena, such as half-integer quantum Hall effect, absence of backscattering leading to the Klein tunneling, Berry's phase leading to singularity in the diamagnetic susceptibility and the conductivity, crossover between anti-localization and weak-localization behavior in low-temperature transport, etc. This talk will discuss many of such exciting new physics.
DD2: Growth, Defects, Mechanisms I
Session Chairs
Monday PM, April 09, 2012
Moscone West, Level 3, Room 3009
3:30 AM - DD2.3
Sputter Oriented Nickel and Defect Inhibitors in Graphene
Eugene Zakar 1 Kevin Hauri 1 Richard Fu 1
1Government Adelphi USA
Show AbstractControlling the growth of proper crystal morphology and low defect density in sputtered Ni catalyst are necessary ingredients for achieving precision number of CVD synthesized graphene layers and good yielding devices. The size of grains can be controlled during sputter deposition but the apparent effects in chirality and defects are not apparent until after the high temperature annealing process. XRD analysis has been utilized to show degrees of transformation due to controlling variations in sputter gas pressure and temperature. These parameters can accelerate or postpone the final preferred orientation of the Ni film. Sputter temperature of 250°C achieved complete transformation from polycrystalline film to the preferred [111] orientation film, which is 200°C lower than previous reported. The accelerated results were achieved by high pressure Argon sputter (20mT). In trying to determine the minimum concentration of H2 needed to reduce pinhole defects and prevent oxidation of Ni during the CVD annealing cycle, we found this dependency was attributed to the Ni grain size. Sputtered Ni initially deposited at 250°C only required a low annealing H2 flow of 20 vol. % due to larger established grains versus 40 vol. % for the smaller grains and this dramatically reduced the number of pinhole defects. Ni films with compressive residual stress inhibited the growth of defects to a greater extent than those with high tensile stress.
3:45 AM - DD2.4
Understanding Graphene Growth on Copper Substrates
Haider I Rasool 1 2 3 James K Gimzewski 1 2 3
1University of California - Los Angeles Los Angeles USA2California NanoSystems Institute Los Angeles USA3International Center for Materials Nanoachitectonics (MANA) Tsukuba Japan
Show AbstractThe atomically thin honeycomb network of carbon atoms known as graphene has generated a great deal of interest within the scientific community because of its unique electronic structure and mechanical properties. In order to have widespread use, a variety of synthetic approaches are being explored by researchers. The current work describes the synthesis and characterization of graphene grown on copper substrates. A combination of scanning tunneling microscopy (STM) and transmission electron microscopy (TEM) are used to understand the fundamental aspects of growth. It is found that graphene grows continuously on both polycrystalline and single crystal substrates without limitation by the underlying substrate. The graphene overlayer maintains a continuous pristine atomic structure over atomically flat planes, monatomic steps, edges, and vertices of copper surfaces. A detailed analysis of moiré superstructures present in STM topographies reveal that growth occurs on surface facets of different identities in polycrystalline samples. These moiré structures also reveal that graphene grows in a variety of orientations over the square atomic lattice of copper (100) single crystals and is corroborated through the use of TEM imaging and diffraction. Our observations suggest that growth models including a stagnant catalytic surface do not apply to graphene growth on copper and that control of the nucleation at the surface will be the primary means to achieving high quality synthesis.
DD3/EE1: Joint Session: Plenary Session: Recent/Advances in Graphene
Session Chairs
Monday PM, April 09, 2012
Moscone West, Level 3, Room 3008
DD2: Growth, Defects, Mechanisms I
Session Chairs
Monday PM, April 09, 2012
Moscone West, Level 3, Room 3009
4:30 AM - *DD2.5
Functional Inks Based on Monodisperse Carbon Nanomaterials
Mark C Hersam 1
1Northwestern University Evanston USA
Show AbstractCarbon nanomaterials have attracted significant attention due to their potential to improve applications such as transistors, transparent conductors, solar cells, batteries, and biosensors [1]. This talk will highlight our latest efforts to develop solution-phase strategies for purifying, functionalizing, and assembling carbon nanomaterials into functional arrays. For example, we have recently developed [2] and commercialized [3] a scalable technique for sorting surfactant-encapsulated single-walled carbon nanotubes (SWCNTs) by their physical and electronic structure using density gradient ultracentrifugation (DGU). The DGU technique also enables multi-walled carbon nanotubes to be sorted by the number of walls [4,5], and graphene to be sorted by thickness [6,7], thus expanding the suite of monodisperse carbon nanomaterials. The resulting monodisperse carbon nanomaterials enhance the performance of field-effect transistors [8-10], digital circuits [11], optoelectronic devices [12,13], sensors [14], transparent conductors [15], catalysts [16], and photovoltaics [17]. By extending our DGU efforts to carbon nanotubes and graphene dispersed in biocompatible polymers (e.g., DNA, Pluronics, and Tetronics) [18-20], new opportunities have emerged for monodisperse carbon nanomaterials in biomedical applications. [1] J. Liu and M. C. Hersam, MRS Bulletin, 35, 315 (2010). [2] M. C. Hersam, Nature Nanotechnology, 3, 387 (2008). [3] http://www.nanointegris.com/ [4] A. A. Green and M. C. Hersam, Nature Nanotechnology, 4, 64 (2009). [5] A. A. Green and M. C. Hersam, ACS Nano, 5, 1459 (2011). [6] A. A. Green and M. C. Hersam, Nano Letters, 9, 4031 (2009). [7] A. A. Green and M. C. Hersam, Journal of Physical Chemistry Letters, 1, 544 (2010). [8] M. Engel, et al., ACS Nano, 2, 2445 (2008). [9] L. Nougaret, et al., Applied Physics Letters, 94, 243505 (2009). [10] M. Ganzhorn, et al., Advanced Materials, 23, 1734 (2011). [11] M. Ha, et al., ACS Nano, 4, 4388 (2010). [12] M. Kinoshita, et al., Optics Express, 18, 25738 (2010). [13] S. Essig, et al., Nano Letters, 10, 1589 (2010). [14] M. Ganzhorn, et al., ACS Nano, 5, 1670 (2011). [15] A. A. Green and M. C. Hersam, Nano Letters, 8, 1417 (2008). [16] Y. T. Liang, et al., Nano Letters, 11, 2865 (2011). [17] T. P. Tyler, et al., Advanced Energy Materials, 1, 785 (2011). [18] A. L. Antaris, et al., ACS Nano, 4, 4725 (2010). [19] J.-W. T. Seo, et al., Journal of Physical Chemistry Letters, 2, 1004 (2011). [20] M. C. Duch, et al., Nano Letters, ASAP 27 October 2011, DOI: 10.1021/nl202515a.
DD3/EE1: Joint Session: Plenary Session: Recent/Advances in Graphene
Session Chairs
Monday PM, April 09, 2012
Moscone West, Level 3, Room 3008
4:30 AM - *DD3.3/EE1.3
Manifest of Electron Interactions in High Quality Graphene on Hexa Boron Nitride
Philip Kim 1
1Columbia University New York USA
Show AbstractGraphene devices on h-BN substrates have mobilities and carrier inhomogeneities that are almost an order of magnitude better than devices on SiO2. These devices also show reduced roughness, intrinsic doping and chemical reactivity. The ability to assemble crystalline layered materials in a controlled way permits the fabrication of graphene devices on other promising dielectrics and allows for the realization of more complex graphene heterostructures. In high quality graphene and hBN hybrid devices, we observe many body induced symmetry breaking integer quantum Hall states and fractional quantum Hall states. In bilayer graphene we observe large band gap opening up when we applied a perpendicular electric field. In this presentation, we will discuss various many body effects, including the quantum Hall ferromagnetism (QHFM) and fractional quantum Hall effect (FQHE) in 2-dimensional electron gas with multiple internal degrees of freedom provides a model system to study the interplay between spontaneous symmetry breaking and emergent topological order.
DD2: Growth, Defects, Mechanisms I
Session Chairs
Monday PM, April 09, 2012
Moscone West, Level 3, Room 3009
5:00 AM - DD2.6
Formation of Graphene Lateral Heterojunctions Using Patterned Regrowth
Mark Levendorf 1 Lola Brown 1 Robin W Havener 2 Jiwoong Park 1
1Cornell University Ithaca USA2Cornell University Ithaca USA
Show AbstractFormation of heterojunctions within a thin film and the independent control of the physical and chemical properties across them is one of central capabilities that have allowed for modern electronic circuitry. While techniques such as ion implantation work well in standard silicon thin film processes, they find limited use in 2-dimensional materials such as grapheneâ?"where these practices can cause significant damage to the atomic lattice. Here we present a patterned regrowth method that allows for multiple types of graphene growths on a single catalytic substrate, forming mechanically continuous heterojunctions within a sheet of CVD graphene. This method uses simple photolithography to selectively remove graphene grown on copper, after which a second layer of graphene is grown on the patterned regions. The final hybrid sheet is transferred to various supports for further characterization using Raman spectroscopy, darkfield transmission electron microscopy (TEM), and electrical measurements. Surprisingly, we find that the original sheet remains virtually undamaged despite its exposure to the reactive conditions for regrowth, as confirmed by 2-dimensional Raman imaging, which shows uniformly low disorder for both growths. Darkfield TEM images of the graphene with regrowth junctions further verify the quality and integrity of both sheets at the nanometer scale. Furthermore, we observe that the films maintain excellent electronic properties both within individual growths as well as across themâ?"exhibiting low sheet resistances and high carrier mobilities of more than 15,000 cm^2V^(-1)s^(-1). The combination of our regrowth method and characterization techniques are used to form and characterize patterned doped heterostructures (between intrinsic and n-doped regions) using lattice insertion of dopants in selected areas. This demonstration shows the broad potential of this process for both multi-stage graphene growths and spatial control of graphene composition, an exciting new capability for expanding the utility of graphene and other atomic films that will be produced in the future.
5:15 AM - DD2.7
Transfer-free Growth of Few-layer Graphene by Self-assembled Monolayers
Hyeon Jin Shin 1 Won Mook Choi 1 Seon-Mi Yoon 1 Yun Sung Woo 1 Young Hee Lee 2 Jae-Young Choi 1
1Samsung Electronics Co. Ltd. Young-si Republic of Korea2Sungkyunkwan University Suwon-si Republic of Korea
Show AbstractGraphene layers are directly synthesizedon an oxide substrate without transfer. The catalytic structure aids graphene formation without the vaporization of a self-assembled monolayer (SAM) material and induces direct growth of graphene on the substrate. Film uniformity and the number of graphene layers are modulated. The catalytic structure and growth process provide a robust method for transfer-free graphene growth with uniform thickness.
DD3/EE1: Joint Session: Plenary Session: Recent/Advances in Graphene
Session Chairs
Monday PM, April 09, 2012
Moscone West, Level 3, Room 3008
5:15 AM - *DD3.4/EE1.4
Functionalized Graphene and Its Use in Applications
I. Aksay 1
1Princeton University Princeton USA
Show AbstractPristine graphene isolated from graphite by mechanical peeling has served an invaluable role in studies leading to fundamentals. In contrast, defective and functionalized graphene which is produced through splitting and reduction of graphite oxide, to C/O ratios higher than 10, promises to find entry into a myriad of applications more rapidly. This is mainly because (i) for the development of bulk materials such as ultracapacitors, electrodes for batteries, electrochemical sensors, and graphene-based composites in general, there is a need to produce graphene in > tons/year quantities and (ii) the intrinsically defective and functionalized structure of graphene produced by graphite oxide route provides advantages over pristine graphene in various applications. In this presentation, I will focus on the production and the utilization of functionalized graphene sheets (FGSs) by thermal exfoliation and reduction of graphite oxide. In spite of its rich history dating back to 1840, only recently it has been shown that the thermal exfoliation and reduction of graphite oxide method can indeed yield large fractions (> 80%) of single sheets. The challenge of single sheet production, however, leads into another challenge on the control of aggregate structures as single sheets readily collapse back to form multistacks due to van der Waals and capillary forces. Thus, restacking is not only unavoidable but a certain degree of restacking is also beneficial in most applications. The main challenge is the control of the aggregated network structures to attain the desired properties in applications ranging from electrochemical devices to high strength multifunctional nanocomposites. The reduction of the contact resistance of graphene aggregates is another challenge when high electrical conductivity is a target. While the intrinsic electrical conductivity of functionalized graphene has been shown to be in the order 4x105 S/m, in graphene aggregates, the conductivities are at least three orders of magnitude lower mainly due to contact resistance problems. An effective solution for this problem remains to be demonstrated.
DD2: Growth, Defects, Mechanisms I
Session Chairs
Monday PM, April 09, 2012
Moscone West, Level 3, Room 3009
5:30 AM - DD2.8
Barrier-guided Chemical Vapor Deposition: A New Approach for the Scalable, Bottom-up Synthesis of Rationally-patterned, Micro- and Nanostructured Graphene Materials
Nathaniel S Safron 1 Myungwoong Kim 1 Padma Gopalan 1 Michael Scott Arnold 1
1University of Wisconsin-Madison Madison USA
Show AbstractThe possibility of exploiting grapheneâ?Ts remarkable properties in real-world applications has been rapidly advanced by recent progress in the chemical vapor deposition of continuous monolayers of the material on metal substrates. In many instances, however, it is not continuous graphene that is wanted but rather graphene that is patterned with micron- and nanometer-scale features. Patterned graphene has been typically achieved via top-down, subtractive etching. Top-down etching, however, results in structural and chemical disorder at edges that degrade the materialâ?Ts properties and performance. Here, we present a novel strategy for the controlled synthesis of low-defect density, patterned graphene from the bottom-up, called barrier-guided chemical vapor deposition (BG-CVD). In BG-CVD, the growth of graphene is laterally restricted on planar metal substrates by selectively passivating the catalytic activity of the metal with patterned barrier templates designed to (i) limit the generation and (ii) confine the migration of atomic C species to exposed, barrier-less regions of the metal substrate. We have specifically implemented BG-CVD using aluminum oxide barriers on Cu substrates and have shown that the barriers can restrict the nucleation of graphene to the exposed Cu and then guide its growth, remarkably, with 1 nm lateral precision. We have used the technique, in particular, to fabricate single-layered structures including channels, nanoribbon arrays, and nanoperforated membranes, with features as small as 25 nm, over areas as large as 1 cm2. The BG-CVD materials are highly crystalline with domains > 4 microns and with edge-defect concentrations reduced by a factor of 2-10x compared to top-down etched samples from literature. Electrical transport measurements of graphene nanoribbon arrays (width = 30 nm) indicate high mobility (215 cm2/V/s). In the future, it should be possible to realize large-bandgap semiconducting graphene materials via BG-CVD in combination with state of the art lithography with features of width10 nm, due to the methodâ?Ts sub-1 nm pattern reproducibility. Ultimately, as BG-CVD avoids chemical etchants and instead relies on a self-limiting growth processes to abruptly define edges, we expect that this method will lead to graphene materials with superior performance.
5:45 AM - DD2.9
Direct Layer-by-Layer Growth of Graphene on Co3O4(111) at 1000 K by Molecular Beam Epitaxy
Mi Zhou 1 Frank L Pasquale 1 Peter A Dowben 2 Alex Boosalis 2 Mathias Schubert 2 Jeffry A Kelber 1
1University of North Texas Denton USA2University of Nebraska Lincoln Lincoln USA
Show AbstractDirect layer-by-layer graphene growth on Co3O4(111) at 1000 K is achieved by molecular beam epitaxy (MBE) from a carbon source. A ~ 40 Ã. thick Co film was deposited on an Al2O3(0001) substrate at 750 K in UHV conditions. Subsequent annealing to 1000 K resulted in formation of a 3 monolayer (3 ML) Co3O4(111) film due to segregation of O impurities, as determined by Auger electron spectroscopy (AES) and by low energy electron diffraction (LEED). Subsequent MBE from a graphite source at 1000 K resulted in layer by layer growth on Co3O4 of sp2 -hybridized C(111) with a 2.5(±0.1) Ã., lattice constant indicative of graphene. LEED spectra indicate that the graphene overlayer is incommensurate with the Co3O4(111) substrate. The graphene-related diffraction spots remain sharp from coverages of 0.4 ML up to 3 ML, indicating that the graphene sheets are in azimuthal in registry with each other. Exposure of the 3 ML graphene/ Co3O4(111)/Co(111) sample to ambient results in no observable change in the Auger electron spectra or LEED images, which indicates macroscopically continuous graphene sheets, a conclusion corroborated by micro-Raman spectroscopy at separate regions of the graphene surface. An analysis of the FWHM of the graphene LEED peaks yields an estimated typical domain size of ~ 1800 Ã., roughly comparable to HOPG. Core level photoemission yields a graphite-characteristic asymmetric C(1s) peak at 284.9 (±0.1) eV binding energy, indicating graphene â?' oxide charge transfer, as observed for graphene/SiC and graphene/MgO. A Ï? â?' Ï?* satellite feature is also observed. Spectroscopic ellipsometry measurements also yield the expected Ï? â?' Ï?* absorption feature, blue-shifted with respect to that of graphene/SiC, and consistent with graphene to oxide charge transfer, but indicating electronic behavior similar to that of graphene transferred to SiO2 or grown on SiC. The direct layer-by-layer growth of graphene at moderate temperatures on an oxide substrate strongly suggests that other non-polar (111) transition metal oxide surfaces with similar O-O nearest neighbor distances may act as suitable substrates for graphene growth at moderate temperatures. This has implications for both electronic and spintronic device applications, and for integration with Si CMOS. Acknowledgements This work was partially supported by the Semiconductor Research Corporation, Division of Nanomanufacturing Sciences, Task ID 2123.001. AB and MS acknowledge support from the National Science Foundation through grants DMR-0907475 and MRI DMR-0922937.
DD1: Theory, Modeling and Computation
Session Chairs
Monday AM, April 09, 2012
Moscone West, Level 3, Room 3009
9:30 AM - *DD1.1
De Novo Predictions of Carbon Nanomaterials
William A. Goddard 1 2
1California Institute of Technology Pasadena USA2EEWS-KAIST Daejeon Republic of Korea
Show AbstractAdvances in theoretical and computational chemistry are making it practical to use first principles based predictions to develop the new materials required for meeting society challenges in energy, environment, and health. We will highlight some recent advances in methodology relevant to developing such materials with an emphasis on nanostructures and will illustrate them with recent applications to fuel cells, Li batteries, solar cells, water cleanup, and drug design.
10:00 AM - *DD1.2
Influence of Grain Boundaries on Mechanical and Thermal Properties of Graphene
Vivek Shenoy 1
1Brown University Providence USA
Show AbstractGraphene in its pristine form is one of the strongest materials tested, but defects influence its strength. Using atomistic calculations, we find that, counter to standard reasoning, graphene sheets with large-angle tilt boundaries that have a high density of defects are as strong as the pristine material and, unexpectedly, are much stronger than those with low-angle boundaries having fewer defects. We show that this trend is not explained by continuum fracture models but can be understood by considering the critical bonds in the strained seven-membered carbon rings that lead to failure; the large-angle boundaries are stronger because they are able to better accommodate these strained rings. Our results provide guidelines for designing growth methods to obtain sheets with strengths close to that of pristine graphene. We have also studied the thermal conductance of tilt grain boundaries in graphene using nonequilibrium molecular dynamics simulations. When a constant heat flux is allowed to flow, we observe sharp jumps in temperature at the boundaries, characteristic of interfaces between materials of differing thermal properties. On the basis of the magnitude of these jumps, we have computed the boundary conductance of twin grain boundaries as a function of their misorientation angles.
10:30 AM - *DD1.3
Equilibrium at the Edge: Atomistic Mechanisms behind Graphene Synthesis
Vasilii I Artyukhov 1 Yuanyue Liu 1 Ksenia V Bets 1 Boris I Yakobson 1 2 3
1Rice University Houston USA2Rice University Houston USA3Rice University Houston USA
Show AbstractDespite the advantages of CVD synthesis of graphene in terms of scalability, poor control over the morphology, size, and structure of graphene crystals impedes production of samples with quality suitable for applications in electronics. A comprehensive theoretical understanding of graphene growth is required to overcome this bottleneck. In this talk we outline the theory of graphene synthesis, treating graphene growth as a â?ostep flowâ? process, and complement it with first-principles calculations for successive atomic configurations during growth on a set of substrate metals: Cu, Ni, Co, Fe. We use these data to derive crystallographic-directionâ?"dependent growth rate expressions that determine the kinetically controlled shapes of graphene flakes. Due to a high barrier for new atomic row nucleation, zigzag edges turn out kinetically the least active on all four metals, and invariably dominate the morphology of graphene, which is distinct from the thermodynamically equilibrium shape governed by edge thermodynamics [1]. This finding complements the screw dislocation model of carbon nanotube growth where zigzag edges are similarly found to limit the chirality-dependent growth velocity of nanotubes [2]. Direct stochastic simulations of graphene growth further emphasize the dominant role of kinetics over thermodynamics in graphene growth. Same simulations also elucidate the origins of rough meandering grain boundary shapes observed in polycrystalline graphene, which appear counterintuitive from the thermodynamics standpoint. Our theory further enables us to discern the conditions (degree of nonequilibrium) under which either of the three shape-controlling mechanisms dominates, and to outline the road to achieving good-quality CVD graphene synthesis.
References:
[1] Liu et al. Phys. Rev. Lett. 105 (2010) 235502
[2] Ding et al. Proc. Nat. Acad. Sci. 106 (2009) 2506
11:15 AM - DD1.4
On the Unzipping Mechanisms of Carbon Nanotubes: Insights from Reactive Molecular Dynamics Simulations
Ricardo P dos Santos 1 Pedro A Autreto 2 Eric Perim 2 Gustavo Brunetto 2 Douglas S Galvao 2
1UNESP Rio Claro Brazil2State University of Campinas Campinas-SP Brazil
Show AbstractGraphene has been one of the hottest topics in materials science today. Due to its unique and unusual electronic properties graphene is been considered one of the most promising materials for the basis of a new nanoelectronics. However, in its pristine form graphene is a zero-gap semiconductor. This poses serious limitations to its use in some kind of electronic applications (some kind of transistors). In order to create non-zero graphene-like structures many approaches have been tried, such as, hydrogenation, fluorination and/or other chemical and physical functionalizations, with limited success. It has also been shown that making thin graphene stripes, the so-called graphene nanoribbons (GNRs), it is possible to create non-zero structures with some control of the process. But large scale and controlled GNR synthesis has been proved to be very difficult. Another possibility of producing GNR in a more controllable way is through cutting (unzipping) carbon nanotubes (CNTs). This has been achieved with different chemical [1] and physical [2] approaches. However, in spite of many experimental and theoretical studies on this problem, some important aspects remain to be fully understood. In this work we investigated the process of CNT fracture (unzipping) through molecular dynamics simulations using reactive force fields (ReaxFF), as implemented in the LAMMPS code. We considered multi-walled CNTs of different dimensions and chiralities and under mechanical stretching. Our results show that the unzipping mechanisms are highly dependent on CNT chirality. Well defined and distinct fracture patterns were observed for different chiralities. Zig-zag CNTs favor the creation of GNRs with well defined armchair edges, while armchair and chiral CNTs produde GNRs with less defined (defective) edges. The reasons why almost perfect linear CNT cuts are so frequently observed are also addressed. [1] D. V. Kosynkin et al., Nature v458, 872 (2009). [2] L. Jiao et al, Nature v458, 877 (2009).
11:30 AM - DD1.5
Electronic Structures of Geometrically Restricted Nanocarbons
Artem Baskin 1 Petr Kral 1
1University of Illinois at Chicago Chicago USA
Show AbstractWe use large scale ab-initio calculations to explore the electronic structures of graphene, graphene nanoribbons, and carbon nanotubes periodically perforated with nanopores. We disclose common features in electronic structures of these porous nanocarbons (PNCs) with nanopores of different size, shapes, and localization. We develop a unified picture that permits to analytically predict and systematically characterize metal-semiconductor transitions in PNCs, allowing mapping of their electronic structures on those in pristine nanocarbons [1]. In contrast to other studies, we show that porous graphene can be metallic for certain arrangements of the pores. When we replace pores by defects (such as SW 55-77), we observe similar features in the electronic structures of the formed nanocarbons. We also study magnetic ordering in these nanocarbons and show that the position of pores/defects can influence the ordering of localized electronic spin states. These periodically modified nanocarbons with highly tunable band structures have great potential applications in electronics and optics. [1] A.I. Baskin and P. Král, Sci. Rep.1, 36 (2011).
11:45 AM - DD1.6
Redesign of Carbon Materials for Novel Storage, Mechanical and Optical Properties
Stefano Leoni 1 Igor Baburin 1 Daniele Selli 1
1Dresden University of Technology Dresden Germany
Show AbstractCarbon remains a most versatile material. In the era of energy efficiency and reversible storage, carbon has the potential of providing clean and very effective solutions within an upcoming energetic economy. The polymorphism of carbon has been the object of repeated surprise over the years. Novel forms, form extended (graphed) to finite (nanotubes and fullerenes) have appeared, with outstanding properties. In this paper, we revisit the polymorphism of carbon along two directions. First [1], we discover novel polymorphs in the vicinity of graphite, with outstanding optical and mechanical properties, suitable for applications. Using numerical methods and graph theoretical tools, we find as many as 4 novel superhard and transparent polymorphs, with great technological potential. Second [2], scaling up a model of rod packing to carbon nanotube scaffoldings, we discover that complex assemblies of CNTs are outstanding adsorber of hydrogen, capable of reaching the DOE threshold. Along this line, we highlight novel paradigms [3] for revisiting carbon, in view of remarkable properties and superior properties. [1] S. Leoni et al. Phys. Rev. B 84, 161411 (2011)] [2] S. Leoni et al. Adv. Mat. 23, 1237 (2011). [3] S. Leoni et al., submitted.
12:00 PM - DD1.7
In-situ Probing of Alloy Catalysts for Low-temperature Graphene Growth
Robert Stewart Weatherup 1 Bernhard C Bayer 1 Piran R Kidambi 1 Raoul Blume 2 Caterina Ducati 3 Carsten Baehtz 4 Robert Schloegl 5 Stephan Hofmann 1
1University of Cambridge Cambridge United Kingdom2Helmholtz-Zentrum Berlin fuuml;r Materialien und Energie Berlin Germany3University of Cambridge Cambridge United Kingdom4Helmholtz-Zentrum Dresden-Rossendorf Dresden Germany5Fritz Haber Institute Berlin Germany
Show AbstractCatalytic chemical vapour deposition (CVD) on transition metal templates offers a low-cost method of producing graphene over large areas, but with a limited understanding of the underlying growth mechanism(s) growth control remains rudimentary. Catalyst choice is typically guided by a low bulk C solubility and commonly selected catalysts, e.g. Cu, require excessive CVD temperatures of the order of 1000°C, where considerable metal sublimation occurs. For lower growth temperatures, the degree of graphitization and the average graphene domain size are reported to decrease. We introduce alloy catalysts as a new technique for improving graphene growth by tuning reactivity and selectivity. We show that alloying polycrystalline Ni with Au allows low temperature (<450°C) CVD of predominantly monolayer (>74%) graphene films with an average D/G peak ratio of ~0.24 and domain sizes in excess of 220μm2 [1]. We suggest that Au decorates a majority of high reactivity Ni surface sites, such as step edges, and lowers the stability of surface C. The Au alloying thereby drastically lowers the graphene nucleation density, allowing more uniform and controlled growth at CMOS compatible temperatures. In situ, time-, and depth- resolved X-ray photoelectron spectroscopy (XPS) and in-situ X-ray diffraction (XRD) allow a detailed record of transient C/metal core level signatures prior to and during low temperature graphene formation. This combination alongside extensive ex-situ calibrations enables a coherent model for graphene formation to be devised and shows that graphene growth occurs during isothermal hydrocarbon exposure and is not limited to a precipitation process upon cooling, as previously suggested. [1] Weatherup et al. Nano Lett. 11, 4154 (2011)
12:15 PM - DD1.8
Potassium Influence on the Hydrogen Adsorption in Graphene
Jorge Alejandro Tapia 1 Cesar Cab 1 Gabriel Canto 2
1Universidad Autoacute;noma de Yucataacute;n Meacute;rida Mexico2Universidad Autoacute;noma de Campeche Campeche Mexico
Show AbstractThe influence of potassium (K) on the hydrogen (H) adsorption on graphene (G) was studied by means of density functional theory with the generalized gradient approximation. The structural parameters, bonding and magnetic properties of one and two H atoms interacting with potassium doped graphene (Hâ?"K/G and 2Hâ?"K/G) are calculated for different energetically stable configurations. We found a charge transfer from K atom towards G even when the H atom pairs are adsorbed. This behavior is obtained for the configurations studied here. The binding energy per H atom is greater in the most stable 2Hâ?"K/G arrangement than in both Hâ?"K/G and H/G systems. The present results suggest that the hydrogen atom binding energy on G layer could increase due to the pre-adsorption of K atoms.
12:30 PM - *DD1.9
Functional Nanocomposite Architectures Tailored from B- or N-Doped Carbon Nanomaterials
Sang Ouk Kim 1
1KAIST Daejeon Republic of Korea
Show AbstractThe ultimate utilization of carbon nanomaterials in the energy and environment related fields requires the subtle tuning of the electrical properties of carbon nanomaterials as well as their tailored assembly into desired morphology. This presentation will review our recent research achievements associated to the two major issues of i) tailored nanoscale assembly of carbon nanomaterials into desired nanopatterned morphology and ii) fabrication of functional nanocomposites from B- or N-doped carbon nanomaterials [Adv. Funct. Mater. 21, 1338, 2011]. Carbon nanotubes and graphene were assembled into macroporous films, hollow spherical capsules, or hollow nanotubes, via directed assembly from solvent dispersion [Angew. Chem. Int. Ed. 49, 10084, 2010&Angew. Chem. Int. Ed. 50, 3043, 2011]. Directed growth of carbon nanomaterials from nanopatterned catalyst array enabled the precise control of morphology and properties of carbon nanomaterials as well as their ideal three-dimensional assembly [Nano Lett. 9, 1427, 2009&Adv. Mater. 22, 1247, 2010]. Substitutional doping with B or N element could finely tune the workfunction and surface energy of carbon nanomaterials [Phy. Rev. Lett. 106, 175502, 2011&Nat. Commun. inpress]. Biomimetic mineral deposition could be readily nucleated from the N-doped carbon nanomaterial surfaces for facile fabrication of functional carbon/mineral core/shell nanocomposites [ChemComm 47, 535, 2011]. Workfunction tunable B- or N-doped carbon nanomaterials were effectively utilized as flexible electrodes or carrier transport materials for organic light-emitting diodes or organic photovoltaics [Adv. Mater. 23, 629, 2011&ACS Nano, revised].
Symposium Organizers
Cengiz S. Ozkan, University of California, Riverside
Kang Wang, University of California, Los Angeles
Markus J. Buehler, Massachusetts Institute of Technology
Nicola Pugno, Politecnico di Torino
DD6: Analytical Characterization
Session Chairs
Tuesday PM, April 10, 2012
Moscone West, Level 3, Room 3009
2:30 AM - *DD6.1
Raman Spectroscopy of Double and Triple Layer Graphene
Riichiro Saito 1
1Tohoku University Sendai Japan
Show AbstractIn this talk we will discuss the characterization of graphene by Raman spectroscopy, especially for (1) the edge of graphene and (2) stacking order of double and triple layer graphene. In the case of graphene, there are two symmetric edge structures, that is, armchair and zigzag edges. In order to characterize the armchair and zigzag edges, we propose four different kinds of methods by Raman spectroscopy; (1) Polarization dependence of Raman G (and D) band, (2) D band intensity, (3) edge localized phonon modes and (4) G band frequencies. In the double layer graphene, the stacking order is AB Bernal stacking order or incommensurate stacking order, while in the triple layer graphene, the stacking order is ABA Bernal or ABC rhombohedral stacking order. Because of the weak inter-layer interaction for phonon, the phonon frequencies are almost the same for the two stacking order and thus first order Raman spectra do not distinguish the stacking order. However, using the double resonance theory, we can distinguish the stacking order by looking at the weak intermediate frequency modes (M band or LOZO phonon modes) from 1700-2000cm-1. The reason why we can distinguish the stacking order is that the electronic structures of ABA and ABC are different from each other which give a different double resonance q vectors of phonon. The characterization by the intermediate frequency modes can be confirmed by changing the laser excitation energy.
3:00 AM - DD6.2
In situ Low-energy Electron Microscopy Studies of Graphene Growth on Pd(111)
Hoi Sing Mok 1 Yuya Murata 1 Shu Nie 2 Norman Bartelt 2 Kevin F McCarty 2 Suneel Kodambaka 1
1University of California Los Angeles Los Angeles USA2Sandia National Laboratories Livermore USA
Show AbstractSingle-crystalline and oriented graphene layers with uniform thickness are desirable for many electronic and optoelectronic applications. Moreover, characteristics of the graphene-based devices largely depend on the resistance between graphene and the metal contacts. Therefore, large-scale fabrication of high-performance graphene devices with high reliability requires a fundamental understanding of the mechanisms controlling the nucleation and growth of graphene domains and the influence of rotational disorder on electronic structure of graphene-metal interfaces. We chose Pd, one of the commonly used contact materials recently shown to exhibit low contact resistance with graphene, as the model metal and investigated the growth of graphene via surface segregation of carbon dissolved in the bulk of Pd(111). Using in situ low-energy microscopy and diffraction (LEEM and LEED), we followed the growth of graphene layers during cooling. We obtained monolayer graphene by cooling the substrate from 920 C to 880 C and multilayer graphene at temperatures below710 C. During the growth at each temperature, we acquired LEEM images as a function of incident electron energy. From the images, we determined the electron energy dependent variations in LEEM image intensities (electron reflectivities) that occur as a result of changes in local surface work functions. Based upon our experiments, we find that the first layer grows from a dense "sea" of C adatoms. Subsequent layers grow under the first layer with little or no relationship between the orientations of the graphene layers. Multilayer graphene grows in the form of mounds whose individual layers are strongly facetted. The observation that new layers nucleate long before prior layers are completed suggests that an instability exists in the growth process. The areal growth rates of graphene domains are found to depend on the domain orientation and shape.
3:15 AM - DD6.3
Atomic-resolution Characterization of Graphene-based Nanoporous Carbon
Junjie Guo 1 2 Cristian I Contescu 1 Nidia C Gallego 1 James R Morris 1 2 Gerd Duscher 1 2 Stephen J Pennycook 1 Matthew F Chisholm 1
1Oak Ridge National Laboratory Oak Ridge USA2The University of Tennessee Knoxville USA
Show AbstractNanoporous carbons can be prepared by pyrolysis of a wide variety of materials, ranging from synthetic polymers to wood. Recently, they have sparked enormous scientific interest due to their remarkable surface area and abundant nanopores, which are attractive features for applications ranging from hydrogen storage to adsorptive separations. [1, 2] However, the structure assessment and modeling of these nanoporous carbons have been limited due to a lack of information on their atomic scale features. In this work, two forms of carbons with very different origins have been characterized by aberration-corrected scanning transmission electron microscopy (STEM) operating at a low accelerating voltage of 60 kV [3]. Atomic-resolution images reveal that both the wood-based ultramicroporous carbon (UMC) and polyfurfuryl alcohol derived carbon (PFAC) are comprised of curved, defective graphene sheets. These defects give rise to localized rippling of the graphene building blocks, leading to the formation of irregularly shaped pores in these high surface area carbons. Electron energy-loss spectroscopy (EELS) results from both materials do not change appreciably with thickness indicating that these nanoporous carbons are homogeneous and constructed from the samesp2 bonded carbon building block. We demonstrate how these architecture elements determine the extent of surface area and porosity in these materials. This work was sponsored by the Material Sciences and Engineering Division of the Office of Basic Energy Sciences, US Department of Energy. [1]V. V. Bhat, C. I. Contescu, N. C. Gallego, et. al, Carbon, 4 8 (2010) 1331. [2]C. L. Burket, R.Rajagopalan, A. P. Marencic,et. al, Carbon 44 (2006) 2957. [3]O.L. Krivanek, M. F. Chisholm, V.Nicolosi, et. al, Nature, 464 (2010) 571.
3:30 AM - DD6.4
Atomic Bonding Configurations of Boron and Nitrogen Dopants in Graphene
Matthew Chisholm 1 Oscar D Restrepo 2 Wolfgang Windl 2 Gerd Duscher 3 1 Tissaphern Mirfakhrai 4 Hongjie Dai 4
1Oak Ridge National Laboratory Oak Ridge USA2The Ohio State University Columbus USA3University of Tennessee Knoxville USA4Stanford University Stanford USA
Show AbstractEffective methods to chemically dope graphene will be required for any device application. The atomic bonding configuration of the dopant will determine the resulting electronic or magnetic properties of the system. In this investigation we have used an aberration-corrected scanning transmission electron microscope (STEM) to provide atom-by-atom identification in single-layer BN-doped graphene. Several different B and N bonding configurations were found including individual B and N atoms and B bonded to one, two and three N atoms. Using the observed dopant configurations, we have performed density-functional theory calculations to determine their binding energies, electronic densities of state and Bader charges. This detailed picture of the atomic configurations of dopants and their resulting electronic structures allows one to begin to controllably tailor the properties of graphene. This research was sponsored in part by the Materials Sciences and Engineering Division of the Office of Basic Energy Sciences, US Department of Energy (MFC, GD), and by NSF Award number DMR-0925529 and the Center for Emergent Materials at The Ohio State University, an NSF MRSEC (ODR, WW). Work at Stanford University was supported by MARCO MSD, ONR, graphene MURI and Intel.
3:45 AM - *DD6.5
Exploring the Synthesis and Applications of Graphene
Sergey Dubin 1 Maher El-Kady 1 Veronica Strong 1 Jaime Torres 1 Jonathan Wassei 1 Richard Kaner 1 2
1UCLA Los Angeles USA2UCLA Los Angeles USA
Show AbstractGraphene â?" a monolayer of carbon atoms arranged in a honeycomb network â?" has attracted significant attention owing to its outstanding electronic, optical, thermal and mechanical properties. Here, we explore the synthesis of graphene and its derivatives using different approaches ranging from chemical conversion to vapor-phase deposition. The promising properties together with the ease of processing and functionalization make graphene-based materials attractive for various applications ranging from sensors to transparent conducting electrodes. Furthermore, we introduce a facile, inexpensive, solid-state method for generating, patterning and electronic tuning of laser converted graphene (LCG). Circuits and complex designs are directly patterned onto various flexible substrates without masks, templates, post-processing, transferring techniques or metal catalysts. This simple technology may help in the realization of inexpensive all-carbon flexible electronic devices. A prototype NO2 gas sensor is demonstrated. Recently, graphene-based materials have proven to be promising for electrochemical energy storage. We will discuss the fabrication of inexpensive electrochemical energy storage devices that combine the energy density of batteries with the power performance of capacitors in a single device. This represents a significant advance in energy storage technology and may lead to a new generation of inexpensive energy storage devices. Additionally, the devices can maintain their excellent electrochemical attributes under high mechanical stress. Since this remarkable performance has yet to be realized in commercial devices, these supercapacitors may be ideal for flexible portable electronics.
4:30 AM - DD6.6
Atomic Scale Structure-property Correlation in Monolayer Graphene
Wu Zhou 2 1 Jaekwang Lee 2 1 Micah P Prange 2 1 Mark P Oxley 2 1 Jagjit Nanda 1 Sokrates T Pantelides 2 1 Stephen J Pennycook 1 2 Juan-Carlos Idrobo 1 2
1Oak Ridge National Laboratory Oak Ridge USA2Vanderbilt University Nashville USA
Show AbstractThe exceptional physical properties of graphene are promising for applications in high-frequency electronics, optoelectronics, nanoplasmonics, and biosensors [1]. However, the presence of structural defects is expected to have significant influence on local properties [2]. We investigate the link between atomic structure, bonding, electronic and optical properties of structural defects with aberration-corrected scanning transmission electron microscopy (STEM) combined with first-principles calculations. We observe experimentally that a single point defect complex acts as an atomic-scale antenna in the petaHertz (10^15 Hz) frequency range, inducing a localized surface plasmon resonance at the sub-nanometer scale [3]. Calculations reveal that the observed defect configurations are energetically highly stable. In addition we observe a new one-dimensional plasmon mode at the open edge of monolayer graphene with a spatial extent of ~ 0.6 nm [4]. Our results suggest new possibilities for designing nanoscale optoelectronic and plasmonic devices based on monolayer graphene. References: [1] A. K. Geim, Science 234, 1530 (2009). [2] D. S. L. Abergel et al. Advances in Physics 59, 261(2010). [3] W. Zhou et al. submitted (2011). [4] W. Zhou et al. submitted (2011). This research was supported by NSF grant No. DMR-0938330 (WZ, J-CI), DOE grant DE- F002-09ER46554 (MPP, MPO, STP), by the Shared Research Equipment (SHaRE) User Facility, Oak Ridge National Laboratory, which is sponsored by the Scientific User Facilities Division, Office of Basic Energy Sciences (J-CI), and by the Office of Basic Energy Sciences, Materials Sciences and Engineering Division, U.S. Department of Energy (SJP, JL).
4:45 AM - DD6.7
Tip Enhanced Raman Spectroscopy of Graphene on Silicon/Silicon Oxide Substrates
Hesham Taha 1 Rimma Dekhter 1 Yossi Bar-David 1 Galina Fish 1 Aaron Lewis 2
1Nanonics Imaging Ltd. Jerusalem Israel2Hebrew University of Jerusalem Jerusalem Israel
Show AbstractWe demonstrate significant selective tip enhanced Raman spectroscopy (TERS) of graphene single and multiple layers on insulating silicon oxide substrates. A unique TERS probe with a gold nanoparticle embedded in a glass surrounding gives high dielectric contrast with a defined plasmonic resonance and without Raman background. Significant enhancement of the second-order phonon mode band of graphene flakes is observed. Such a probe acts under normal force sensing mode for mapping of fine structures such as graphene single layers, provides a complete free optical axis for top viewing of opaque samples and has considerable enhancement that does not require a conductive substrate for Raman enhancement through what has been defined as a gap mode. Specialized AFM scanning protocols of Raman difference spectroscopy have been implemented for TERS effectively discriminating between the near-field and far-field contribution of the scattered Raman signals. Such Raman difference protocols provide a selective enhancement of graphene single layer in-plane vibrational modes with lower effect of subsequent grapheme layers. Furthermore, such scanning protocols allow for maximizing Z polarization excitation even when using conventional Raman excitation with a Gaussian focused laser beam.
5:00 AM - DD6.8
Imaging and Simulations of Atomic-scale Ripples in Graphene Membrane
Wei L Wang 1 Sagas Handcars 1 Wei Yi 1 David Bell 1 Robert Westervelt 1 Efthimios Kaxiras 1
1Harvard University Belmont USA
Show AbstractUltra-thin membranes such as graphene[1] are of great importance for basic science and technology applications. Graphene sets the ultimate limit of thinness, demonstrating that a free-standing single atomic layer not only exists but can be extremely stable and strong [2â?"4]. However, both theory [5, 6] and experiments [3, 7] suggest that the existence of graphene relies on intrinsic ripples that suppress the long-wavelength thermal fluctuations which otherwise spontaniously destory long range order in a two dimensional system. In experiment, the evidence of the ripples in graphene has been observed as smeared diffraction spots in reciprocal space [3, 7]. Here we show direct imaging of the ripples in graphene membrane resolved at the atomic-scale using monochromatic aberration-corrected transmission electron microscopy (TEM). We compare the images observed in TEM with simulated images based on an accurate first-principles total potential. We show that the the atomic scale ripples have a direct effect on the high resolution TEM contrast. [1] Geim, A. K.&Novoselov, K. S. Nat. Mater. 6, 183-191, (2007). [2] Novoselov, K. S.et al. Science 306, 666-669, (2004). [3] Meyer, J. C. et al. Nature 446, 60-63, (2007). [4] Lee, C., Wei, X. D., Kysar, J. W.&Hone, J. Science 321, 385-388, (2008). [5] Nelson, D. R.&Peliti, L. J Phys-Paris 48, 1085-1092, (1987). [6] Fasolino, A., Los, J. H.&Katsnelson, M. I. Nat. Mater. 6, 858-861, (2007). [7] Meyer, J. C. et al. Solid State Commun. 143, 101-109, (2007).
5:15 AM - DD6.9
Origins of the Frictional Characteristics of Fluorinated Graphene
Robert W Carpick 1 Qunyang Li 1 Xin Liu 1 Jeremy T Robison 2 Paul E Sheehan 2
1University of Pennsylvania Philadelphia USA2Naval Research Laboratory Washington USA
Show Abstract
The functionalization of graphene has emerged as a powerful approach for tailoring its structural, electronic, chemical, and mechanical properties. Nevertheless, there has been little study on the tribological properties (friction, adhesion, wear) of chemically modified graphene. Such properties are important for any application involving contacting or sliding interfaces of graphene, such as nanomechanical devices. In this work, atomic force microscopy (AFM) was employed to probe the frictional characteristics of fluorinated graphene (FGr) formed by exposing graphene to XeF2 gas. Although bulk fluorinated graphite has a very low surface energy, experiments showed that friction between AFM tips and monolayer FGr formed on SiO2 substrates is very high (9 times than for graphene). The ability to resolve an ordered lattice in atomic stick-slip friction measurements depends on the degree of fluorination. This suggests that the high friction is caused by atomic-scale roughening, or buckling, associated with fluorination. Interestingly, friction of few-layer FGr also depends on the layer number, with thicker samples having lower frictional resistance. Quantitative AFM friction and Raman microscopy measurements suggest thickness dependences in the fluorination process, likely associated with differences in atomic-scale wrinkling. Single-layer graphene is intrinsically more wrinkled than bi- or tri-layer graphene, and is associated with more complete fluorination after exposure to XeF2. Similar wrinkle-dependent fluorination can also explain the spatially-dependent friction contrast observed on FGr samples grown on Cu substrates. These results provide the first insights into the atomic-scale effects of functionalization on graphene, and suggest potential approaches to sensitively probe the local chemistry and structure of functionalized graphene.
5:30 AM - DD6.10
Atomic-scale Structure Characterization of Carbon Nanomaterials by Total X-Ray Diffraction and Computer Simulations
Valeri Petkov 1
1Central Michigan University Mount Pleasant USA
Show AbstractCarbon structured at the nanoscale as sheets, flakes, tubes, fullerenes, nanoporous powders and others finds numerous applications in electronics, catalysis, energy conversion and storage. To understand and fine tune the performance of such carbons for different applications a detailed knowledge about the atomic-scale structure is needed. In the talk we will demonstrated how high-energy x-ray diffraction and atomic pair distribution functions analysis (1) coupled to reverse Monte Carlo simulations can be successfully applied to achieve this task. The talk will be illustrated with examples from previous (2, 3) and very recent studies (4) performed at the Advanced Photon Source at the Argonne National Laboratory. References: 1. V. Petkov, "Nanostructure by high-energy XRD", Materials Today 11 (2008) 28. 2. V. Petkov et al â?oLocal structure of nanoporous carbonâ?, Phil Mag. B 79 (1999) 1519. 3. V. Petkov et al. â?oLi insertion in ball-milled graphitic carbons by total x-ray diffractionâ?, J. Phys.: Condensed Matter 23 (2011) 435003. 4. V. Petkov et al, â?oStructure signatures of carbon nanoflakes, sheets, fullerenes, tubes and nanoporous powders by high-energy XRD and reverse Monte Carlo simulationsâ?, work in progress.
5:45 AM - DD6.11
TEM Imaging of Stacking Order and Twist Angle in Bilayer CVD Graphene
Lola Brown 1 Robert Hovden 2 Pinshane Huang 2 Michal Wojcik 1 David A Muller 2 Jiwoong Park 1
1Cornell University Ithaca USA2Cornell University Ithaca USA
Show AbstractDue to its tunable band gap, bilayer graphene (BLG) is a promising material for nano-electronic and optoelectronic devices, such as high speed photodiodes and ultra-sensitive molecular detectors. The mechanical and electronic properties of BLG are highly dependent on the stacking order of the layers, and it is predicted that only Bernal stacked BLG allows for band-gap opening [1]. However, this stacking order is not easily identifiable though standard imaging techniques such as optical or scanning electron microscopy. It is therefore necessary to develop tools to precisely characterize BLG morphology. We utilize a unique combination of transmission electron microscopy (TEM) techniques for fast and efficient large area mapping of BLG structures. We use selected area electron diffraction (SAED) to identify layer rotation angle and stacking arrangement of BLG, which we combine with dark-field TEM [2] to create morphology maps of chemical-vapor-deposition (CVD) BLG. In particular, we find that by using specific dark-field settings we can easily locate and characterize Bernal stacked BLG in the dark field image. Surprisingly, we observe a high occurrence of BA-BC twinning defects in Bernal stacked BLG as confirmed by SAED tilt analysis. In contrast with Bernal stacked bilayer, which is strongly coupled, rotated bilayer shows only weak mechanical interlayer coupling. This is apparent when two layers of the same orientation are separated by a rotated graphene layer â?" the two separated layers form a strain-induced Moiré pattern, suggesting weak interlayer interaction. All these findings can be explained using a unifying model of angle dependant interlayer interaction potential. This interlayer interaction model also predicts the energetic preference of Bernal stacked BLG, which is consistent with our experiment. We analyze the angle dispersion of BLG, which shows that in our large-grain growths [3] Bernal stacking occurs in close to 50% of bilayer regions, while there is a depletion of low rotation angle BLG. Utilizing this technique can lead not only to controllable bilayer fabrication, but it can also be employed for comprehensive characterization of any two dimensional crystal. References: [1] J. M. B. Santos, N. M. R. Peres, and A. H. Castro Neto, PRL, 2007, 99, 256802. [2] P. Y. Huang et al., Nature, 2011, 469, 389. [3] Li et al., JACS, 2011, 133, 2816.
DD4: Mechanical Properties
Session Chairs
Tuesday AM, April 10, 2012
Moscone West, Level 3, Room 3009
9:00 AM - *DD4.1
Deformation Mechanism in Vertically-aligned Carbon Nanotube Forests (VACNT) as Revealed by In-situ Uniaxial Compression Experiments and Modeling
Julia Rosolovsky Greer 1
1CALTECH Pasadena USA
Show AbstractVertically aligned carbon nanotube (VACNT) foams serve as integral components in a variety of applications including MEMS devices, energy absorbing materials, light absorbing coatings, and electron emitters, all of which require their structural robustness to function properly. Knowledge of their mechanical properties and constitutive stress-strain relationships is essential for optimal implementation of these materials into functional devices. We report distinct mechanical responses of micron-sized cylindrical bundles containing these complex hierarchical materials, synthesized via different methodologies, as revealed via in-situ nano-compression experiments. Although all samples deform via a series of localized buckles, accompanied by a foam-like stress-strain relation with 3 distinct regimes: (1) elastic, (2) plateau with undulations corresponding to initiation of sequential folding events, and (3) densification, these occur at different stresses and exhibit varying amounts of recovery when unloaded. Microstructural observations indicate that it is the density variation that likely plays a governing role in the sequential deformation process and recovery. We explore the interplay of characteristic parameters, through a 2-dimensional, local material model combined with mechanical finite element simulations. The model is based on a viscoplastic Mises solid with the constitutive stress-strain relation containing an initial peak, followed by strong softening and successive hardening. Energetics-based analysis establishing physical foundation for this constitutive relation is carried out in the framework of inter-tubular friction. Through this combination of experimental and modeling approaches, we discuss the intriguing hierarchical mechanisms governing highly localized and sequential deformation behavior of VACNT bundles.
9:30 AM - DD4.2
The Effect of Substrate on High Quality Chemical Vapor Deposited Graphene
Will Gannett 1 2 William Regan 1 2 Kenji Watanabe 3 Takashi Taniguchi 3 Michael Crommie 1 2 Alex Zettl 1 2
1UC Berkeley Berkeley USA2Lawrence Berkeley National Lab Berkeley USA3National Institute for Materials Science Tsukuba Japan
Show Abstract
Chemical vapor deposition (CVD) of graphene has proven to be the most inexpensive and scalable synthesis technique for continuous graphene monolayers. However, graphene grown by CVD has a lower mobility than that from exfoliation. This is likely due to a combination of intrinsic (defect and grain boundary) and extrinsic (substrate and contamination) effects.
By fabricating CVD graphene transistors on hexagonal boron nitride (h-BN) substrates, which are particularly flat and chemically inert, we are able to reduce the extrinsic substrate interactions that otherwise occur with silicon dioxide layers. This greatly improves the mobility and mean free path we measure in our devices (up to 29000 cm2/Vs, better than most exfoliated graphene). While such improvements from h-BN have been previously observed in exfoliated graphene devices,â? its success with CVD graphene is particularly notable because it shows that the low mobilities observed in CVD graphene are not from intrinsic effects, and that current synthesis techniques are more than sufficient to consistently produce graphene with >10000 cm2/Vs.
This research was supported in part by the U.S. Department of Energy, the National Science Foundation, and the Office of Naval Research.
â? C. R. Dean, A. F. Young, I. Meric, C. Lee, L. Wang, S. Sorgenfrei, K. Watanabe, T. Taniguchi, P. Kim, K. L. Shepard, and J. Hone, Nature Nanotechnology 5, 722 (2010).
9:45 AM - DD4.3
Dependence of Graphene Grain Sizes and Orientations on CVD Growth Conditions
Ayaka Yamada 1 Kenjiro Hayashi 1 Katsunori Yagi 1 Naoki Harada 1 Shintaro Sato 1 Naoki Yokoyama 1
1National Institute of Advanced Industrial Science and Technology (AIST) Tsukuba Japan
Show Abstract
Grain sizes and orientations of graphene grown on a Cu/SiO2/Si wafer by chemical vapor deposition (CVD) were studied. Transmission electron microscopy and selected area electron diffraction (TEM-SAED) analyses revealed that grain sizes changed with the partial pressure of the source gas; however, the results also suggest that the grain sizes were affected by the underlying Cu morphology. Moreover, rotationally-aligned graphene grains were obtained under a specific growth condition, while rotational grains were often observed under the other conditions, which also seemed to be affected by the surface morphology of Cu. Graphene was grown on a 500-nm or 1000-nm thick Cu film deposited on a SiO2/Si wafer using C2H4 diluted by Ar and H2 as the source gas. The total pressure was kept at 1kPa in all the cases and the partial pressure of the source gas (ps) ranged from 0.078Pa to 4.67Pa. The product of the partial pressure and growth time (tg) was controlled to be constant. The growth temperature was ~860 °C. We observed that the grain sizes increased as the partial pressure decreased, but saturated eventually. Rotationally-aligned graphene grains were obtained at ps of 0.59 Pa and tg of 4 min, while rotational grains were observed at a higher or lower ps. At ps of 0.078 Pa, the rotational grains seem to have been caused by non-uniformity of the Cu surface arising from evaporation of Cu during the long-time (60 min) growth. We also attempted graphene growth using CH4 as the source gas and investigated the source gas dependence. Furthermore, we fabricated transistors using CVD graphene as a channel and studied correlations between their electrical properties and grain structures. This work was supported by JSP through the â?oFIRST Program,â? initiated by CSTP, Japan. This work was partly conducted at the Nano-Processing Facility supported by ICAN, AIST, Japan.
10:00 AM - DD4.4
Multiscale Modelling of Elastic Response of Carbon Nanotube Forests to Aerodynamic Stresses
Ilenia Battiato 1 Daniel M Tartakovsky 2 Prabhakar R Bandaru 2
1Clemson University Clemson USA2University of California San Diego USA
Show AbstractThe ability to determine static and (hydro)dynamic properties of carbon nanotubes (CNTs) is crucial for many applications. While their static properties (e.g., solubility and wettability) are fairly well understood, their mechanical responses (e.g., deflection under shear) to ambient fluid flow are to a large extent unknown. We analyze experimentally and theoretically the elastic response of single-walled CNT forests, attached to the bottom wall of a channel, to the aerodynamic loading exerted by both laminar and turbulent flows. In our experimental setup, CNT forests are placed at the center of a quartz tube with an inner diameter of 6.2 mm at the front edge of a substrate. The samples are then exposed to fluid (air and argon) at various pressures. A linearly polarized He-Ne laser (λ=633nm) is used to illuminate the CNT forests, and the transmitted light intensity is monitored as a function of fluid flow. As the axis of the polarizer is initially oriented parallel to the laser polarization direction, the deflections of the CNT ensembles (initially oriented parallel to the polarized laser beam) are translated into a change of the light intensity and sampled by a photo-detector or a CCD camera. Multiple measurements and averaging of the obtained deflections lower the error in the recorded deflections. We then develop a multiscale theoretical model to predict the elastic response of CNT forests (i.e. tips' deflection) to ambient laminar and turbulent fluid flows. To the best of our knowledge, we are the first to go beyond phenomenological approaches, which fit data from physical experiments and/or molecular dynamics simulations to the Stokes solution for flow past a single infinite cylinder. Unlike these and other similar analyses, our model accounts for the effects of both crowding in CNT forests and their finite height. Treating CNT forests as porous media yields closed-form expressions for the drag force exerted on individual CNTs, the corresponding drag coefficient, and the bending profile of individual CNTs. The predicted drag coefficient is C=MU/(Re*sqrt{1-Ï?}) where M, U, Ï? and Re are a viscosity ratio, a dimensionless interfacial velocity between the CNT forest and the pure fluid, CNT forestâ?Ts porosity, and Reynolds number, respectively. This is the first rigorously derived expression for the drag coefficient, which takes into account the compound effects of both crowding in CNT forests and their finite height. The deflection of their tips is finally used to estimate the flexural rigidity of CNTs. We demonstrated good agreement between our model predictions and experimental data with an average flexural rigidity of 2.68*10^(-22) Nm^2 among all samples (corresponding to Young modulus of 0.034 TPa). The model predictions agree with laboratory experiments for a large range of channel velocities (from 5 to 45 m/s).
10:15 AM - DD4.5
Uncovering Chaotic Behavior in Super-GHz Nano-Oscillators Based on Carbon Nanotubes
Prathamesh Mahesh Shenai 1 Yang Zhao 1
1Nanyang Technological University Singapore Singapore
Show Abstract
Double walled carbon nanotubes as super-GHz linear oscillators exhibit attractive propsects in realizing nanoscale machines. Comprehensive molecular dynamics (MD) studies over the past decade have led to the discoveries of novel features of such machines. However, due to inherent computational and statistical nature of MD simulations, it becomes extremely important to establish the reliability of predictions. We have thus studied the chaotic behavior in carbon nanotubes based systems via MD simulations. As a measure of chaoticity, we have calculated the maximal Lyapunov exponent (λmax), which characterizes the exponential rate of growth in the separation of two trajectories in phase space, with infinitesimally different initial conditions. A positive λmax is an indicative of chaotic nature of trajectory. A (5,0)/(14,0) double walled carbon nanotube system with 3.5 nm long capped inner tube and 5.5 nm long outer tube is subjected to MD simulations in microcanonical ensemble at different pre-simulation temperatures. By ascribing a random perturbation of the order of 10-12 nm on the coordinates of each atom from a reference structure, a perturbed configuration is obtained. The resulting initial separation (d(t=0)) between the two configurations is ~ 10-11 nm. Time evolution of d(t) is then used to calculate λmax. We follow the procedure of periodic renormalization of d(t) to d(0) for M steps to evaluate λmax. At 450K, we have found λmax =0.62ps-1, clearly indicating the presence of chaos. The accuracy of the value of λmax is thoroughly verified under different parameters such as d(0) and renormalization time. The inverse of λmax called as Lyapunov time gives a measure of timescale on which dynamics remains predictable. For 450 K system temperature, the obtained Lyapunov time for the DWNT is ~ 1.6 ps. We then calculate λmax at various temperatures from 50K to 500K, which is found to be positive in each case. We find that λmax follows a roughly linear relation with the system temperature. The most interesting dynamics lies in the oscillator configuration where the inner tube is initially extruded to some distance. We calculate λmax for the nano-oscillator system in a similar manner and find that as the oscillation decays, λmax shows a corresponding increase due to increased temperature. We find that frictional dissipation or the possible presence of different modes as compared to a simple microcanonical ensemble have little effect on the value of λmax and it is primarily governed by the temperature. In this work, we thus present the results of quantification of chaoticity in DWNT based oscillators along with its temperature dependence, for the first time. The results obtained are expected to bear importance in understanding the nano-oscillator dynamics with MD simulations.
10:30 AM - DD4.6
Wide-range Mechanical Tunability of Graphene-based Materials
Jeremy T Robinson 1 Maxim Zalalutdniov 1 Chad Junkermeier 1 Jim Culbertson 1 Thomas Reinecke 1 Rory Stine 1 Paul Sheehan 1 Brian Houston 1 Eric Snow 1
1Naval Research Laboratory Washington USA
Show AbstractIn this talk we report the continuous tuning of mechanical properties such as stiffness, strength, built-in stress, density, and internal friction over an exceptionally wide range in graphene-based films. By controlling the graphene lattice chemistry during reduction and re-crystallization, the in-plane stress could be increased up to 1000 MPa. Combining numerical analysis based on density-functional theory and wafer-bending measurements, we study the evolution of in-plane tensile stress resulting from different functional groups and defects. Material stiffness, or Young's modulus, is measured using the fundamental vibrational frequency of nanomechanical resonators (domes, cantilevers). An order-of-magnitude increase in the Young's modulus of modified, multilayer graphene was attributed to crosslinking between graphene layers. The network created by crosslinking enhanced the film integrity and, in combination with high tensile stress, produced record-high performance (Q~31,000) in radio-frequency nanomechanical resonators at room temperature. Besides being a versatile tool for studying material properties, RF nanomechanical resonators form the basis of numerous applications, from sensing to signal processing to quantum computing, highlighting the importance of the performance enhancement demonstrated through chemical modification.
10:45 AM - DD4.7
Mechanical Deformation and Failure of Carbon Nanofibers with Different Functional Groups
Jiangnan Zhang 1 Phillip Loya 1 Cheng Peng 1 Valery Khabashesku 2 Jun Lou 1
1Rice University Houston USA2University of Houston Houston USA
Show AbstractThe high strength-to-weight ratio combined with low density has made carbon nanofibers (CNFs) the material of choice for high performance composite structures in aerospace, defense, and other industries. Consequently, a thorough understanding on mechanical properties of individual carbon nanofiber is critically needed. An in situ tensile testing method combining a simple microfabricated device with a quantitative Nanoindenter inside a scanning electron microscope (SEM) chamber was employed to measure individual pristine, fluorinated and amino-functionalized CNFs. The fluorinated CNFs were found to possess higher nominal strength but with similar strain compared to the pristine and amino-functionalized CNFs. The nominal fiber strengths followed Weibull distributions with characteristic strengths between 1.94 and 2.83 GPa. The relatively small Weibull moduli indicating a broad flaw population in fluorinated and amino-functionalized CNFs are attributed to the generation of defects during functionalization. Furthermore, SEM images of fracture surfaces showed that all fibers failed in a cup-cone fracture mode. Interestingly, high resolution transmission electron microscope (HRTEM) image of fractured fluorinated fibers displayed a discrepancy in diameter of the hollow core close to the fracture site. This is thought to be caused by possible introduction of compressive stress on fiber surfaces during fluorination process. These results reveal the important effects of functionalization on mechanical properties of CNFs.
DD5: Electrical Properties I
Session Chairs
Tuesday AM, April 10, 2012
Moscone West, Level 3, Room 3009
11:30 AM - *DD5.1
Structured Graphene
Walter De Heer 1
1Georgia Institute of Technology Atlanta USA
Show AbstractThe original concept of graphene electronics focused on carbon nanotube properties. Carbon nanotubes were known to be high mobility ballistic, phase coherent conductors and quantum confinement effects produced significant bandgaps. However, it turns out to be very difficult to develop nanotube electronics platform for a variety of reasons including fundamental physical constraints related to the quantum mechanical properties of the metal-to-nanotube contacts. Graphene electronics can in principle overcome the major problems because graphene structures can be patterned using conventional lithography and dissipation at contacts can be controlled. However, these developments rely on the premise that narrow, ballistic graphene ribbons can be produced. Experiments on conventionally patterned graphene structures produced from graphene that is deposited on insulating substrates have been discouraging. The graphene ribbon mobilities are so low due to edge roughness effects, to render this direction to be impracticable. On the other hand, graphene produced on silicon carbide turns has been found to be more immune to edge scattering problems. Moreover, recent developments of template grown graphene structures on silicon carbide are promising. Very narrow ballistic graphene ribbons that demonstrate electronic phase coherent properties, have been produced with these methods which again brings the original concept of graphene based nanoelectronics back into play. These recent developments will be discussed.
12:00 PM - *DD5.2
Graphene-based Electronics for RF Communications and Sensing
Tomas Palacios 1
1Massachusetts Institute of Technology Cambridge USA
Show AbstractElectrical engineering is at a crossroads. For the last fifty years, semiconductors have been driving the development of information technology, which has completely transformed our society. Conventional electronics, however, is reaching scaling and performance limits which jeopardizes future developments. New materials with unique properties are necessary and graphene, a one atom thick layer of sp2 bonded carbon, is at the top of potential candidates. Graphene not only has outstanding transport properties, but it also shows many unique properties not found in any other high performance electronic material. It is flexible, transparent, ultimately scalable, easily transferable to any surface, and its ambipolar conduction offers new possibilities for advanced electronics. This talk will describe how the use of the unique properties of graphene allows the development of new devices, which can overcome some of the main limitations of traditional semiconductors. Graphene is, for example, an ideal material for non-linear RF devices. Its ambipolar transport properties are responsible for the V-shaped transfer characteristics of graphene transistors which enables very efficient mixers and frequency doubler circuits. At the same time, the zero-bandgap allows the fabrication of a new generation of infrared detectors for night vision systems. Finally, its one-atom-thickness makes it very sensitive to chemical analytes, property that can be used for high performance chemical sensors. In addition, one of the main advantages of the graphene devices discussed above is not only that they are quantitatively better than traditional devices, but that they allow new functionality. The unique properties of graphene enable circuits that are typically simpler than with conventional semiconductors, which is very attractive for ultra high frequency operation. In addition, graphene can be transferred to arbitrary substrates, including flexible substrates, plastic, textiles and paper. This completely changes the form factor of future electronics systems. The combination of quantitatively better performance and a qualitatively new form factor is the potential that we are trying to unleash in this amazing material. Acknowledgements.- This work has been partially funded by the ONR GATE MURI project, the Army/MIT Institute for Soldier Nanotechnologies, the MARCO MSD program, the Army Research Laboratory, STMicroelectronics and the MIT Center for Graphene Devices and Systems.
12:30 PM - DD5.3
Epitaxial Graphene for Spin Transport
Bruno Dlubak 1 2 6 Pierre Seneor 1 2 Abdelmadjid Anane 1 2 Marie-Blandine Martin 1 2 Cyrile Deranlot 1 2 Bernard Servet 3 Stephane Xavier 3 Richard Mattana 1 2 Henri Jaffres 1 2 Michael Sprinkle 4 Claire Berger 4 5 Walter De Heer 4 Frederic Petroff 1 2 Albert Fert 1 2
1Uniteacute; Mixte de Physique CNRS/Thales Palaiseau France2Universiteacute; Paris-Sud Orsay France3Thales Research and Technology Palaiseau France4School of Physics, Georgia Institute of Technology Atlanta USA5Institut Neacute;el, CNRS Grenoble France6University of Cambridge Cambridge United Kingdom
Show AbstractSpintronics is a paradigm focusing on spin as the information vector, ranging from quantum information to zero-power non-volatile magnetism. Several spintronics devices (logic gates, spin FET, etc) are based on spin transport in a lateral channel between spin polarized contacts. We will discuss the potential of graphene for such types of devices. We will present magneto-transport experiments on epitaxial graphene multilayers on SiC. The spin signals are in the MΩ range in terms of Î"R = Î"V/I, well above the spin resistance of the graphene channel. The analysis in the frame of drift/diffusion equations leads to spin diffusion length in graphene in the 100 μm range for a series of samples having different lengths and different tunnel resistances. The high spin transport efficiency of graphene can also be acknowledged up to 75% in our devices. The unique combination of long spin life times and large electron velocity of graphene (compared to classical semiconductors and metals) results in long spin diffusion length. It appears as a material of choice for large scale logic circuits and the transport/processing of spin information. Understanding the mechanism of spin relaxation, improving the spin diffusion length and also testing concepts of spin gate are the next challenges.
12:45 PM - DD5.4
Optoelectronic Phenomena in Pristine-edge Graphene Nanoribbons
Charina L. Choi 1 Guosong Hong 1 Liying Jiao 1 Hongjie Dai 1
1Stanford University Stanford USA
Show AbstractGraphene nanoribbons are an sp2 carbon-based material with enormous potential for development due to the existence of graphene edge states, which induce new physical phenomena and additionally provide the opportunity for chemical functionalization. Until recently, the edges of solution-phase nanoribbons capable of covalent chemistries were disordered, leading to a mixture of edge-induced electronic effects and poorly defined edges for functionalization. Recent breakthroughs in nanoribbon preparation have enabled the synthesis of graphene nanoribbons with atomically defined edges. In this work, we demonstrate the preparation of pristine-edge nanoribbons over a wide range of ribbon dimensions. These nanoribbons provide a pristine material to experimentally study the unique physical phenomena theoretically predicted for these systems. Furthermore, we investigate the optoelectronic behavior of individual nanoribbons and nanoribbon assemblies, and highlight tunability in nanoribbon behavior.
Symposium Organizers
Cengiz S. Ozkan, University of California, Riverside
Kang Wang, University of California, Los Angeles
Markus J. Buehler, Massachusetts Institute of Technology
Nicola Pugno, Politecnico di Torino
DD9: Electrical Properties II
Session Chairs
Wednesday PM, April 11, 2012
Moscone West, Level 3, Room 3009
2:30 AM - *DD9.1
Electronic Properties of Chemically Derived Graphene
Klaus Kern 1 2
1MPI for Solid State Research Stuttgart Germany2EPFL Lausanne Switzerland
Show AbstractA very promising low-cost, up-scalable synthetic approach for the fabrication of graphene layers comprises the reduction of graphene oxide (GO) sheets, which can be deposited with controllable density onto a wide range of substrates. Chemical reduction converts the close-to-insulating GO into sheets with up to four orders of magnitude higher electrical conductivity. Such chemically derived graphene is a versatile basis for fabricating multifunctional nanoelectronic devices. In this talk I describe our recent efforts to characterize and optimize the electronic transport in reduced graphene oxide. The transport properties are correlated with the specific atomic scale features observed by high resolution transmission electron microscopy in chemically derived graphene monolayers that originate from the oxidation-reduction treatment. Prospects for selective biochemical analytics and molecular magnetoelectronics will be discussed.
3:00 AM - DD9.2
Synthesis of Three Dimensional Carbon Nanostructure Foams for Supercapacitors and Batteries
Wei Wang 1 3 Shirui Guo 2 Miroslav Penchev 3 Ali Guvenc 3 Isaac Ruiz 3 Aaron S George 1 Duoduo Bao 4 Valentine Vullev 4 Mihrimah Ozkan 1 3 Cengiz S Ozkan 1 5
1University of California, Riverside Riverside USA2University of California, Riverside Riverside USA3University of California, Riverside Riverside USA4University of California, Riverside Riverside USA5University of California, Riverside Riverside USA
Show AbstractIn this work, we demonstrated the growth of different types of three dimensional carbon nanostructures (graphene, carbon nanotubes) on nickel foams through a one-step chemical vapor deposition (CVD). The as-grown three dimensional carbon nanostructure foams (CNF) can be potentially used for the electrodes of energy storage devices such as supercapacitors and battery. During the CVD process, the carbon nanostructures like graphene, CNTs are grown on highly porous Ni foam to form a high surface area 3-D carbon nanostructure by introducing a mixture precursor gases (H2, CH4). The surface morphology of the as-obtained 3-D carbon nanostructures were investigated by scanning electron microscopy (SEM) and scanning transmission electron microscopy (STEM), the results demonstrated relatively homogeneous and densely packed 3-D carbon nanostructure and its quality was characterized by Raman spectroscopy. Brunauer-Emmett-Teller measurement shows the as grown carbon nanostructure has a very high active surface area (around 500 m2/g). To further increase the capacitive capability, chemical activation like KOH thermal treatment was applied to the CNF system. The results show that the surface wetability and capacitive ability enhanced dramatically after chemical activation. The fast surface redox reaction was introduced to the CNF system by integrating it with pseudocapacitive materials like RuO2. Supercapacitors were fabricated based on the electrodes of carbon nanostructure foam, CNF/RuO2 hybrid film respectively. Cyclic voltammetry, charge-discharge, and electrochemical impedance spectroscopy (EIS) are conducted to determine its performance.
3:15 AM - DD9.3
Integration of the Magnetic Insulator EuO onto Graphene
Adrian Swartz 1 Patrick M Odenthal 1 Roland K Kawakami 1
1University of California, Riverside Riverside USA
Show AbstractGraphene is a promising material for spintronics applications due to its low hyperfine and spin-orbit interactions and recent demonstration of large spin injection with tunneling contacts. While much work has focused on the injection and detection of spins in Graphene, much less progress has been made towards means of spin manipulation and control. One such approach is to incorporate a ferromagnetic inulator onto Graphene in order to take advantage of the promixity exchange interaction. Stoichiometric EuO is an attractive material for this because it is a ferromagnetic insulator with a large exchange splitting of its conduction band, as well as having one of the largest magneto-optic responses, and large magnetic moment of 7 Bohr magneton (μB) per Eu atom. We report the epitaxial integration of EuO onto Graphene by reactive Molecular Beam Epitaxy. Films are first grown on Highly Oriented Pyrolitic Graphite (HOPG) and characterized structurally by RHEED and XRD. The magnetic properties are investigated by MOKE and show that the Curie temperature is at 69K. Next, EuO films are deposited onto multilayer and single layer graphene and investigated by Raman and MOKE. Such films are then incorporated onto Graphene field effect transitors (FETs) and the transport behaviors of these devices are reported.
3:30 AM - DD9.4
Conformal Graphene Coatings as Energy Harvesting Smart Skins
Abhay Thomas 1 Prashant Dhiman 1 Fazel Yavari 1 Xi Mi 2 Yunfeng Shi 2 Nikhil Koratkar 1
1Rensselaer Polytechnic Institute Troy USA2Rensselaer Polytechnic Institute Troy USA
Show Abstract
Flow of ionic liquids over carbon nanotubes has been shown to generate a voltage in the direction of flow due to coupling of ions present in water with free charge carriers in the nanotubes. However, the voltages across the nanotubes are typically of the order of a few millivolts, too small for practical power generation. Here we perform tests involving water flow with various molarities of hydrochloric acid (HCl) over single layer graphene and report order of magnitude higher induced voltages for graphene as compared to nanotubes. The power generated by the flow of 0.6 M HCl solution at a bulk flow velocity approximately 0.01 m/sec was measured to be 85 nW for a 30x16 μm size graphene film, which equates to a power per unit area of around 175 W/m2. Molecular dynamics simulations indicate that the power generation is primarily caused by a net drift velocity of adsorbed Cl- ions on the continuous graphene sheet. We also found that the power generation can be further improved by the use of ultrasonic energy which generates alternating pressure waves in the liquid generating a relative flow of ions near the graphene surface. This was demonstrated by the application of ultrasonic vibration for approximately 1 min to a graphene sample immersed in a brine solution. A stable 3-fold increase in power output of the graphene device was measured as soon as the ultrasonic agitation was turned ON and dropped back to the original baseline value when the vibration was turned OFF. This cycle of switching the ultrasonic vibrations off and on was continued 5 times and the results were very repeatable. Such graphene based devices can have potential applications in vibration energy harvesting or in powering of nanoscale sensors.
3:45 AM - DD9.5
Defects in Carbon Based Nanostructures - Implications for Nonlinear Structures and Energy Storage
Prabhakar Bandaru 1 Mark Hoefer 2 Apparao Rao 2
1UC, San Diego La Jolla USA2Clemson University Clemson USA
Show AbstractDefects in carbon nanotubes can be exploited for the synthesis of interesting coiled structures or in electrodes exhibiting fast electron transfer kinetics. For example, while the introduction of disclinations into graphene sheets can motivate helical structure, the exact mechanism for coiling is unknown. We will propose a thermodynamic model, based on exclusion volume principles, common in chemical and biological systems, to explain helix formation. Experiments that verify some of the underlying assumptions of the model and applications to novel components, such as electrical inductors, optical frequency metamaterials, etc. will be outlined. In the next part of the talk, I will review the influence of defects in determining the electrochemical properties of carbon nanostructures. We have seen that exposure of carbon nanotubes to argon and hydrogen irradiation can be used to either increase/reduce the defect density.Raman spectroscopy revealed an increase in the disorder in MWCNTs with the introduction of argon and hydrogen, as evidenced by an increase in the Id/Ig peak intensity ratio. However, argon is intercalated into the CNTs, and charges the nanotubes (in the form of dangling bonds), while hydrogen treatment terminates residual dangling bonds in the CNTs. We have also seen a corresponding modification of the in-plane nanotube correlation length, from 2- 4 nm, by measuring the area ratios of the Raman peaks. In cyclic voltammetry (CV) measurements, we have seen that only the Ar treated samples exhibit perfect reversible Nernstian behavior characteristic of ideal electrodes. The application of such studies to novel devices, sensors, capacitors, etc. will be discussed.
DD10: Carbon Nanotubes I
Session Chairs
Wednesday PM, April 11, 2012
Moscone West, Level 3, Room 3009
4:30 AM - *DD10.1
Carbon-based Nanomaterials: Design, Synthesis, and Properties
Colin Nuckolls 1
1Columbia University New York USA
Show AbstractThis talk will describe our methods to design, synthesize, and study carbon based nanostructures such as fullerenes, carbon nanotubes, and graphene. We have developed new molecules that are structurally and electronically complementary to fullerenes. These molecules assemble themselves, in combination to fullerenes, into the active element in photovoltaic devices. For carbon nanotubes, we have developed methods to connect the ends of them in electrical devices with a bridging molecule. The connecting molecule is a sensitive reporter of individual biomolecular events. For graphene, we developed a living, ring-opening alkyne metathesis polymerization reaction that produces precursors to graphene nanoribbons.
5:00 AM - DD10.3
Mass Transport and Selectivity in ALD Functionalized Carbon Nanotube Pores
Francesco Fornasiero 1 Jung B In 2 Monika M Biener 1 Costas P Grigoropoulos 2 Yinmin Wang 1 A. J Nelson 1 Kuang J Wu 1
1LLNL Livermore USA2UC Berkeley Berkeley USA
Show AbstractSeveral experimental investigations have validated the exciting MD prediction of an exceptionally fast fluid flow in carbon nanotube pores. For example, measured water flow rates exceed values calculated from continuum hydrodynamics models by more than three orders of magnitude; similarly, gas transport rates exceed Knudsen prediction by two orders of magnitude [1]. These results generated great interest for the application of CNT as nanofluidic channels in several areas ranging from desalination and carbon capture, to drug delivery, protein separation and single molecule sensing. For these applications, a fundamental understanding of the selectivity of these pores for specific molecules is needed and, unfortunately, still lacking. Also, robust strategies to precisely control the transport selectivity of CNTs are required. In this work, we demonstrate that atomic layer deposition is able modify and improve the transport selectivity of carbon nanotube pores for small molecules without loss of their unique ultrafast fluid transport properties. On the contrary, previous functionalization strategies reported in the literature resulted in a two orders of magnitude flow-rate reduction. For our studies, we used a nanofluidic platform consisting of a silicon nitride membrane with well-aligned, sub 2-nm carbon nanotubes as pores [1]. Pressure-driven filtration of small ions is investigated before and after functionalization by atomic layer deposition and as a function of solution pH. Our results show that for a sufficiently large number of ALD cycles, sulfate ions are almost completely rejected by Al2O3 modified CNT pores when solution pH is above alumina pKa, whereas unmodified CNTs reject these anions only partially and for pHs greater than the pKa of carboxylic groups [2-3]. For low ALD cycle numbers, the coexistence of carboxylic groups and alumina oxide functional groups dictate the ion selectivity of the membrane platform. Finally, at very low pHs, the CNT pore selectivity is governed by size exclusion, as suggested by the excellent agreement between CNT diameter distribution determined by TEM and the selectivity of large ions of different sizes. This work performed under the auspices of the U.S. Department of Energy by Lawrence Livermore National Laboratory under Contract DE-AC52-07NA27344. [1] Holt J., Park H.G., Wang Y., Stadermann M., Artyukhin A.B., Grigoropoulos C.P., Noy A. and Bakajin O., â?oFast Mass Transport Through Sub-2-Nanometer Carbon Nanotubes,â? Science, 312: 1034 (2006) [2] Fornasiero F., Park H.G., Holt J.K., Stadermann M., Grigoropoulos C.P., Noy A., Bakajin O., â?oIon Exclusion by sub 2-nm Carbon Nanotube Poresâ?, PNAS, 105 (45):17250-117255 (2008) [3] Fornasiero F. , In J.B., Kim S., Park H.G., Wang Y., Grigoropoulos C.P., Noy A., Bakajin O., â?opH-Tunable Ion Selectivity in Carbon Nanotube Pores,â? Langmuir, 26 (18): 14848-14853 (2010)
5:15 AM - DD10.4
Role of Gas-phase Reactions and Thermal Gradient Control in Carbon Nanotube Synthesis
Seul Ki Youn 1 Baskar P Gopi 2 Kenneth Teo 2 Hyung Gyu Park 1
1ETH Zurich Zurich Switzerland2Aixtron Cambridge United Kingdom
Show AbstractHere, we investigate the roles of gas-phase reactions and surface catalytic reactions in the synthesis of vertically aligned carbon nanotubes (VA-CNTs) by chemical vapor deposition (CVD) and demonstrate a millimeter-long growth without water assistance. Independent heaters are used to create different temperature gradients from the gas injection zone to the catalyst substrate surface. Whereas temperature of catalyst substrates primarily determines their catalytic activity, it is a thermal condition of a gaseous mixture in the CVD chamber that also influences growth yield and structural features of as-grown CNTs. Three distinct temperature zones have been identified: (i) low temperature zone below 600 C, generating rather small and more saturated carbon chains, often considered as growth inhibitors; (ii) gas-phase polymerization zone at 600-700 C, believed to produce unsaturated carbon chains that function as efficient CNT building blocks and/or enhancers; and (iii) high temperature zone above 700 C, supplying small aromatized carbonaceous fragments as growth promoters [1-5]. We discuss the importance of these thermal zones in producing high-quality nanotubes with augmented growth efficiency. We continue to report production of millimeter-long, single-walled VA-CNTs having a mean diameter of 1.5 ± 0.5 nm, catalyzed by iron on an alumina support. Important finding is that the millions of aspect ratio of single-walled CNT arrays can be produced, without water assistance, via combined action of an ascending temperature gradient toward catalyst substrate and low partial pressures of carbon feedstock (acetylene). Our results do not only emphasize the role of gas-phase reactions in CNT synthesis, but also offer a practical route to the modulation of such complex phenomena for an ultrahigh-yield growth of sub-2-nm-wide VA-CNTs. 1. G. Egloff, C. D. Lowry, R. E. Schaad, The Journal of Physical Chemistry 36, 1457 (1932). 2. G. D. Towell, J. J. Martin, AIChE Journal 7, 693 (1961). 3. S. E. Stein, A. Fahr, The Journal of Physical Chemistry 89, 3714 (1985/08/01, 1985). 4. E. R. Meshot et al., ACS Nano 3, 2477 (2009). 5. G. Zhong et al., The Journal of Physical Chemistry C 113, 17321 (2009).
5:30 AM - DD10.5
Comparing the Mechanical Response of Carbon Nanotube Bundles in Compression vs. Indentation: An In-situ Study
Siddhartha Pathak 1 Nisha Mohan 1 Ee J Lim 1 Parisa Pour Shahid Saeed Abadi 2 Samuel Graham 2 Baratunde A Cola 2 Julia R Greer 1
1Caltech - California Institute of Technology Pasadena USA2Georgia Institute of Technology Atlanta USA
Show AbstractWe explore the mechanical response of nominally vertically aligned carbon nanotubes (VACNTs), grown by atmospheric pressure chemical vapor deposition (APCVD) at 750 mbar and ~750 °C, tested under two different loading conditions: uniaxial compression and indentation. In-situ experiments were performed in a custom-built nano-mechanical deformation instrument, SEMentor, comprised of a Scanning Electron Microscope (SEM) and a nanoindenter. Compressive stress-strain curves of 30Ã-30 µm (height Ã- diameter) VACNT micro-pillars displayed 3 distinct regimes: (1) short initial elastic section followed by (2) a sloped plateau with characteristic wavy features corresponding to localized buckle formation, and (3) densification characterized by rapid stress increase. Load-unload cycles at different strain rates reveal a stiffer response and virtually 100% recoverability at faster rates, while the response is more compliant at slower rates due to damage accumulation. In contrast, during indentation VACNT films first deform by a sudden shear offset, manifested as a~ 20 µm displacement burst, and exhibit marginal recoverability during cycling. Subsequent localized buckle formation and onset of densification are a strong function of substrate proximity. We postulate that it is the constraint-driven differences in boundary conditions that govern both recoverability and morphological deformation signature under indentation as compared with compression. Analysis of these differences, as well as individual insights from each deformation type are discussed in the framework of foam-like deformation.
DD7: Hierarchical Nanostructures and Nanocomposites I
Session Chairs
Wednesday AM, April 11, 2012
Moscone West, Level 3, Room 3009
9:00 AM - *DD7.1
Graphene-based and Graphene-derived Materials
Rodney Ruoff 1 Li Li Zhang 1
1The University of Texas at Austin Austin USA
Show AbstractGraphene-based materials are promising because of their electronic and thermal transport, mechanical properties, high specific surface area, that they can act as an atom thick layer, barrier, or membrane, among other reasons. Our micromechanical exfoliation approaches [1,2] conceived of in 1998 yielded multilayer graphene and one paper described in detail how monolayer graphene could be obtained [1]. Three main research areas of our group are: (i) Growth of large area graphene on metal substrates, characterization and physical properties, and studies of devices having graphene as a central component; (ii) Generation, study, and use of graphene-based platelets (typically derived from graphite oxide) including as dispersed in liquids, and powders derived from such colloids or generated by microwave or thermal treatment of graphite oxide; (iii) Generation and study of new types of carbon such as those derived from graphene-based precursors like â?oactivated microwave expanded graphite oxideâ?, or â?~aMEGOâ?T[3], and those made by, e.g., growth on reticulated metal foams (â?ographene foamâ?). I will briefly present each pioneering study (composites, thin films, transparent conductive films, electrical energy storage, large area monolayer CVD growth on copper, graphene as a protective coating, thermal transport, isotopically labeled graphite and graphene, and others) as well as discuss our on-going research in these and other areas. A recent talk given at Harvard may be of use to those audience members wanting to familiarize themselves with research from my group; it also provides a (brief) history of the experimental discovery of graphene, thus from its discovery in 1969 to present day: http://bucky-central.me.utexas.edu/RuoffsPDFs/Ruoff_Master_2011 . Support of our work by the W. M. Keck Foundation, NSF, DARPA â?~iMINTâ?T, DARPA â?~CERAâ?T, ONR, SWAN NRI, ARO, AEC, SRC, Graphene Materials LLC, and previously by Graphene Energy, Inc., is appreciated. 1. Lu XK, Yu MF, Huang H, and Ruoff RS, Tailoring graphite with the goal of achieving single sheets, Nanotechnology, 10, 269-272 (1999). 2. Lu XK, Huang H, Nemchuk N, and Ruoff RS, Patterning of highly oriented pyrolytic graphite by oxygen plasma etching, Applied Physics Letters, 75, 193-195 (1999). 3. Zhu, Yanwu; Murali, Shanthi; Stoller, Meryl D.; Ganesh, K. J.; Cai, Weiwei; Ferreira, Paulo J.; Pirkle, Adam; Wallace, Robert M.; Cychosz, Katie A.; Thommes, Matthias; Su, Dong; Stach, Eric A.; Ruoff, Rodney S. Carbon-Based Supercapacitors Produced by Activation of Graphene. Science (2011), 332, 1537-1541.
DD11: Poster Session
Session Chairs
Wednesday PM, April 11, 2012
Marriott, Yerba Buena, Salons 8-9
9:00 AM - DD11.1
Size Selection of Dispersed, Exfoliated Graphene Flakes and Their Application: Reinforced Composites
Umar Khan 1 Peter May 1 Arlene O'Niel 1
1Trinity College Dublin University of Dublin Dublin Ireland
Show AbstractLiquid exfoliation of layered materials such as graphene, molybdenum disulfide (MoS2) or boron nitride (BN) generally results in flakes with lateral size of one micron or less on average, too small for many applications. In this work we describe a method to separate an existing dispersion with mean flake length of ~1 μm into fractions, each with different mean flake size. The initial dispersion is centrifuged at a high centrifugation rate, separating small flakes in the supernatant from large flakes in the sediment. Redispersion of the sediment, followed by successive centrifugation, separation and redispersion cycles can be used to separate the flakes by size so long as the centrifugation rate is decreased with each cycle. This procedure results in a range of dispersions with mean flake length varying from 1 μm for the highest final centrifugation rate to 3.5 μm for the sample whose final centrifugation rate was 500 rpm. Once it is possible to size select exfoliated nanosheets, they can be used as fillers in composites. While it has long been known that plastics can be reinforced be the introduction of strong, stiff filler particles, attention has only recently shifted to exfoliated layered materials as fillers. Materials such as graphene, MoS2 and BN have high strength and stiffness and so are potentially ideal fillers. However, initial results for polymer-graphene oxide composites have demonstrated reinforcement significantly below the theoretical limit. We use dispersions of size selected, polymer stabilised, pristine graphene, MoS2, and BN to prepare composites using polyvinyl alcohol as the matrix. We find that the reinforcement scales with flake size as predicted by theory. Composites prepared from flakes with aspect ratio of >2000 display very good reinforcement characterised by an approximately doubling of stiffness and strength.
9:00 AM - DD11.10
Fabrication of Gold Nanoparticle Embedded Thin Graphene Film Using Reduction Capability of Dopamine
Wonoh Lee 1 Jea Uk Lee 1 Hwa-Jin Cha 1 Jin-Woo Yi 1 Sang-Bok Lee 1 Joon-Hyung Byun 1 Byung-Sun Kim 1
1Korea Institute of Materials Science Changwon Republic of Korea
Show AbstractGraphene-based hybrid materials have attracted a great deal of scientific and engineering interests due to their superior mechanical, electrical, and thermal properties and can achieve the significant improvement in properties at very low content. Among many methods to reinforce graphene into the hybrid materials, the solution-based method is the most promising technique since the homogeneous colloidal suspension can provide high processability and flexibility to the large-scale production. This method produces graphene oxide (GO) through sequential chemical oxidation and exfoliation from graphite powders and then reduced graphene oxide (rGO) is obtained by chemical and/or thermal treatment. Furthermore, the hybridization of graphene with metallic nanoparticles can maximize promising potential applications such as chemical sensors, energy storage, catalysis, and hydrogen storage areas. Here, gold (Au) was selected for its unique optical and electrical properties that can be applied for the transparent flexible electronics when it is adopted into graphene nanosheets. Since GO itself is electrically insulating, further reduction process should be carried out after reduction step in order to recover grapheneâ?Ts own characteristics. However, the hydrazine-based reduction method is not favorable owing to the toxicity and environmental issue and the thermal treatment requires high temperature annealing step. Also, the reduced graphene nanosheets are easily aggregated so that it is difficult to disperse and add metallic nanoparticles into rGO nanosheets homogeneously. In this work, the gold nanoparticle embedded reduced graphene oxide (Au-rGO) thin film has been fabricated using bio-inspired dopamineâ?Ts reducing capability. Dopamine mimics the catechol-amine structure in the musselâ?Ts foot protein, mytilus edulis and has been successfully utilized as an effective reducing agent owing to its oxidative self-polymerization. Therefore, the reduction of GO and gold can be simultaneously enhanced and stable thin hybrid film can be obtained. To achieve this, GO was manufactured using the modified Hummers method and then Au-rGO thin film was fabricated by a simple vacuum filtration with dopamine solution. From various spectra and image analyses, the reduction of GO and Au was verified. Also, the transmittance and surface resistivity were examined for the manufactured Au-rGO film.
9:00 AM - DD11.11
Growth Behavior of CVD Graphene on Copper in Rapid Thermal Process (RTP) System
Wonjae Kim 1 Juha Riikonen 1 Sanna Arpiainen 2 Olli Svensk 1 Changfeng Li 1 Harri Lipsanen 1
1Aalto University Espoo Finland2VTT Espoo Finland
Show Abstract
Due to its extraordinary properties, graphene is an excellent candidate for a transparent electrodes and electronic devices in the near future. In this study, we have investigated the fabrication of graphene by chemical vapor deposition (CVD) using a conventional rapid thermal processing (RTP) system with infrared (IR) heating. In addition to fast operation, RTP has an advantage in rapid, accurate and local temperature control, which allows upscaling the deposition area by reactor design. In our work, a standard cold wall RTP reactor was modified to control methane (CH4) input flow. Graphene films were grown on the pretreated copper foil at 935-960°C in a pressure of 6~7 mbar. The deposited films were characterized by Raman spectroscopy and scanning electron microscopy (SEM) to investigate morphology of graphene. We observed that the islands/flakes of graphene were formed immediately (in few seconds) after methane was introduced to the chamber. The growth spread rapidly fully covering the whole copper surface as a single layer film in ~20 seconds. Moreover, with a longer growth process an additional growth of small multi-layer graphene flakes occurred randomly on the single layer film. The as-grown graphene films were transferred onto a 300-nm-thick SiO2/Si substrate using PMMA. Room temperature mobility and sheet resistance measured with Hall-bar and transfer-length method (TLM) structures were around 3,000 cm2/Vs and 400 â"¦/square, respectively.
9:00 AM - DD11.12
Photacatalytic Activity of MWCNT/TiO2 Composites
Silvana Da Dalt 1 Annelise K Alves 1 Carlos P Bergmann 1
1UFRGS Porto Alegre Brazil
Show AbstractThe elimination of toxic chemicals from wastewater is currently one of the most crucial subjects in pollution control. The large amount of dyes used in the dyeing stage of textile manufacturing processes represents an increasing environmental danger due to their carcinogenic nature. Titanium dioxide (TiO2) is a widely used semiconductor material in many different areas such as gas sensors, air purification, catalysis, solar-to-electric energy conversion, photoelectrochemical systems and as photocatalyst for degrade a wide range of organic pollutants because of its nontoxicity, photochemical stability, and low cost. The efficiency of photacatalytic activity is affected by the recombination of the photogenerated electron hole pairs. So a large effort had been spared on the coupling of TiO2 with organic and inorganic materials. There are reports that the separation of the photogenerated charge carriers is more efficient in heterojunction of TiO2 and CNTs, which enhanced the photocatalytic activity. Multi-wall carbon nanotubes/ TiO2 (MWCNT/TiO2) composites materials have attracted attention in relation to the treatment of contaminated water and air by heterogeneous photocatalysis, hydrogen evolution, CO2 photo-reduction, and dye sensitized solar cells. In this work MWCNT/TiO2 composites were prepared by sol-gel method, and subsequent heat treatments at 400 °C, 500 °C and 600 °C were employed to obtain the desired crystalline phase (anatase or a mixture of anatase and rutile). Titanium (IV) propoxide was employed as TiO2 source. MWCNT/TiO2 composites obtained were characterized by X ray diffraction (XRD), scanning electron microscopy (SEM) and photacatalytic activity was evaluated by the degradation of the methylene blue dye (C6H18N3SCl). The results shown that the crystalline TiO2 is composed of the anatase phase. The agglomerated morphology of TiO2 in the composites change in the presence of CNTs. The uniformity of the oxide coating vary according to the heat treatment temperature and the composites showed that a good coating is also obtained.
9:00 AM - DD11.13
Friction Force Study on a Strain Induced Anisotropic Monolayer Graphene
Jin Sik Choi 1 Jin-Soo Kim 1 Ik-Su Byun 1 Duk Hyun Lee 1 Mi Jung Lee 1 Bae Ho Park 1
1Konkuk University Seoul Republic of Korea
Show AbstractGraphene produced by exfoliation has not been able to provide an ideal graphene with performance comparable to that predicted by theory, and structural and/or electronic defects have been proposed as one cause of reduced performance. We report the observation of domains on exfoliated monolayer graphene that differ by their friction characteristics, as measured by friction force microscopy. Angle-dependent scanning revealed friction anisotropy with a periodicity of 180° on each friction domain. We propose that the domains arise from ripple distortions that give rise to anisotropic friction in each domain as a result of the anisotropic puckering of the graphene.
9:00 AM - DD11.14
Assortment of Single-walled Carbon Nanotubes by Functionalization-induced Hydrophilicity
Xuanding Han 1 2 3 Eugene Kok 3 Kam Chew Leong 2 Jun Wei 3 Pooi See Lee 1 Chee Lip Gan 1
1Nanyang Technological University Singapore Singapore2Globalfoundries Singapore Pte Ltd Singapore Singapore3Singapore Institute of Manufacturing Technology Singapore Singapore
Show AbstractSingled-walled carbon nanotubes (SWNTs) are promising materials for the fabrication of printed electronics. However, the heterogeneous mixture of both semiconducting and metallic in as-synthesized SWNTs hampers the integration into field effect transistors (FET). The channel of the FET requires the semiconducting species of the SWNTs so that the switching operation of the FET is modulated by its gate. Several reports had shown that the conductivity from metallic nanotubes can be selectively grafted by means of chemical functionalization, such as cycloadditive reaction and free radical modifications. In this contribution, we demonstrate covalent functionalization of CoMoCAT SWNTs by free radical chemistry. Sonication, which is used to disperse the small diameter SWNTs, generates heat which triggers the functionalization process. The functionalization results in the attachment of a hydrophilic group to the SWNTâ?Ts side walls, hence any SWNT that is attacked by the free radicals can be dispersed in water readily without the need of surfactants. In our studies, we observe the selective behavior of the radicals used, leading to the possibility of sorting SWNTs by using only water. The sorted water-soluble and water-insoluble SWNTs are characterized by UV-Vis-NIR spectroscopy, Raman Spectroscopy and electrical measurements. The water-insoluble SWNTs exhibited enrichment in semiconducting species and the fabricated SWCNT network transistors show an improvement of 2 orders of magnitude in on-off state current ratio as compared to the pristine SWNTs. The FETs are also annealed at 400oc under Ar gas environment and investigated for residual metallic nanotubes. The water-soluble portion of the functionalized SWNT is cast into a random network film and is also annealed to recover the electrical performance of the nanotubes. The annealed conducting film shows improvement in sheet resistance as compared to the pristine SWNTs. This improvement is evident of the metallic SWNT enrichment in the water-soluble SWNTs.
9:00 AM - DD11.15
Emulsifier-free Concentrated Graphene Dispersions for Printing Flexible Electronics and Freestanding Graphene Films
Folke Johannes Toelle 1 2 Martin Fabritius 2 Rolf Muelhaupt 1 2
1University Freiburg Freiburg Germany2University Freiburg Freiburg Germany
Show Abstract
The thermal and chemical reduction of graphite oxide (GO) affords functionalized graphenes (FG) as 2D carbon macromolecules which combine electrical conductivity[1] with high specific surface area and high mechanical strength[2]. For many applications, especially printing and extrusion processes, it is highly desirable to disperse single FG sheets in various media. At present, there exist three strategies for the production of FG dispersions starting from GO: (i) reduction of aqueous GO dispersions in the presence of surfactants or binders,[3] (ii) organic modification of GO or FG,[4-8] and (iii) formation of emulsifier-free dispersions of FG, which to-date is limited to very low graphene content < 0.25 g/L[9, 10]. Here we report a very versatile and easy-to-scale-up process for producing concentrated FG dispersions in water, acetone, and isopropanol without using any binders, surfactants or other dispersing agents. Critical parameters of this process are the FG oxygen content, controlled by the GO reduction temperature, and high shear forces. Highly concentrated dispersions of single FG graphene sheets with high graphene content up to 15 g/L have been obtained. This high graphene content is essential for the successful printing of graphene dispersions by means of 3D micro extrusion.[11] Free-standing graphene films and micropatterned graphenes have been printed. In the absence of binders, the electrical conductivity is significantly improved. Moreover, these flexible microarrays, printed on various substrates, are mechanically very stable and resist multiple bending without sacrificing electrical conductivity.
[1] T. Schwamb, B. R. Burg, N. C. Schirmer, D. Poulikakos, Nanotechnology 2009, 20, 405704.
[2] C. Gómez-Navarro, M. Burghard, K. Kern, Nano Lett. 2008, 8, 2045.
[3] V. Dua, S. Surwade, S. Ammu, S. Agnihotra, S. Jain, K. Roberts, S. Park, R. Ruoff, S. Manohar, Angew. Chem. Int. Ed. 2010, 49, 2154.
[4] Y. Si, E. T. Samulski, Nano Lett. 2008, 8, 1679.
[5] S. Stankovich, D. A. Dikin, G. H. B. Dommett, K. M. Kohlhaas, E. J. Zimney, E. A. Stach, R. D. Piner, S. T. Nguyen, R. S. Ruoff, Nature 2006, 442, 282.
[6] S. Stankovich, R. D. Piner, S. T. Nguyen, R. S. Ruoff, Carbon 2006, 44, 3342.
[7] Z. Lin, Y. Liu, C.-p. Wong, Langmuir 2010, 26, 16110.
[8] A. B. Bourlinos, D. Gournis, D. Petridis, T. Szabó, A. Szeri, I. Dékány, Langmuir 2003, 19, 6050.
[9] D. Li, M. B. Müller, S. Gilje, R. B. Kaner, G. G. Wallace, Nat Nano 2008, 3, 101.
[10] M. J. Fernández-Merino, L. Guardia, J. I. Paredes, S. Villar-Rodil, P. SolÃs-Fernández, A. MartÃnez-Alonso, J. M. D. Tascón, J. Phys. Chem. C. 2010, 114, 6426.
[11] R. Mülhaupt, R. Landers, H. John, WO 01/78968 A1 2001.
9:00 AM - DD11.16
Sonochemical Functionalization of Ordered Mesoporous Carbons and Their Applications in Uranium Adsorption from Seawater
Joanna Gorka 1 Richard T Mayes 1 Sheng Dai 1 2
1Oak Ridge National Laboratory Oak Ridge USA2University of Tennessee Knoxville USA
Show AbstractIncreasing energy demands and uncertainty of the conventional energy supplies are the main driving forces toward the development of new technologies, which a few decades ago were overlooked due to the lack of economical feasibilities. One of the examples is uranium extraction, which traditionally has been done from uranium ores (vein deposits, pegmatites, fossil placers and sandstones), however in the light of rising energy demands, uranium reserves such as seawater, nuclear fuel effluents, mine tailings and other became worth investment alternatives. Seawater contains many kinds of valuable elements among which uranium, mostly as the tricarbonatouranate (VI) ion, UO2(CO3)34-, is present in the concentration ~3.3 mg/m3. Even though this value seems to be low, it has been estimated that uranium reserves dissolved in seawater may be ~ 1000 times larger than the terrestrial sources. Ordered mesoporous carbons (OMCs)possessing unique features such as high surface area and pore volumes, tunable mesopore structure with narrow pore size distributions, excellent chemical and physical stabilities seem to be perfect candidates for applications involving adsorption, separation and energy storage and conversion. Especially OMCs prepared by the soft-templating route hold great promise for practical implementation in strategic technologies owning lower cost of the preparation of these carbons than the hard-templated carbons. Due to almost no selectivity shown by the pristine carbon surface itself towards metal ions, there is a big need to elaborate efficient surface functionalization techniques to selectively bind uranium to the carbon framework. So far methods such as chemical modification by diazonium salts, amination and wet oxidation have been reported. Among many of functional groups studied for uranium recovery from seawater the amidoxime groups showed great potential mostly due to the high loadings of uranium and fast adsorption rate. Here we report for the first time the sonochemical preparation of the amidoxime-functionalized ordered mesoporous carbon sorbents for the recovery of uranium (VI) ions. Soft-templated carbons prepared from phenolic resin and triblock copolymer under acidic conditions were used as a support. High intensity ultrasound was employed for the first time to enhance the grafting of acrylonitrile onto carbon surface. The localized hot spots create highly reactive species including radicals, which on top of the radicals formed by a homolysis of initiator molecules proved to increase grafting yield of polyacrylonitrile onto carbon surface. Towards obtaining a maximum uranium capacity different synthesis conditions were studied, including the use of carbons with different pore and surface characteristics, different solvents and amounts of initiator and monomer. Acknowledgments: This research was supported by the U.S. DOE Office of Nuclear Energy.
9:00 AM - DD11.17
Plasma Patterning: Template Free Hydrophobic/Hydrophilic Patterning of Vertically Aligned Carbon Nanotube Arrays
Samuel Yick 1 2 Zhaojun Han 1 Kostya Ostrikov 1 2
1CSIRO Sydney Australia2University of Sydney Sydney Australia
Show AbstractIn this work, MWCNT arrays with vertical alignment are modified by an atmospheric cold plasma jet generated by radio-frequency (RF) power with He gas. The effect of this modification is the covalent functionalisation of the MWCNT arrays. This causes changes to the hydrophobicity of the surface. The novelty of this technique lies in the ability to define the area of modification without the need for a stencil or mask. Consequentially MWCNT array grown on a 1cm2 Si wafer could be patterned into areas with distinctively different hydrophobicitiy while their vertical alignment is maintained. To demonstrate the capability of this technique, metal nanoparticles (NP) in aqueous solution were deposited on to the modified MWCNT array. Due to the prior treatment, the NP solution is confined to specific regions on the surface. As a result, the treated MWCNT array possesses a patterned surface with areas of different functionality due to the selective decoration process.
9:00 AM - DD11.18
Carbon Nanotubes Growth on Cobalt-containing Amorphous Carbon Films
Naiyun Xu 1 3 4 Chin Chong Yap 1 3 Hang Tong Teo 2 Chee Mang Ng 4 Beng Kang Tay 1 3
1Nanyang Technological University Singapore Singapore2Temasek Laboratories Singapore Singapore3UMI 3288, Research Techno Plaza Singapore Singapore4GLOBALFOUNDRIES Singapore Pte. Ltd Singapore Singapore
Show AbstractCarbon nanotubes (CNTs) have attracted lots of attention in the fields of nano-science and nano-technology, because of the superior physical and electrical properties. Many works have been done to promote them into the industry, especially the growth on conductive substrates. The conventional way of growing CNTs is a multi-step process, which require the deposition of a catalyst layer such as nickel (Ni), cobalt (Co) or iron (Fe), and also the barrier layer such as silicon oxide (SiO2) which is an insulating material. The effective electrical conductivity of the CNTs could be much higher if CNTs could be grown directly on a conductive substrate. To solve the problem and in the meanwhile simplify the growth step, in this work, Co-containing amorphous carbon films (with different Co fraction) were used as the catalyst layer without any barrier layer. Both thermal and Plasma enhanced chemical vapor deposition was used to grow CNTs. The high temperature treatment during the growth process could transform the amorphous carbon film into highly conductive material. Visible Raman spectroscopy and Scanning electron microscopy(SEM) was used to characterize the quality of the CNTs. The results show that CNTs can be synthesized on those films and the quality of the CNTs can be controlled by the initial property of the carbon film. It is a possible way to grow CNTs on conductive substrate.
9:00 AM - DD11.19
Mesoporous Graphene Nanocomposites for Supercapacitor Applications
Hamed Hosseini Bay 1 Maziar Ghazinejad 1 Zafer Mutlu 3 Zachary Favors 3 Miroslav Penchev 2 Mihrimah Ozkan 2 Cengiz S Ozkan 1
1University of California, Riverside Riverside USA2University of California, Riverside Riverside USA3University of California, Riverside Riverside USA
Show AbstractThe unique structure and properties of graphene initiated broad fundamental and technological research, and highlighted graphene as a new candidate for various applications in energy devices. Owing to its high surface area, graphene is a good candidate as an electrode in electrochemical supercapacitors. Accordingly, graphene and graphene based nanocomposite materials have been of great interest for various applications in energy storage and production, including solar cells, electrodes for Li-ion batteries and supercapacitors. In this respect, because of their adjustable geometry and interesting properties, graphene-carbon nanotube hybrids have been the subject of several studies. Furthermore, recent studies showed that chemical treatment of graphene with potassium hydroxide will generate mesopores in its structure and consequently increases the surface area, which results in enhancement of gravimetric capacitance and energy density of graphene. Herein, we have investigated the effects of chemical activation for CVD-grown graphene-carbon nanotube hybrid nanostructures. To prepare such structure, a modified sol-gel method has been implemented to anchor metal nanoparticles to the surface of the catalyst substrate. Nanostructure samples were characterized by Raman Spectroscopy, Optical Microscopy, Atomic Force Microscopy, Scanning Electron Microscopy, Transmission Electron Microscopy and BET technique. Cyclic voltammetry measurements were also carried out and the results suggest that the use of chemically activated graphene as electrodes for supercapacitors can be a potential way to achieve higher gravimetric capacitance and energy density.
9:00 AM - DD11.2
Segregation of Few-layer Graphene with Low Defects by Pulsed Laser Deposition
Angel Ting Ting Koh 1 Yuan Mei Foong 1 Daniel Chua 1
1National University of Singapore Singapore Singapore
Show AbstractIn recent years, increased attention has been directed towards exploring alternative methods of fabricating graphene, in particular, graphene segregated on metals. The advantages of growing graphene on metals include reduced temperatures, less stringent vacuum conditions and ease of transferring graphene onto various types of substrate. While extensive research have been done on chemical vapor deposition (CVD) with metals, limited information and studies are available on the parameters that affect segregation with energetic carbon sources and solid-state metal-carbon diffusion. Using pulsed laser deposition with solid carbon targets, few-layer graphene were fabricated on nickel sputtered silicon substrate at a relatively low temperature of 750 °C, with a limited supply of carbon from a solid carbon source. The thickness and quality of graphene formed using Ni sputtered substrates under varied cooling rates and laser energies were investigated. Raman spectroscopy and transmission electron microscopy showed that fewâ?"layer graphene were formed under medium (50 °C/min) and slow cooling (1 °C/min), and the time for carbon absorption by Ni was greatly reduced since solid solution formation was facilitated by the implantation of C into Ni by the energetic PLD source. It was observed that the cooling rate was critical in the production of graphene, graphite (>10 layers) or a slightly more disordered carbon. On the other hand, laser energy was less critical as long as the energy fell below 100 mJ. In addition to Ni, the formation of graphene through segregation method was examined using other inexpensive metals such as Cu, Fe and Co the same cooling recipe used on Ni. Of the various metals tested, graphene was fabricated only on Ni substrates and absent in Cu, Co and Fe substrates under a specific set of conditions. This was attributed to either low solubility of carbon (Cu, Fe) or low carbon diffusion coefficient in the Co. However, by adjusting the cooling rate to cater to the carbon diffusion coefficient of Co, low defect few-layer graphene with full coverage on a 4 cm Ã- 3 cm substrate was obtained. This showed that a physical deposition method by solid-state carbon-metal reaction was capable of producing few-layer graphene with reduced defects. The reasons behind the observed phenomena are also discussed.
9:00 AM - DD11.20
Effects of Graphitization on Electronic Properties of Graphene on SiC (0001)
Weijie Lu 1 Said Elhamri 2 Lawrence Grazulis 1 Gerald Landis 1 William C Mitchel 1 John Boeckl 1
1Air Force Research Lab Wright-Patterson Air Force Base USA2University of Dayton Dayton USA
Show AbstractEpitaxial graphene on SiC (0001) has advantages over other growth methods with regard to large scale morphology and electron mobility. This work investigates the correlation between the electronic and structural properties of graphene/SiC (0001) grown at different temperatures. Graphene samples were grown on pieces of (0001) oriented SiC in the temperature range from 1650 to 2000°C under 1 atm pressure of Ar. In addition to temperature dependent electrical measurements, the films were characterized by AFM and Raman spectroscopy. The lowest temperature at which the Hall effect was measureable was 1650°C. The degree of graphitization as measured by AFM roughness, the shift in the Raman 2D peak position and the G to D peak intensity ratio increases with increasing temperature. We also show that the graphitization evolves from primarily confined two dimensional to a three dimensional nature at higher temperatures. As the temperature increased the mobility at room temperature increased from around 100 cm2/Vs to a maximum of over 1250 cm2/Vs at 1700°C then decreased. The maximum mobility measured was 8,000 cm2/Vs at 10K. The effect of interface quality on the graphene mobility was investigated by post growth anneals in vacuum which was found to produce a defected layer between the pristine SiC and the graphene layers due most likely to the increased SiC surface graphitization at the reduced pressure. The mobility decreased from the high values of unannealed films to a few hundred after vacuum anneal. While many factors can affect the mobility of graphene/SiC, this study demonstrates that the degree of two-dimensional graphitization and a graphitic defect layer at the graphene-SiC interface are important factors. The degree of graphitization is the measurement of structural-order. The experimental results are explained by a multi-step mechanism for graphene growth on SiC (0001). The first step is desorption by thermal decomposition followed by low pressure oxidation, collapse of the near surface SiC lattice, conversion from sp3 to sp2 carbon, and lastly an increase in the degree of low dimensional confined graphitization.
9:00 AM - DD11.21
Synthesis of Graphene Layers by Chemical Vapor Deposition Using Tetrabromomathane as Carbon Source
Taejin Choi 1 Hyemin Kang 1 Hanearl Jung 1 Juree Hong 1 Sanggeun Lee 1 Hyunik Lee 1 Taeyoon Lee 1 Hyungjun Kim 1
1Yonsei University Seoul Republic of Korea
Show Abstract
Graphene films were synthesized from tetrabromomathane (CBr4) precursor by chemical vapor deposition (CVD) on nickel films and copper foils. The synthesized graphene films were systematically investigated with the various annealing conditions of the variable temperatures of 800 ~ 1000 °C and variable times of 0 ~ 180 minutes. The graphene layers were characterized by the values of ID/IG (the intensity ratio of D peak and G peak) and IG/I2D (the intensity ratio of G peak and 2D peak) of Raman spectroscopy. Compared to CH4 based CVD, lower temperature synthesis of graphene films on Ni was possible by using CBr4 down to 800 °C. As the annealing time increased for larger than 20 minutes, the metal surface morphology became too rough to be synthesized of high quality graphene films. The scanning electron microscopy (SEM) and the energy dispersive spectroscopy (EDS) analyses have shown that the CBr4 based CVD process produced graphene and carbon-based nanodot hybrid nanostructures on Cu foils. Hydrogen gas and annealing process of 20 min for the growth of polycrystalline Ni and Cu grains did a critical role of high-quality graphene films growth using with CBr4 as similar as using with CH4.
9:00 AM - DD11.24
Effect of Surface Functionalization on the Wetting and Electrochemical Properties of Carbon Nanotube Arrays
Adrianus Indrat Aria 1 Morteza Gharib 1
1California Institute of Technology Pasadena USA
Show AbstractAmong diverse types of synthetic materials, arrays of vertically aligned carbon nanotubes have attracted the most attention, mainly because of their exceptional mechanical, electrical, optical, and thermal properties. However, their wetting and electrochemical properties are yet to be understood, especially when the oxygenated functional groups are present. For long, oxygenated functional groups have been suspected as one of the most vital factors in controlling the wetting and electrochemical properties of carbon nanotube arrays. Here we show that the array can be indeed switched repeatedly between hydrophobic and hydrophilic by controlling the surface concentration of these functional groups. In addition, by altering the surface concentration of these functional groups, the impedance and specific capacitance of the array in both aqueous and non-aqueous electrolytes can also be varied. In this study, the oxygenated functional groups were introduced to the carbon nanotube array by oxygen plasma treatment and removed from the array by vacuum-pyrolysis treatment. When a significant amount of these functional groups was removed from the array, it becomes superhydrophobic with static contact angle of nearly 170°. In addition to its water repellent capability, this array has the ability to significantly delay water condensation and icing under cold and humid conditions. Preliminary results suggest that such delay is more significant compared to that on the commercial hydrophobic coatings. These finding is considered important for further development of carbon nanotube based superhydrophobic coating for marine and air vehicles as well as heat exchangers and fluid transport devices. Our finding also shows an increase of three orders of magnitude in term of specific capacitance when the surface concentration of these functional groups on carbon nanotube array is increased from 3% to 9% in aqueous KOH electrolytes. Similar behavior was also observed in the non-aqueous electrolytes where an increase of nearly three times in the specific capacitance was measured for the same range of increase in surface concentration of functional groups. These findings are also considered very important for further development of carbon nanotube based energy storage.
9:00 AM - DD11.26
Hydrogen Sensing by Pt Nanoparticle-decorated Large-area Graphene Sheets
Miroslav Penchev 1 Tamer Cirak 5 2 Wei Wang 3 Shirui Guo 4 Jiebin Zhong 2 Mihrimah Ozkan 1 3 Cengiz S Ozkan 2 3 Emir B Denkbas 5
1University of California, Riverside Riverside USA2University of California Riverside Riverside USA3University of California Riverside Riverside USA4University of California Riverside Riverside USA5Hacettepe University Ankara Turkey
Show AbstractGraphene, a monoatomic carbon layer, has attracted a tremendous scientific interest due to its exceptional electronic and structural properties; large surface to volume ratio, high electron mobility, high chemical stability and mechanical strength make graphene an ideal candidate for applications in chemical/bio- sensing. In this work we report the fabrication of large scale graphene and its potential in Hydrogen gas sensing. Centimeter-scale graphene films were grown by chemical vapor deposition (CVD) on copper foil, using methane as Carbon precursor of graphene based field effect transistors (FETs) were fabricated by photolithography, with graphene channel length and width of 1 mm x 0.3mm. The quality and morphology of graphene were investigated by Raman spectroscopy, scanning electron microscopy (SEM), and optical microscopy. Raman data indicates the presence of an intense G band at 1581 cm-1, 2D band at 2709 cm-1 peaks, the ratio between the G and 2D band indicate the typical characteristics for single-layer graphene films. Optical and scanning electron microscopy indicate a continuous graphene film without any visible wrinkles or cracks. Four-point-probe measurements reveal that graphene exhibits a sheet resistance of 300-500 Ohm/Sqr. Back-gated FET measurements show the typical ambipolar current voltage (I-V) characteristics of graphene with carrier mobility in the order of 2000 cm2V-1s-1. Platinum layers with thickness of ~1-3 nm were deposited on the graphene devices for hydrogen sensing. The Pt decorated/graphene sensors were tested with hydrogen concentrations of 50 ppm to 10% in dry air. The sensors showed high sensitivity and fast response.
9:00 AM - DD11.27
Ultrananocrystalline Diamond Microarrays: Towards Label-free, Reliable, Multiplexed Electrochemical Sensors
Prabhu U Arumugam 1 Shabnam Siddiqui 1 Zhengting Dai 1 Hongjun Zeng 1 Nicolaie Moldovan 1 John Carlisle 1
1Advanced Diamond Technologies Romeoville USA
Show AbstractLabel-free biosensors that can rapidly detect biomolecules in real-time with high reliability, sensitivity and selectivity still remain elusive. Among label-free sensors, electrical impedance spectroscopy (EIS) based biosensors are the most promising due to the simplicity of the detection technique. They have been used to detect a wide variety of antigens including bacteria, viruses, DNA, and proteins. However, their commercial development is hindered due to poor reproducibility and selectivity as a result of nonspecific binding. We overcome these issues by using a more chemically stable boron-doped ultrananocrystalline (UNCD) diamond surface to improve reproducibility and micro patterning them to improve sensitivity. This study marks the first demonstration of reliable and quantitative detection of a model bacterium, which position this technology for rapid translation to point-of-use applications such as environmental monitoring and point-of-care diagnosis. Recent results of UNCDâ?Ts novel electrochemical properties and its suitability to detect bacteria, dopamine and other biomolecules reliably at long time periods will be presented. We also discuss an electrochemical model to better understand the impedance detection mechanism and a new scheme for detection that reduces the need for control experiments.
9:00 AM - DD11.3
Hybrid Graphene-metal Nanoparticle Systems: Electronic Properties, Gas Interaction and Catalytic Properties
Nripan Mathews 1 Verawati Tjoa 1 2 Julianto Chua 1 Wei Jun 2 Vinayak Dravid 3 Subodh Mhaisalkar 1
1Nanyang Technological University Singapore Singapore2Singapore Institute of Manufacturing Technology Singapore Singapore3Northwestern University Evanston, Illinois USA
Show AbstractGraphene, a 2-D honeycomb carbon structure, has created excitement in scientific circles owing to its unique mechanical, thermal and electronic properties. The unique electronic properties of graphene including high ambipolar mobility, ballistic transport, low Johnson noise as well as anomalous quantum Hall effects have spurred great interest. For the practical utilization of graphene in devices and applications, a high throughput, low temperature production process is preferred. The chemical oxidation of graphite forms graphene oxide (GO) which is solution processable and can be easily deposited on a substrate of choice. Subsequent to the deposition of GO, reduction processes can be applied to recover the electronic properties (referred to as reduced graphene oxideâ?"rGO). The properties of such chemically derived graphenes are being widely studied and hold the key for rendering new functionalities while preserving some of the unique properties of the pristine graphene. The solution processability of graphene oxide opens up possibilities to create a new generation of hybrid composite materials through the grafting of organic molecules, polymers as well as inorganic nanoparticles. In this contribution, we summarise our recent work on the fabrication of hybrid grapheme-metal nanoparticle system. Au, Ag and Pt nanoparticles have been attached to graphene and their influence on the charge transport properties studied. [1] The metal nanoparticle acts as a site of gas interaction and doping of the graphene through charge carrier transfer. These interactions have been studied in both resistive and transistor configuration and the influence of nanoparticle density on hybrid material`s electronic properties elucidated. Graphene-Pt nanocomposites have also been synthesized through photoreduction process and utilized in the counterelectrodes of dye sensitized solar cells. The interplay of conductivity and catalysis in determining the efficiencies of the dye sensitized solar cells is demonstrated. 1. Tjoa, V., Jun, W., Dravid, V., Mhaisalkar, S., Mathews, N. â?oHybrid graphene-metal nanoparticle systems: Electronic properties and gas interactionâ? Journal of Materials Chemistry, 21 (39), pp. 15593-15599.
9:00 AM - DD11.30
Waterborn Carbon
Magdalena Titirici 1 Robin White 1 Shiori Kubo 1 Li Zhao 1 Camillo Falco 1 Stephanie Wohlgemuth 1 Markus Antonietti 1
1Max-Plank Institute for Colloids and Interfaces Golm/Potsdam Germany
Show AbstractHydrothermal carbonization (HTC) of biomass has recently emerged as a powerful technique for the production of functional nanostructured carbon materials. The carbonization takes place in water, under mild temperatures (130-250°C) via a dehydration-polycondensation mechanism. The process can be regarded similarly to the sol-gel process used to produce versatile nanostructured inorganic oxides. It is a soft-chemistry carbonization method which allows soft or hard templating to create porous carbons as well as further functionalization of the resulting carbon materials. Furthermore, the production of carbon aerogels it is also possible via a one step procedure by simply adding green gelation agents (proteins, borax) to the HTC process. Additionally, as in the sol-gel, the HTC process allows coating of various substrates with thin layers of carbon as well as spinning into carbon nanofibers. Nitrogen or boron could also be easily doped into these exciting nanostructures. The applications of the HTC process expand over a wide range, from CO2 sequestration and biochars to catalysts for the methane direct oxidation to methanol as well as in ORR reaction in fuel cells. Energy storage represents an important field of applications where the resulting materials can be used as electrodes in both supercapacitors and Li ion batteries. A brief overview over this sustainable and mild carbonization technique will be provided.
9:00 AM - DD11.31
Carbide Derived Carbons from Renewable Biopolymer Sources
Stefan Glatzel 1 Cristina Giordano 1 Markus Antonietti 1
1Max Planck Institute of Colloids and Interfaces Potsdam-Golm Germany
Show AbstractBiopolymers typically are employed as scaffolds to align a wide variety of nanoparticles towards functional composite materials, but they can also serve as templates and be directly converted into the desired product.[1-4] This process is exemplified for the synthesis of Fe3C: an iron precursor solution is added to a biopolymer solution forming complexes. This mechanism provides a mean for further structured aggregation and subsequent formation of nanoparticles upon calcination. The thereby formed iron carbide nanoparticles are catalysing the formation of graphitic carbon tubes that constitute the whole template. In these composites the iron carbide nanoparticles add functionality, e.g. a high saturation magnetization, conductivity, good filtration properties and (electro)catalytic activity, while the polymer/carbon matrix gives processability and shape. A cellulose-based route to high surface area objects functionalized with iron carbide nano-particles is presented, which allows the conservation of the macroscopic structure of the cellulose scaffold. Advanced analysis techniques, such as in-situ TEM videos of the reaction, have been employed to provide a deep insight into the actual formation of the carbon structures, which is also going to be presented. Lastly, as the shape of the cellulose template is retained on any scale, and cellulose can be shaped to about any object (biomass, paper objects and Origami) I will furthermore show that this pathway allows simple construction of complex but also aesthetically appealing objects with catalytic and magnetic properties. [1] E. Culverwell, S. C. Wimbush and S. R. Hall, Chem. Commun., 2008, Vol. 44, 1055-1057 [2] Z. Schnepp, W. Yang, M. Antonietti, C. Giordano, Angew. Chem. Int. Ed., 2010, Vol. 49, 6564-6566 [3] Z. Schnepp, S. Wimbush, M. Antonietti and C. Giordano, Chem. Mater., 2010, Vol. 22, 5340-5344 [4] Z. Schnepp, M. Thomas, S. Glatzel, K. Schlichte, R. Palkovits and C. Giordano, J. Mater. Chem., 2011, Article in press (DOI: 10.1039/C1JM12842F)
9:00 AM - DD11.32
Three Dimensional Characterization of Oxides Formed in Carbon Nanotube Reinforced Tantalum Carbide
Debrupa Lahiri 1 Anup K Keshri 1 Arvind Agarwal 1 Allen Gu 2 Jeff Gelb 2
1Florida International University Miami USA2Xradia, Inc. Pleasanton USA
Show AbstractCarbon nanotube composite materials are a highly active area of both academic and industrial research. Their light weight, high strength, and high electrical and thermal conductivity make them exciting prospects for future products. In spite of this, the material microstructures are not very well understood, due to difficulties in exposing their three-dimensional morphologies. Here, we present results on the 3D characterization of oxide formation in a carbon nanotube (CNT) reinforced tantalum carbide (TaC) composite. CNTs were grown on fine TaC particles (< 1 um dia) by chemical vapor deposition for homogeneous dispersion. The composite powder was consolidated by spark plasma sintering to obtain dense TaC-CNT composites and the oxidation behavior of TaC-CNT composite was investigated by exposing them to 40 kW DC plasma for a period of 30 seconds. The oxide layer formed on TaC-CNT composite was investigated using FE-SEM, X-ray diffraction, and X-ray microscopy. FE-SEM investigations suggested two types of oxide layers viz. a highly porous outer layer followed by a denser inner layer. The highly porous oxide layer contained a bimodal porosity distribution, with large pores ca. 50 um in diameter and fine pores ca. 1 um. To better understand the complex three-dimensional internal microstructure and porosity of both oxide layers, X-ray microscopy was used. This technique offers a simultaneously high resolution (<1 um) and large available field of view, without the need for physical sectioning. These features have made the computed tomography technique well-suited for characterization of the entire porosity distribution within a TaC-CNT composite. The results revealed a wide range of pore sizes, from ca. 100 um down to ca. 700 nm size and showed their spatial positions in 3D. Through this type of porosity characterization, the oxidation of the composite material may be better understood for increased process control in the future.
9:00 AM - DD11.35
Conductivity and Transmittance Properties of Graphene Thin Films Prepared from Exfoliated Carbon Nanotubes (CNTs), Highly Oriented Pyrolytic Graphite (HOPG), Natural Flake Graphite, and Carbon Powder
Matthew D Marchese 2 Rosario A. Gerhardt 1
1Georgia Institute of Technology Atlanta USA2Georgia Institute of Technology Atlanta USA
Show AbstractThe objective for this research was to find the most efficient method to produce highly conductive and transparent graphene thin films by spin coating. The use of super acids such as chlorosulfonic acid (CSA) has proven to be extremely effective at exfoliating different forms of graphite in high concentrations without covalently functionalizing the surface of the graphene. Once quenched, the acid solutions can then be vacuum filtered through acid resistant polypropylene filter paper with an average pore size of 0.2 µm to collect the exfoliated carbon into a free standing retentate film. These films can then be easily washed, removed, and redispersed into solution by sonicating the films in a surfactant solution. Films were deposited onto various substrates using a range of spin coating parameters. This study has found that exfoliated CNTâ?Ts provide the best conductivity out of the four types of carbon structures studied. They have also proven to be the easiest type of exfoliated carbon to disperse and are able to stay in solution with less than 1%wt surfactant. The findings have shown that the electrical conductivity of the spin coated films actually increases with RPM and is inversely proportional to the film thickness. It is possible to achieve electrical conductivities as high as 10,507 ± 3728.64 [S/m] while still maintaining the transparency of the thin films. The spin coating process has proven to be most efficient at low ramp rates around 100 rpm/s and results in very smooth films. High spin speeds of 1800 rpm have also contributed to the film uniformity which we believe plays a large role in the improved conductivity. Lastly, drying the samples on a hot plate for 5 min. on high has significantly improved the films electrical properties and virtually eliminated the need for tedious and expensive plasma cleaning treatments.
9:00 AM - DD11.36
Controlled Large-Scale Synthesis of Hexagonal Boron Nitride (h-BN) and Graphene/ h-BN Films
Ariel Ismach 1 Harry Chou 1 Cody Pope 1 Alan Covacevich 1 Richard Pinner 1 Luigi Colombo 2 Rodney Ruoff 1
1UT Austin Austin USA2Texas Instruments Dallas USA
Show AbstractHexagonal Boron Nitride (h-BN) is an insulating isomorph of graphite with Boron and Nitrogen atoms occupying the A and B sublattices in the Bernal structure. The main difference is that h-BN is a wide-bandgap (~5.5-6 eV) semiconductor. High quality h-BN thin films have been reported as being an excellent substrate dielectric for graphene electronic devices; in addition h-BN crystals also have promising DUV optoelectronic properties. However, despite advances during the last few years in the synthesis of h-BN thin films and crystals, controlled synthesis is still very challenging and the growth mechanism is not well understood. Here, we present the growth of multi-layer h-BN films by low-pressure chemical vapor deposition (LPCVD) with variable controlled thickness as a function of growth conditions. The correlation between the structural, optical and electrical properties of the h-BN films with the growth conditions will be discussed. Furthermore, a comparative study between different catalytic surfaces will be presented and discussed. Finally, insights in the growth mechanism and its comparison to the better-understood 2D counterpart, graphene, and the synthesis of stacked graphene/h-BN layers will be also discussed. Acknowledgement. We appreciate support from the Army Research Office (grant W911NF1010428) and NRI SWAN
9:00 AM - DD11.5
Polythiophene Assisted Sorting of Semiconducting Single Walled Carbon Nanotubes (SWNTs) for Thin Film Transistors
Huiliang (Evan) Wang 1 Jianguo Mei 2 Zhenan Bao 2
1Stanford University Stanford USA2Stanford University Stanford USA
Show AbstractSemiconducting SWNTs, with high charge carrier mobility and solution processibility, hold a great promise for high-performance low-cost thin film transistor applications. However, separating semiconducting SWNTs from as-synthesized SWNTs mixture still remains a challenge. It has been reported that conjugated polymers such as polyfluorene or polythiophene can sort small diameter semiconducting SWNTs but limited electrical measurements have been done based on these sorted CNTs. In this work, we design different types of polythiophenes for sorting semiconducting SWNTs, characterized by optical absorption and Raman spectroscopy. After obtaining the sorted SWNTs, we fabricate SWNT network thin film transistors and investigate how the device channel lengths and SWNT network density affect the performance of SWNT thin-film transistors. A mobility of around 7 cm^2/Vs and on/off ratio above 10^7 are simultaneously achieved for sorted small diameter Hipco SWNTs networks. Mobility as high as 16 cm^2/Vs can be obtained for sorted large diameter Arc-discharge SWNT network devices. These sorted SWNTs can be potentially useful for applications in plastic electronics and in the active layer of solar cells.
9:00 AM - DD11.6
Ferromagnetic Nanowires Coated with Graphitic Surfaces as Potential Drug Delivery Nanoplatforms
M. A Zeeshan 1 S. K Youn 2 S. Pane 1 E. Pellicer 3 S. Fusco 1 S. Schuerle 1 M. D Baro 3 J. Sort 3 4 H. G Park 2 B. J Nelson 1
1ETH Zuuml;rich Zuuml;rich Switzerland2ETH Zuuml;rich Zuuml;rich Switzerland3Universitat Autograve;noma de Barcelona Bellaterra Spain4Institucioacute; Catalana de Recerca i Estudis Avanccedil;ats (ICREA) Bellaterra Spain
Show AbstractThe design of a biomedical nanoplatform for targeted drug delivery entails significant challenges including the transport and control of the nanostructure. The use of magnetic fields to wirelessly manipulate small magnetic therapeutic agents is attractive since it has the potential to allow them to be driven to confined spaces in the human body. While magnetic nanoparticles have been well studied for use as drug carriers, other structures such as nanowires (NWs) can provide advantages, primarily because their shape anisotropy facilitates magnetic actuation [1-3]. However, some magnetic materials can be highly cytotoxic. Their surface chemistry can also limit further functionalization. Carbon nanostructures, while obviously not magnetic, pose a route to overcome these disadvantages. CNTs filled with inorganic and organic cores or externally decorated with nanoclusters and biomolecules have been reported [4-6]. One challenge is to control the quality of the carbon shells. The most desired structure is crystalline sp2 carbon, because it facilitates higher selectivity in functionalization. However, many methods for producing CNTs lead to an unfavorable amorphous carbon coating, which reduces the chemical selectivity of the carbon coating [7]. This work demonstrates batch fabrication of hybrid nanostructures consisting of magnetic NWs encapsulated by graphitic shells using template-assisted chemical vapor deposition (CVD). NWs made of Fe and Ni were synthesized by pulse electrodeposition within the pores of anodized aluminum oxide templates on silicon as a robust platform. The resulting hybrid structures displayed a uniform carbon coating consisting mainly of sp2 carbon. The length of the capsules ranged from 500 nm to 1.5 μm with an average diameter of 100 nm. The morphology of the hybrid nanostructures was examined by SEM and TEM. X-ray diffraction and micro Raman spectroscopy were used to elucidate their structure. A vibrating sample magnetometer was used to characterize the magnetic behavior of the hybrid nanostructures. The magnetic capsules were wirelessly manipulated by a 5-degree-of-freedom electromagnetic system. [1] M. A. Zeeshan et al., Nanotechnology 22 (2011), 275713. [2] M. A. Zeeshan et al., Materials Today 14 (2011), 54. [3] L. Zhang et al., ACS Nano 4 (2010), 6228. [4] Y. Sato et al., Nanoletters 7 (2007), 3704. [5] A. Ilie et al., ACS Nano 4 (2011), 2559. [6] P. M. Ajayan et al., Nature 361 (1993), 333. [7] A. Rinalidi et al., Chemistry of materials 23 (2011), 926.
9:00 AM - DD11.7
Large-area Metrology of CVD-grown Graphene Layers on Copper Foil Substrates
Dennis Larry Pleskot 1 Maziar Ghazinejad 2 Jennifer R Kyle 3 Mihrimah Ozkan 3 Cengiz S Ozkan 2
1University of California, Riverside Riverside USA2University of California, Riverside Riverside USA3University of California, Riverside Riverside USA
Show AbstractUsing Fluorescence Quenching Microscopy (FQM) to increase the contrast between the graphene layers and the substrate material, we characterized large-area CVD-grown graphene on Cu foil substrates. A histogram-based segmentation method has been applied to identify the graphene layers. Unlike methods based on color contrast on Si/SiO2 substrate, this method does not require calibration but is consistent across different samples and microscopes. The contrast range for different graphene layers on copper foil is tunable through proper selection of the dye thickness. Utilizing this large-scale metrology method, we have evaluated the effect of different thermal annealing procedures for copper catalyst substrate on the quality of the resulting CVD-grown graphene layers. It was shown that the fluorescence technique was able to accurately image large graphene samples grown on Cu foil by correctly identifying regions where multiple layers and defects were present. Our techniques also facilitate the characterization of copper grain sizes, which is a key feedback parameter in evaluation of different annealing procedure. Comparison of small-scale images of the different graphene samples revealed that these images do not adequately describe the samples and can lead to incorrect conclusions about the quality of the CVD-grown graphene samples on copper foil. The large-scale metrology technique described in this work allows for fast and accurate evaluation of the quality of entire CVD-grown graphene samples. With its flexibility, efficiency, and simplicity, our new methodology bridges the characterization of CVD grown graphene to industry level applications.
9:00 AM - DD11.8
Preparation of Graphene from Flames and the Application of TiO2/Graphene Composites in Photocatalysis
Yupeng Zhang 1 Chunxu Pan 1 2 3
1Wuhan University Wuhan China2Wuhan University Wuhan China3Wuhan University Wuhan China
Show AbstractBecause of its unusual monolayer atomic structure and unique physical and chemical properties, graphene has received a great deal of attention in fundamental and applied research. In the past several years, great progress in preparation and application has been achieved. The present paper mainly introduced the latest research progress of our group, such as the preparation of graphene and N-doped graphene, the application of TiO2/graphene composites in photocatalysis. Finally, further researches based on graphene are briefly summarized. The production of graphene and nitrogen-doped graphene from flames A simple process is described for directly preparing pure graphene and N-doped graphene sheets from ethanol flame and amine + ethanol flames respectively. The results revealed that: 1) graphene sheets from flames exhibited good transparency and a large size up to 400 μm2 with few layers and folded edges; 2) Nitrogen-doped graphene had a dominantly â?~pyridine-typeâ?T structure with C=N bonds; 3) Compared with other methods, graphene from flames had more surface defects due to environmental conditions and the introduction of nitrogen atoms, which made it a promising material for supercapacitors or catalyst supports. TiO2/Graphene Composite from Thermal Reaction of Graphene Oxide and Its Photocatalytic Activity in Visible Light TiO2 nanoparticles and graphene sheets composite was prepared from a facile thermal reaction of graphene oxide. Compared with pure P25 nanoparticles, the results reveal that, 1) there is a red shift about 20nm in the absorption edge of the P25/graphene composite; 2) the photocurrent of the composite is about fifteen times higher than that of pure P25; 3) Visible light photocatalytic activity of the composite is enhanced greatly on decomposition of methylene blue (MB). Preparation of Graphene and TiO2 Layer by layer Composite for High Photocatalytic Activity Graphene oxide (GO) was synthesized from natural graphite powder by the modified Hummers method. Large - area uniform GO and TiO2 thin films were made by a simple and scalable spin-casting process in turn. After exposure of the TiO2/GO multilayer film to UV light irradiation which allows the reduction of GO to graphene, a novel photocatalytic structure as graphene and TiO2 layer by layer composite was synthesized. The cross-sectional SEM image showed a clear layer by layer microstructure with a single layer thickness of graphene or TiO2 is in the range of 50 ~ 100 nm. The total thickness was around 5 μm which is varied according the layers. FT-IR and XPS spectra revealed that all oxygen-containing functional groups are completely removed through UV light irradiation, which indicated that GO have been transformed into graphene, and a pure graphene-TiO2 composite has been prepared. Photo-degradation for methylene blue exhibited that the layer by layer composite is of higher photocatalytic activity than the pure P25 layer.
9:00 AM - DD11.9
FET Biosensors Based on Large-area Graphene Layers: Chitosan-Polyaniline Composite Nanostructures
Tamer Cirak 1 2 Miroslav Penchev 3 Shirui Guo 4 Wei Wang 5 Emir Baki Denkbas 1 Mihrimah Ozkan 3 Cengiz S Ozkan 2 5
1Hacettepe University Ankara Turkey2University of California Riverside Riverside USA3University of California Riverside Riverside USA4University of California Riverside Riverside USA5University of California Riverside Riverside USA
Show AbstractThere is great interest in graphene for the development of biosensors due to its nanoscopic and electrical properties such as high 2D electrical conductivity, large â?" high specific surface area, mechanical flexibility and high chemical and physical stability. One of these biosensing aproaches is integration of graphene into field-effect transistor (FET) sensor. FET-based graphene biosensor platforms have the potential of label-free sensing, real time monitoring and small amount sample detection. There are reports of graphene FET biosensor based on non-specific electrostatic adhesion of biomolecules such as bacteria, or based on functionalization of graphene with linker molecules and biomolecules like antibodies, ssDNA probes and marker proteins. Conducting polymers are attractive material as a sensitive layer in electrochemical sensors, especially polyaniline (PANI) is regarded as one of the most popular and promising conducting polymers because of its unique stability and desirable electrical and optical properties. Chitosan is a unique natural polymer with cationic structure and has attractive properties such as low toxicity, excellent-controllable biodegradability, biocompatibility as well as a high positive charge that easily forms polyelectrolyte complexes with negatively charged entities. Herein, we designed a FET biosensor based on graphene. Chitosan is used for covalent linkage of biotin onto surface of device. Polyaniline is used for increasing the conductivity of analyte-polymer-graphene interface, thus facilitating the doping of graphene. We measured the current-voltage (I-V) characteristics for bare graphene, chitosan coated graphene, polyaniline coated graphene and chitosan-polyaniline composite film layer coated graphene devices. We also investigated the chitosan polyaniline ratio on composite formation, which is also effecting the free amine groups on polymer. After forming thin layer of polymers onto graphene layers, we bind the sulfonated biotin to polymer surface. Streptavidin â?" biotin interactions are detected by measuring the change in the I-V characteristics of the device. The quality and morphology of graphene are investigated by Raman spectroscopy, scanning electron microscopy (SEM), and optical microscopy. Raman data indicates the presence of an intense G band at 1581 cm-1, 2D band at 2709 cm-1 peaks, the ratio between the G and 2D band indicate the typical characteristics for single-layer graphene films. Optical and scanning electron microscopy indicate a continuous graphene film without any visible wrinkles or cracks. Morphology of polymer coated graphene are investigated using Atomic Force Microscopy (AFM) and chemical identification of polymers carried out using Fourier Transform Infra Red (FTIR) spectroscopy.
DD7: Hierarchical Nanostructures and Nanocomposites I
Session Chairs
Wednesday AM, April 11, 2012
Moscone West, Level 3, Room 3009
9:30 AM - DD7.2
Structure-thermal Transport Processes in Three-dimensional Few-layer Graphene / Ultra-thin Graphite Foam
Michael Thompson Pettes 1 Hengxing Ji 1 Rodney S Ruoff 1 2 Li Shi 1 2
1The University of Texas at Austin Austin USA2The University of Texas at Austin Austin USA
Show Abstract
Low dimensional carbon nanomaterials, such as 1D carbon nanotubes and 2D graphene have received interest for both electronic device and thermal management application solutions. In both suspended high-quality CNTs and graphene samples, high axial thermal conductivity and electron mobility are expected because of strong sp2 bonding of light carbon atoms. Advances have been made using carbon nanomaterials as fillers for enhancing the electrical and thermal conductivities of light-weight polymeric composites, however, only moderate thermal conductivity enhancement has been reported for composites with CNT and graphene fillers, and has been attributed to contact thermal resistance, agglomeration, and structural deformation of the fillers. The very recent realization of a 3D foam structure of 2D graphene-based building blocks provides a new architecture to exploit the ultrahigh electrical and thermal conductivities of graphene with reduced contact resistances in this seamless structure. Here we show that at a volume fraction of just 0.4%, the effective thermal conductivity of a graphene/ultra-thin graphite foam synthesized through the use of methane CVD on reticulated nickel foam, 0.23 Wm-1K-1 at room temperature, is already comparable to carbon nanotube and ultra-thin graphite-based composites with 2â?"3 times higher loading. At temperatures below 80 K, the thermal conductivity shows a quadratic dependence on temperature and peaks at ~178 K, where the thermal conductivity of the few-layer graphene/ultra-thin graphite itself inside the foam was calculated at 246±52 Wm-1K-1. A theoretical model is used to calculate the phonon-boundary scattering mean free path at approximately 188 nm. Additionally, the effective electrical resistivity displays a peak of 7.3Ã-10-3 Ω-m at ~40 K and decreases to 5.1Ã-10-3 Ω-m at room temperature, where the resistivity of the few-layer graphene/ultra-thin graphite itself was calculated at (7.5±1.6)Ã-10-6 Ω-m.
9:45 AM - DD7.3
Synchronous Synthesis of Graphene-carbon Nanotube Hierarchical Nanostructures
Maziar Ghazinejad 1 2 Shirui Guo 3 Jennifer Reiber Kyle 2 Mihrimah Ozkan 2 Cengiz S Ozkan 1
1University of California, Riverside Riverside USA2University of California, Riverside Riverside USA3University of California, Riverside Riverside USA
Show AbstractWe report on an innovative approach for synchronous fabrication of multiple component carbon hybrids. Large and uniform graphene-carbon nanotube (CNT) hybrid films were successfully synthesized via simultaneous CVD growth of graphene layers and carbon nanotubes on copper foil. The grown graphene-CNT hybrid is a remarkable carbon nanostructure with tuneable nano-architectonics, which is essential for application-oriented design of hierarchical graphene structures.The unique mechanism of synchronized CVD growth of CNT and graphene contributed significantly to the composure of the final carbon structure. Detailed characterization of synthesized pillared graphene shows the cohesive structure and crystalline contact between the two carbon allotropes in the hybrid. The parallel growth of grapheme-CNT hybrids on copper foil, a significant technical improvement, opens the door for realistic applications of graphene, in which it is required to have a mechanically flexible and electrically/thermally conductive substrate. To demonstrate the functionality of the graphene hybrid structure, it has been readily implemented into a supercapacitor cell. The measurement of the supercapacitor cell demonstrates relatively high energy density with good cycling stability that paves the way for developing novel fabrication techniques for grapheme based energy storage devices. The introduced fabrication methodology is based on premeditated design of catalysts foundation, analysis of carbon formation mechanisms, and thoughtful timing in the fabrication procedure, as synchronization in the growth process is vital. Accordingly, chemical nature, morphology, and organization of the catalysts, as well as pre-processing of the growth substrate, are the key designing measures of this methodology. The synchronous parallel growth methodology offers an ideal mass production technique for carbon hybrids. It also does not involve any unconventional laboratory-style step, and is highly compatible with industrial thin-film fabrication technologies.
10:00 AM - DD7.4
Formation of 2D and 3D Graphene Nanoarchitectures
Goknur Cambaz Buke 1 Gleb Yushin 2 Vadym Mochalin 3 Yury Gogotsi 3
1Cankaya University Ankara Turkey2Georgia Institute of Technology Atlanta USA3Drexel University Philadelphia USA
Show AbstractControlled synthesis of 2D and 3D graphene nanostructures with well defined shapes is critical for both the fundamental studies and the development of nanoelectronic devices. Previously, we have shown the formation of 3D novel nanostructures (nano-pagodas) from SiC through wet etching of whiskers [1] and graphene synthesis through the high temperature vacuum decomposition of SiC single crystalline wafers [2]. In this study, we combined these two techniques in order to investigate the formation of novel 2D and 3D nanostructures of graphene sheets through the vacuum decomposition of SiC nano-pagodas (wet etched SiC whiskers). Complex morphologies of graphitic structures described in this study may be of interest for a number of applications. 1. Z.G. Cambaz, G.N. Yushin, Y. Gogotsi, V.G. Lutsenko, Anisotropic Etching of SiC Whiskers, Nano Letters 6 (3), 548 -551 (2006) 2. Z.G. Cambaz, G. Yushin, S. Osswald, V. Mochalin, Y. Gogotsi, Noncatalytic Synthesis of Carbon Nanotubes, Graphene and Graphite on SiC, Carbon 46 (6) 841-849 (2008)
10:15 AM - DD7.5
Growth of Pillared-graphene Architectures on SiC for Efficient Thermal Transportation
John Boeckl 1 Weijie Lu 1 Sabyasachi Ganguli 2 Ajit K Roy 2
1Air Force Research Lab Wright-Patterson Air Force Base USA2Air Force Research Laboratory Wright-Patterson Air Force Base USA
Show AbstractEfficient thermal management has been recognized as an important issue in electronics designed for the aerospace industry. One dimensional carbon nanotubes (CNTs) and two dimensional graphene are well known for their superior thermal conductivities. One of the major challenges for thermal applications of carbon nanomaterials is their anisotropy in thermal conduction, which limits the performance in composed three-dimensional thermal transport materials [1]. A pillared-graphene architecture was proposed which combines graphene sheets and CNTs to create a novel three-dimensional network for high efficient thermal transport. Theoretical modeling has shown that the CNT pillar-graphene junction controls the thermal transport in the three dimensional nanostructures and both minimum interpillar distance and pillar length are important parameters in determining thermal conductivity in each direction [1]. This proposed nano-structure could be used as a template for designing high efficient thermal transportation materials. In this work, we have demonstrated that pillared-graphene architectures can be grown on SiC experimentally by varying the pressure and temperature in the growth chamber. The growth process consists of the removal of Si from the SiC substrate yielding a carbon rich surface that, over a short time, self organizes into sp2 bonds that arrange into the hexagonal honeycomb lattice structure of graphene or the vertically rolled cylinders of CNTs. Specifically, in atmospheric pressure Ar, SiC decomposition results in the formation of graphene aligned parallel to the surface. Conversely, under high vacuum (10-4 to 10-5 Torr) with the presence of low-pressure residual oxygen, multi-walled CNTs (MWNTs) that are vertically aligned to the SiC surface are grown. These MWNTs are metal-free, vertically aligned and contain minimal structural defects. Well-aligned single walled carbon nanotubes (SWNTs) can be grown on SiC under vacuum pressures of 10-6 to 10-7 Torr. Therefore, a range of low-dimensional carbon nanostructures; SWNTs, MWCNTs, and graphene, can be produced via control of the pressure at high temperatures. Pillared graphene structures grown on SiC are analyzed using cross-sectional transmission electron microscopy (TEM). Preliminary thermal conductivity measurements will also be presented. [1] V. Varshney, S. S. Patnaik, Ajit K. Roy, G. Froudakis,_ and B. L. Farmer, ACSNano, 4(2), 1153 (2010).
10:30 AM - DD7.6
Tunable Mechanical Properties of Graphene Oxide through Interlayer Hydrogen Bond Distribution
Markus J Buehler 1 Steven Cranford 1 Owen Compton 2 Karl Putz 2 Cate Brinson 2 SonBinh Nguyen 2
1MIT Cambridge USA2Northwestern University Evanston USA
Show AbstractWeak, reversible chemical bonds â?" such as hydrogen bonding and Coulombic interactions â?" provide a potential means to manipulate the mechanical properties and structure of materials including biological and polymeric systems. Chemically derived graphene oxide (GO) is an atomically thin sheet of graphite that has traditionally served as a precursor for graphene, but is increasingly attracting materials scientists for its own characteristics â?" specifically the functionality provided by the addition of hydroxyl and epoxide groups. Unlike pristine graphene, GO composites offer a means to vary chemical interactions through solvent content, and illustrate the potential to vary mechanical properties. In this talk, we present a joint experimental-computational analysis of GO, aimed at developing a rigorous understanding of the structure and mechanical properties of GO. We report a series of molecular dynamics simulations of C6O3H1 graphene oxide with a reactive force field, used to complement experimental analysis. We elucidate the structural and mechanical properties of multi-layer GO assemblies with varying water content and compare directly with experimental results. We discover that, although the GO nanosheets continuously swell upon the addition of water, the change in mechanical behavior is nonlinear. We find optimal water contents for mechanical performance (peak strength and stiffness), dependent on either an axial of shearing mode of deformation. Simulation results portray a continuous regime of strength and stiffness, suggesting great potential for tunable mechanical properties. We further show that the deformation mechanisms of these composites are controlled by the evolution of hydrogen bond networks that involve hydroxyl and epoxide functional groups and water molecules within the interlayer cavities under load, which can be used to predict theoretical ultimate strengths. These structures are a powerful model system for hydrophilic lamellar materials where manipulation of water content and/or other nanoscale components (such as short polymer chains), which induce interlayer bonding, can be exploited to control mechanical behavior.
10:45 AM - DD7.7
Scaling Model of Catalytic CVD of Carbon Nanotubes and Graphene
John Robertson 1
1Cambridge University Cambridge United Kingdom
Show AbstractFe, Co and Ni are the most efficient catalysts for the chemical vapor deposition (CVD) of carbon nanotubes, which involves both the dissociation of a hydrocarbon precursor and diffusion of carbon across the catalyst. Other metals act less efficiently. CVD graphene requires only a low efficiency catalyst to be formed. We identify catalytic CVD production of carbon nanostructures on transition metals in terms of a general catalytic process. Norskov et al [1] have classified the whole behaviour of catalytic molecular reactions on transition metal surfaces by noting that the chemisorption energy of the intermediate species correlates linearly with the activation energy of the transition state, and both vary linearly with the metal d orbital energy. This leads to a â?~volcano plotâ?T of reaction rates versus the metal d orbital energy. Fe, Co lie at the top, with fastest reaction rates, as the activation energy is not too high, and the products are not too strongly bound so they can evolve. To the left, the carbon is too strongly bound to the metal, so reactive sites become blocked and the catalyst becomes poisoned. To the right, the metal is too weak at dissociating the C-H bonds to make enough carbon, so rates are slow. Interestingly graphene requires the latter case, an inefficient catalyst. Even less efficient catalysts are oxides, nitrides and carbides, which have recently been tried for graphene production. But carbon atoms do not have good surface mobility on these atypical catalysts, so, to date, only lower grade nanocrystalline graphene is formed. 1. J K Norskov, et al, J Catal 209 275 (2002);Phys Rev Lett 99 016105 (2007)
DD8: Growth, Defects, Mechanisms II
Session Chairs
Wednesday AM, April 11, 2012
Moscone West, Level 3, Room 3009
11:30 AM - *DD8.1
Lateral and Vertical Junctions in CVD Graphene
Jiwoong Park 1 2
1Cornell University Ithaca USA2Cornell University Ithaca USA
Show AbstractThe science of graphene has recently experienced a revolutionary change, mainly due to the development of large scale growth methods. We recently reported the first atomic-resolution STEM imaging of individual grain boundaries in graphene membranes as well as a dark-field TEM technique that rapidly characterizes the graphene grain structures. Using these new synthesis, fabrication and TEM characterization methods, we can now investigate the structure of grain boundaries (lateral junctions) and probe their effects on the overall mechanical, electrical, and chemical properties of CVD graphene. In addition, CVD graphene produces bilayer regions either Bernal stacked and twisted. Using the darkfield TEM technique, we found that the angle dependent interlayer interaction leads to fascinating electrical and mechanical properties of bilayer graphene in different configurations (vertical junctions). Namely, we observed a transition between two different mechanical coupling regimes: (a) atomic scale registry for Bernal stacked layers and (b) continuous translation and independent strain relaxation for twisted layers. Furthermore, twisted bilayer graphene shows strong optical resonance in the visible range with the resonance energy determined by the twist angle. This behavior, studied by multi-color Raman imaging, is consistent with predictions of tight-binding calculations.
12:00 PM - DD8.2
Bulk Preparation of Holey Graphenes
Yi Lin 1 Kent A Watson 1 John W Connell 2
1National Institute of Aerospace Hampton USA2NASA Langley Research Center Hampton USA
Show AbstractHoley graphenes, sometimes called graphene nanomeshes, have been prepared on a substrate level using lithography methods and were shown to have significantly different electronic properties from their parent graphene sheets. Here we report a facile catalytic oxidation procedure to prepare multi-gram quantities of holey graphenes with controlled hole sizes in the range of a few to a few tens of nm. The products were characterized using various microscopy and spectroscopy techniques, with the results showing interesting comparison with the reduced graphene oxide starting materials. Importantly, the available quantity of these materials also allowed their incorporation into polymeric nanocomposites for mechanical, electrical, and thermal evaluations. It may also be envisioned that these holey graphene materials may be used as novel catalytic support systems or for energy storage applications.
12:15 PM - DD8.3
Thermo-chemical Metastability of Multilayer Epitaxial Graphene Oxide: Experiments and Density Functional Theory Calculations
Angelo Bongiorno 1 Si Zhou 1 Suenne Kim 2 Yike Hu 2 Claire Berger 2 Walt de Heer 2 Elisa Riedo 2
1Georgia Institute of Technology Atlanta USA2Georgia Institute of Technology Atlanta USA
Show AbstractGraphene oxide is a material with potential applications in nano-electromechanical systems sensors, energy storage devices, optics and nano-electronics. Graphene and graphite, however, do not have a native oxide and harsh chemical treatments are necessary to produce multilayer graphene oxide films. The structural complexity and the thermal stability of multilayer graphene oxide remain not well understood and only approximately controlled. In this work, we present a combined experimental and density functional theory study of multilayer graphene oxide (GO) grown epitaxially on silicon carbide. Multilayer GO is synthesized via the Hummers method via oxidation of graphene films grown epitaxially on a C-terminated surface of a SiC wafer. X-ray photo-emission spectroscopy (XPS) is used to monitor changes of the structural and chemical composition of these epitaxial GO films with aging time. To interpret our experimental results and, at the same time, gain atomistic insight, we perform density functional theory calculations. To model GO, periodic atomistic structures of bulk GO are generated to represent the interior of the epitaxial films. The model structures are generated by using variable-cell Car-Parrinello molecular dynamics simulations. Further, density functional theory calculations are carried out to compute C 1s core-level energy shifts and XPS spectra. XPS measurements are interpreted by making comparison with computed XPS spectra resulting from model structures of GO with selected and different structural and chemical features. In this combined experimental and DFT study we show that multilayer graphene oxide grown epitaxially on silicon carbide is structurally and chemically metastable at room temperature. Multilayer GO films undergo spontaneous modifications and reduction with a relaxation time of about 35 days. These self-limiting processes lead multilayer GO toward a longer-living quasi-equilibrium state, in which GO exhibits a structure deprived of epoxide groups, whereas it remains rich in hydroxyl groups, with a final reduced O/C ratio of 0.38. The presence of H in GO is found to be at the origin of the structural and chemical metastability observed in this study. These H species favor the reduction of epoxides and less extensively hydroxyl groups, and the formation and release of intercalated water molecules. This study provides fundamental understanding of the structural and chemical stability of multilayer graphene oxide. This new understanding will be crucial for the use of this material in industrial applications. Furthermore, this study suggests that a possible route to control structure, chemistry, and hence properties of thermally or chemically reduced GO could be carrying out these processes in H-rich conditions, or after the quasi-equilibrium state of GO is attained.
12:30 PM - DD8.4
Macroscopic Graphene Growth with Atomic-layer Thickness Control on Epitaxial Metal Thin Films
Peter Sutter 1 Eli Sutter 1
1Brookhaven National Laboratory Upton USA
Show Abstract
Graphene has been used to explore the fascinating properties of two-dimensional sp2 bonded carbon, and shows great promise for applications. A key prerequisite for large-scale applications of graphene is the development of robust synthesis methods that produce structurally perfect, macroscopically large graphene sheets with uniform thickness, into which active device structures can be patterned. Methods based on chemical vapor deposition (CVD) or carbon segregation on transition metals have recently become promising approaches for large-scale graphene synthesis. In addition to the relatively thick polycrystalline metal films and foils used predominantly for developing graphene growth, novel ultrathin (< 100 nm) epitaxial metal templates were demonstrated recently [1] and could become part of a technology for producing graphene of extremely high quality that can be isolated from the metal and transferred to arbitrary supports. Here we discuss results of real-time low energy electron microscopy (LEEM) experiments aimed at establishing the fundamental mechanisms of graphene growth on ultrathin epitaxial transition metal films, using Ru(0001) films on c-axis oriented sapphire as a model system. We have determined the graphene growth mechanisms and compared them with growth on bulk Ru(0001) single crystals, focusing on desirable properties such as azimuthal alignment/ordering, low defect density, and large size of the graphene domains. In contrast to a bulk crystal or thicker film or foil, ultrathin films of transition metals with finite carbon solubility, such as Ru or Ni, offer the possibility of controlling the amount of interstitial carbon that can be stored in the metal via the thickness of the epitaxial metal template. We have designed specific in-situ experiments that probe, as a function of metal template thickness, the competition between direct CVD and carbon segregation, and hence provide the basis for developing new growth protocols for high-quality monolayer and few-layer graphene with atomically controlled, uniform thickness. [1] P. Sutter, P. Albrecht, and E. Sutter, Appl. Phys. Lett. 97 (2010) 213101.
12:45 PM - DD8.5
Nucleation and Growth Kinetics of Graphene Layers on the Surface of Metallic Melts
Shaahin Amini 1 Reza Abbaschian 1
1University of California Riverside Riverside USA
Show AbstractRecently growth of graphene layers from metal-carbon melts was introduced by present authors. In this process, carbon dissolved in metallic melts consisting of Ni or Cu was found to grow as single layer graphene or graphite nanocrystals on the surface of the melt upon cooling along with graphite formation in the interior of the melt. A comprehensive model is presented here to examine the effect of processing parameters on kinetics of graphene formation. The model utilizes the two-dimensional nucleation and growth to describe the graphene nucleus formation as well as carbon transport into the graphene layers. The nucleation of graphene layer on melt surface is found to be more favorable than those within the melt because of the favorable energy considerations. Upon formation of each nucleus, it spreads rapidly by a diffusion-controlled continuous growth. The growth normal to basal plane (layers thickening), on the other hand, is interface-controlled, necessitating 2D nucleation or dislocation assisted ledge formation. It is found that at lower degree of supersaturation and higher degree of cooling rate the faceted basal plane nucleation lags behind the graphene lateral growth in average which will result in the formation of single layer graphene on the surface of the melt.
Symposium Organizers
Cengiz S. Ozkan, University of California, Riverside
Kang Wang, University of California, Los Angeles
Markus J. Buehler, Massachusetts Institute of Technology
Nicola Pugno, Politecnico di Torino
DD13: Carbon Nanotubes II
Session Chairs
Thursday PM, April 12, 2012
Moscone West, Level 3, Room 3009
2:30 AM - *DD13.1
Alignment Controlled Growth of Single Walled Carbon Nanotubes on Quartz
Jianliang Xiao 1 Simon Dunham 2 Ping Liu 3 Yongwei Zhang 3 Yonggang Huang 1 4 John A Rogers 2 5
1University of Colorado Boulder USA2University of Illinois Urbana USA3Institute of High Performance Computing Singapore 117528 Singapore4Northwestern University Evanston USA5Beckman Institute, and Seitz Materials Research Laboratory Urbana USA
Show AbstractSingle walled carbon nanotubes (SWNTs) possess extraordinary electrical properties, with many possible applications in electronics. Dense, horizonally aligned arrays of linearly configured SWNTs represent perhaps the most attractive and scalable way to implement this class of nanomaterial in practical systems. Recent work shows that templated growth of tubes on certain crystalline substrates yields arrays with the necessary levels of perfection, as demonstrated through the formation of devices and full systems on quartz. This paper examines, through combined experimental and theoretical studies, the fundamental mechanisms associated with the growth of such arrays, to suggest that angle dependent van der Waals interactions dominate the process. These models account for nearly all aspects of SWNT alignment on quartz with X, Y, Z and ST cuts, as well as quartz with disordered surface layers. These findings yield important insights and development strategies for guided growth of SWNTs on crystalline substrates, in linear arrays or other arrangements that could find utility in applications in electronics, sensing, photodetection, light emission and other areas.
3:00 AM - DD13.2
Growth of Dense Carbon Nanotube Forests on Bulk Copper Substrates Coated with a Single Inconel Thin Film
Korrinn Marie Strunk 1 Joseph J Sopcisak 1 Jennifer L Gray 1
1University of Pittsburgh Pittsburgh USA
Show AbstractCarbon nanotubes (CNTs) are known for their excellent mechanical and structural properties as well as high thermal and electrical conductivities. These physical properties make CNTs very suitable candidates for use in sensors, interconnects, photovoltaics, and electrodes for energy storage devices. Copper is a relatively cheap and efficient substrate, with good electrical properties but not an effective catalyst for nanotube growth. In order to grow nanotubes on a copper foil, we have first sputtered a thin film of Inconel on the copper. To achieve very high densities of nanotubes, we also supply iron, delivered in vapor phase from ferrocene decomposition during CVD nanotube growth. Both Inconel and Fe act as catalysts for the nanotube growth and Inconel may additionally act as a barrier layer preventing Fe from diffusing into the copper substrate and therefore keeping the catalyst density high. We used SEM, TEM, and Raman techniques to study the structure and quality of the CNTs under different growth conditions. We focused on manipulating the CVD vapor temperature and pressure, substrate temperature, and composition of the catalysts to determine the growth parameters that yielded the best catalyst distributions and therefore greatest nanotube densities. Interestingly, higher nanotube densities have been achieved by first removing the native oxide layer from the copper surface, before Inconel deposition. Those samples also showed larger values of power density and specific capacitance.
3:15 AM - DD13.3
Transition Metal Catalyst Nanostructure for the Synthesis of Highly Vertically Aligned Multi-walled Carbon Nanotubes
Takashi Matsumoto 1 Osayuki Akiyama 1 Daisuke Nishide 1 Yuichi Yamazaki 1 Makoto Wada 1 Naoshi Sakuma 1 Akihiro Kajita 1 Tadashi Sakai 1
1Low-power Electronics Association amp; Project (LEAP) Tsukuba Japan
Show AbstractCarbon nanotubes (CNTs) are a promising candidate for next-generation interconnect wiring because of their excellent properties: ballistic transport, high maximum current density, and good thermal conductivity. Most interconnect applications require high density, highly vertically aligned, and high crystalline CNTs. Transition metal catalystsâ?"for example, Fe, Co, and Niâ?"are very useful for growing such CNTs. However, understanding exactly how to grow highly vertically aligned and high density CNTs is still under investigation. In order to clarify the key points for growing vertically aligned CNTs from a transition metal catalyst, we investigated the nanostructure of a transition metal catalyst (cobalt) and promoter metal layer (TiN) in detail. The CNTs were synthesized by using a microwave plasma enhanced chemical vapor deposition system (MW-PECVD). The surfaces of the Co catalyst and TiN promoter metal layer were reduced with H2 and H2 /N2 plasma. Simultaneously, the plasma treatment enhanced the Co nano-particle formation, after which multi-wall carbon nanotubes (MWCNTs) were grown at 470 degrees C by a thermal CVD process with a mixture of C2H4 and H2 gases. We analyzed the CNTs and the Co nano-particles by cross sectional and planar transmission electron microscopy (TEM), reflection high energy electron diffraction (RHEED), scanning electron microscopy (SEM), atomic force microscopy (AFM), and X-ray photoemission spectroscopy (XPS). The SEM observation showed that vertically aligned MWCNTs had been successfully grown and that this growth was influenced by the size, shape, and distribution of the Co nano-particle. The XPS spectra of the TiN promoter layer indicated that the residual oxygen in the TiN layer degraded the activity of the catalyst (Co nano-particles) in terms of CNT growth. The TEM observation and diffraction patterns analyses showed that the growth direction of an MWCNT depended on the orientation of the Co nano-particles. The preferred direction for a vertically aligned MWCNT was <110> axis of fcc-Co. Almost completely close-packed MWCNTs (with a density as high as 4 Ã- 10E11cm-2, estimated from the planar TEM image) were grown. In conclusion, our study of the catalyst (Co) nano-structure to better understand the growth mechanism of highly vertically aligned MWCNTs had two main findings. One, the catalyst crystalline structure affected the MWCNT growth direction, and two, the yield of the CNT growth depended on the residual oxygen concentration in the TiN layer. This work was conducted as part of the Ultra Low Voltage Device Project supported by the New Energy and Industrial Technology Development Organization (NEDO) and the Ministry of Economy, Trade and Industry (METI) of Japan.
3:30 AM - DD13.4
Activation of Carbon Nanotube Yarn and Sheet by Radio Frequency Atmospheric Pressure Plasma Jet
Hang Yu 1 Siu F Cheng 2 Thomas S Williams 1 Joseph Severino 3 Igor M De Rosa 3 Robert F Hicks 1 2
1University of California Los Angeles Los Angeles USA2Surfx Technologies Culver City USA3University of California Los Angeles Los Angeles USA
Show AbstractSurface activation is a critical step in the preparation of carbon nanotubes (CNT) for chemical functionalization and formation of nanocomposites. A new approach is reported here for rapid and damage free CNT activation. In this work, CNT sheets and yarns were treated with the afterglow from a radio frequency (RF) atmospheric pressure oxygen and helium plasma. The afterglow contained ~10^16 cm-3 O atoms, ~ 10^16 cm-3 (1Î"g), ~10^14 cm-3 O3, and negligible amounts of charged species. X-ray photoelectron spectroscopy revealed that up to 20% of the aromatic carbon atoms were oxidized by just a few seconds exposure to the atmospheric pressure plasma. Fourier transform infrared spectroscopy revealed that the functional groups were 50% alcohols, 25% ketones, and 25% carboxylic acids. No damages in the structure of the nanotubes could be seen by scanning and transmission electron microscopy. Water contact angles measured on the CNT sheet after plasma treatment were below 5 degrees. The implications of this work for CNT functionalization and dispersion in nanocomposites will be discussed at the meeting.
3:45 AM - DD13.5
Revealing Diameter-dependent Growth Kinetics in Carbon Nanotube Populations
Mostafa Bedewy 1 Eric R Meshot 1 A. John Hart 1
1University of Michigan Ann Arbor USA
Show AbstractHighly monodisperse carbon nanotubes (CNTs) are required for many applications such as electronics (single-walled CNTs), and photonics (multi-walled CNTs). In order to decipher how to control the growth of uniform CNT populations, understanding the size-dependent variations of growth kinetics is needed. Although, these variations have been predicted by theoretical models, they have proven to be inaccessible for direct measurement because measurement of growth rate is always the combined rate of a large growing population. More importantly, experimentally studying this size-dependence would enable tailoring the morphological, physical and mechanical properties of devices that are based on CNT ensembles, such as flexible conductors and filtration membranes. We reveal the time-evolution of diameter-dependent growth kinetics within a vertical CNT forest, grown in a cold-wall chemical vapor deposition (CVD) reactor, by combining real-time height measurements with ex situ synchrotron X-ray scattering and attenuation. The statistical distribution of diameters within the growing CNT population is accurately probed by fitting small angle X-ray scattering (SAXS) results with a mathematical model for hollow cylinders with log-normally distributed diameters, and the evolution of mass and number densities of CNTs is calculated from X-ray mass attenuation. Then, the growing CNT population is broken down to several subpopulations having narrow diameter range, enabling, for the first time, the calculation of growth kinetics for each of these subpopulations. Results show that the mass kinetics curves are self-similar, and fitting them with the S-shaped Gompertz model of population growth provides insights into diameter-tailored optimal growth conditions. We also find that smaller CNTs have shorter lifetimes, and these results suggest that time varying growth recipes could enable significant improvements in the monodispersity of CNT films and forests.
DD14: Hierarchical Nanostructures and Nanocomposites II
Session Chairs
Thursday PM, April 12, 2012
Moscone West, Level 3, Room 3009
4:30 AM - DD14.1
A Facile Route to Sandwich-like Graphene/Metal Oxide Nanocomposite
Hsun-Wei Cho 1 Jih-Jen Wu 1
1National Cheng Kung University Tainan Taiwan
Show AbstractHere we report a facile process to synthesize the sandwich-like nanocomposites which is composed of reduced graphene nanosheet (RGNS) and layered basic zinc acetate(LBZA). Graphene oxide nanosheet (GONS) was first prepared using modified Hummerâ?Ts method. Then the unique structure of LBZA/GO/LBZA can be easily synthesized by chemical bath deposition (CBD) at low temperature. UV-assisted photo-reduction, which is an environment friendly method, was employed to reduce the GONS in the composite. LBZA/RGNS/LBZA sandwich-like nanocomposites were therefore obtained. After annealing treatment, ZnO nanocrystal converted from LBZA were well anchored on the surface of RGNS to form the LBZA/RGNS/LBZA nanocomposites. The composite was characterized by scanning electron microscopy (SEM), UV-vis spectroscopy, and transmission electron microscopy (TEM). The mass ratio of ZnO to RGNS were determined by thermogravimetric analysis (TGA). This multilayer structure is expected to facilitate the ion intercalation into the nanocompostes. The electrochemical performances will be discussed in the presentation.
4:45 AM - DD14.2
Surface Modifications of Graphene-based Polymer Nanocomposites by Different Synthesis Techniques
Burcu Saner Okan 1 Selmiye Alkan Guuml;rsel 1 Yuda Yueruem 1
1Sabanci University Nanotechnology Research and Application Center Istanbul Turkey
Show AbstractGraphene has attracted great interest due to its unique electronic, thermal, and mechanical properties, resulting from its two-dimensional structure, and to its potential applications like microchips, chemical sensing instruments, biosensors, energy storage devices and other innovations. Multiple graphene layers can be used as fillers in polymer matrix to improve the characteristic properties of nanocomposites. With the appropriate surface treatments, graphene sheets can be separated from graphite material and the layer-to-layer distance can be extended. In this work, graphene nanosheets were exfoliated from graphite in large quantities by applying an improved chemical route including oxidation, sonication and reduction. Layer thickness of graphene nanosheets was tailored systematically by changing feeding polymer ratios by comparing two coating methods: in-situ and electrochemical polymerizations. The surface morphologies of nanocomposites were investigated by Scanning Electron Microscopy (SEM) and High Resolution-Transmission Electron Microscopy (HR-TEM). The change in the amount of surface functional groups of nanocomposites according to polymer weight, polymer type and polymerization technique was investigated in detail by Fourier Transform Infrared Spectroscopy (FT-IR), X-ray photoelectron spectroscopy (XPS) and Energy-Dispersive X-Ray (EDX) analyzing system.
5:00 AM - DD14.3
Advanced Carbon Nanotube-alumina Composite for Applications
Mamoru Omori 1 Go Yamamoto 1 Keiichi Shirasu 1 Toshiyuki Hashida 1
1Tohoku University Sendai Japan
Show AbstractFabrications of carbon nanotube (CNT)-alumina composite have been done in many places. However, composites with enough mechanical properties for application have not been prepared because of agglomeration of CNTs. We tried two attempts, using aluminum hydroxide instead of alumina powder and selecting dispersive multi-walled carbon nanotubes (MWNTs). MWNTs are classified into two groups of thick and thin. Thick MWNTs consist of a large number of graphene and grows more than 30 nm in diameter, and they are produced at limited factories. Thin MWNTs of a small number of graphene are less than 30 nm in diameter and are dealt with many companies in the world. The stiffness of MWNTs depends on a number of grapheme and diameter. Thick MWNTs are high stiffness and less agglomerated when they are mixed in water slurry. Thin MWNTs with low stiffness can not escape from agglomeration and differ from one another in it. The small size of agglomerated MWNTs may not greatly reduce the strength of the composite. There is a thin MWNT orderly agglomerated less than ten microns. The size of this agglomerated thin MWNT is expected to be less than several microns in composite and not to lower strength greatly. The composites were prepared from 0.3 to 5 mass% of these MWNTs by spark plasma sintering (SPS). Thick MWNTs were individualized in the composite consisted of less than 1 mass% of them. Thin MWNTs were not individualized and agglomerated less than 5 microns in the composite. The strength of all the composites was more than 400 MPa and the toughness was more than that of the alumina compact synthesized from a commercial alumina powder. The dispersed thick MWNT increased the strength and toughness of the composite by bridging and pullout of it. The composite was characterized by high strength and toughness, electric conductor, microwave absorption and low friction. To promote applications of the composite, the composite was coated with graphite film by rubbing graphite powder on its surface and turned into a low friction material. The graphite film was bonded to the MWNTs exposed on the surface of the composite by van der Waals force and not peeled away by rubbing with paper or cloth.
5:15 AM - DD14.4
In Silico Tensile Test of Graphene Cables
Federico Bosia 2 Nicola Maria Pugno 1
1Universitagrave; di Torino Torino Italy2Politecnico di Torino Torino Italy
Show AbstractIn this contribution, we apply a hierarchical fibre bundle model, previously developed to estimate the mechanical properties of multiscale carbon nanotube-based structures [1-4], to the case of graphene macroscopic cables. The nonlinear elastic properties of graphene and its exceptional intrinsic strength, with mean Young modulus of 1.0 TPa, third order elastic stiffness of minus 2.0 TPa, and intrinsic strength of 130 GPa, are drawn from recent experimental studies [5]. The model allows to derive macroscopic characteristics like strength, stiffness, toughness as a function of hierarchical structure, starting from statistically distributed properties at the nanoscale, and without the introduction of additional ad hoc parameters. The influence of the presence of defects in the graphene bundles is evaluated. We also analyze the properties of graphene-reinforced composites, including the influence of the volume fraction of a ductile polymeric matrix. We show that the composite properties can be engineered to optimize strength and/or toughness, and that the present model can be a useful tool to help pursue this objective. [1] N. Pugno, F. Bosia, A. Carpinteri â?oMultiscale Stochastic Simulations as in Silico Tensile Testing of Nanotube-Based Macroscopic Cablesâ?, Small 4 (8), 1044 (2008) [2] N. Pugno, F. Bosia, A. Carpinteri, Size-effects on the strength of nanotube bundles, MEASUREMENT SCIENCE AND TECHNOLOGY (2009), 20, 084028 [3] N. Pugno, F. Bosia, Scaling properties of nanotube-based macroscopic cables through multiscale numerical simulations, IEEE NANOTECHNOLOGY MAGAZINE (2009), Special issue on Multi-scale simulation tools for nanotechnology applications (2009), December, 14-19. [4] F. Bosia, M. Buehler, N. Pugno, Hierarchical simulations for the design of super-tough nanofibres inspired by spider silk. PHYSICAL REVIEW E (2010), 82, 056103 (7pp). [5] C. Lee et al., "Measurement of the Elastic Properties and Intrinsic Strength of Monolayer Graphene", Science 321, 385 (2008)
DD15: Poster Session
Session Chairs
Thursday PM, April 12, 2012
Marriott, Yerba Buena, Salons 8-9
9:00 AM - DD15.1
Probing Mechanical Properties of Chemical Vapor Deposition Graphene Membranes Using Indentation Methods
Gwan-Hyoung Lee 1 5 Ryan Cooper 1 Sungjoo An 1 Arend van der Zande 1 Nicholas Petrone 1 Sunwoo Lee 2 Alex Hammerberg 1 Changgu Lee 3 Bryan Crawford 4 Jeffrey Kysar 1 James Hone 1
1Columbia University New York USA2Columbia University New York USA3Sungkyunkwan University Suwon Republic of Korea4Nanomechanics Inc. Oak Ridge USA5Samsung-SKKU Graphene Center (SSGC) Suwon Republic of Korea
Show AbstractRecent experimental studies have shown that two-dimensional pristine graphene is the strongest material ever measured. We used Atomic Force Microscopy (AFM) and Agilent G200 nanoindenter to measure the mechanical properties of graphene films obtained by Chemical Vapor Deposition (CVD). CVD graphene with different grain size and number of layers were produced in controlled synthetic conditions and transferred onto silicon dioxide substrate with holes of various diameters. Nano-indentation measurement revealed that stiffness and fracture strength of CVD graphene membranes are similar to those of pristine graphene membranes under the condition that suspended graphene membrane is within a single grain boundary without defects. Furthermore, elastic modulus and fracture strength of multi-layer graphene membranes increase with respect to the number of layers.
9:00 AM - DD15.10
Investigation of the Evaporation of the Nickel Catalyst during Growth of Carbon Spheres
Shanee Pacley 1 William Mitchel 1 Emory Beck-Millerton 1 Howard Smith 2 Dave Anderson 2
1Air Force Research Laboratory Wright Patterson AFB USA2University of Dayton Research Institute Dayton USA
Show AbstractMono-dispersed carbon spheres that range in sizes from 60-2000nm, have been an interest to the nano-science community as a result of their field emission properties. These solid carbon spheres are concentrically arranged carbon flakes. The common method for synthesis is chemical vapor deposition, with nickel nanoparticles as the catalyst and acetylene as the carbon source. There have been studies dedicated to the analysis of the field emission properties and potential use as cold cathodes. However, few, if any studies have focused on understanding the mechanisms underlying the synthesis process. It is believed that the nickel nanoparticles evaporate during carbon sphere synthesis. The purpose of this study was to investigate the hypothesis that the nickel catalyst evaporates during carbon sphere growth. The questions addressed include the reaction rate as well the ultimate fate of the nickel catalyst. X-ray diffraction and Energy-dispersive X-ray spectroscopy experiments show changes in chemical composition of catalyst with growth time. The X-ray diffraction spectra show changes of Ni, to NiO, to Ni3C, and finally to Ni and graphite during growth. Thermal gravimetric analysis and differential scanning calorimetry further support the conclusion that the Ni nanoparticleâ?Ts composition changes during growth.
9:00 AM - DD15.11
Approaching the Theoretical Limit for Reinforcing Polymers with Graphene
Peter May 1 Umar Khan 1 Arlene O'Neill 1 Jonathan N Coleman 1
1Trinity College Dublin Dublin Ireland
Show AbstractHere we present work devoted to the effective reinforcement of polymers using graphene as a 2D filler material. Nanoidentation AFM studies have been conducted on monolayer graphene that show it to be the strongest material known to man, with properties only challenged by carbon nanotubes(CNTs). The graphene material used in this study proves to be as effective as CNTs, with its cost being a one-hundred-thousandth of that of CNTs. We demonstrate a liquid phase exfoliation method that can produce a large quantity of mono and few layer graphene flakes, whose size can be tailored to suit mechanical applications. In this work we present dispersions of size selected, polymer stabilized pristine graphene to prepare polyvinylalcohol/graphene composites. We find that reinforcement scales with flake size, in agreement with theory. Composites prepared from flakes with aspect ratio ~2000 display modulus within 30% of the ultimate theoretical limit.
9:00 AM - DD15.12
Electromechanical Actuation of Pristine Graphene and Graphene Oxide; Optimization and Comparison
Geoffrey W Rogers 1 Jefferson Z Liu 1
1Monash University Clayton Australia
Show Abstract
The electromechanical properties of carbon nanotubes (CNTs) and graphene have proven to be both unique and pronounced. The feasibility of employing these materials as commercial micro/nano-electromechanical actuators is highlighted by their exceptional volumetric work capacities, which can be as much as 29 times greater than common high modulus ferroelectrics (Science 1999, 284, 1340). However, to date the high performance actuation of these materials has hinged on Coulombic interactions, which arise from the formation of an electric double-layer (EDL) upon electrolyte immersion of the charged materials (J. Am. Chem. Soc. 2011, 133, 10858). The problem with the EDL effect is that the ionic diffusion rates, and thus EDL formation rates, significantly limit the actuation speeds and render these materials useful for <1 Hz operating frequencies only. In contrast, quantum-mechanical (QM) actuation, comprising bond length expansion/contraction upon electron/hole injection, does not necessitate the use of an electrolyte and is thus not hindered by ionic diffusion rates; the QM actuation speed is instead governed by CNT/graphene atomic relaxation times. In light of this, the ideal CNT/graphene electromechanical actuator would combine the high work capacities of the EDL effect with the high response rate of the QM effect, without the inherent drawbacks associated with each effect. With this motivation, we have investigated graphene oxide (GO) as a potential actuation material. Resulting from its unique structural features, the electromechanical response of GO is very interesting and pronounced, with volumetric work capacities in excess of 50 J/cm3 having been predicted. As this response is QM in origin, we expect that our GO actuators will exhibit very high response speeds upon experimentation. An additional feature of GO is the various concentrations and configurations with which oxygen atoms can be adsorbed onto the pristine graphene lattice, making it possible to optimize and tune the electromechanical response of GO to precisely suit a given application.
9:00 AM - DD15.13
Modulation of the Electronic Property of Graphene via the Hydroxylated and Defective SiO2 Substrates
Chin-Lung Kuo 1 Chi-Jen Yang 1
1National Taiwan University Taipei Taiwan
Show AbstractWe have performed first principles density functional theory calculations to investigate the modulation of the electronic properties of graphene via various kinds of SiO2 substrates. These substrates include three non-defective dense silica surfaces, two different kinds of hydroxylated surfaces, and four typical types of defective SiO2 surfaces. The structural models of these representative SiO2 surfaces were carefully generated using constant-temperature ab initio molecular dynamics simulations followed by subsequent atomic relaxations and structural optimizations. Our intensive calculations showed that the charge transfer from graphene to the dense silica substrates is almost negligible for all the models considered, which is reflected by the unshifted Fermi level position, a tiny band gap opening, as well as the low binding energies between graphene and the dense SiO2 surfaces. Different from the previous theoretical study, our results revealed a higher binding energy and a larger induced band gap opening for graphene to be adsorbed on top of the hydroxylated SiO2 surface than on a dense one. This is mainly attributed to the induced charge redistribution on the C atoms of graphene arising from their interactions with the polar hydroxyl groups on the SiO2 surfaces. In addition, the order/disorder distribution of the hydroxyl groups on the SiO2 surfaces was found to have direct influence on the electronic property of graphene. For the defective SiO2 surfaces, we have identified some surface defects which may not only induce a small band gap opening on the Dirac cone at K point, but also have the p- and n-type doping effect for one single layer of graphene. More details of our work will be demonstrated and discussed in this presentation.
9:00 AM - DD15.14
Novel Graphene/Carbon Nanotube Hybrid Anode Materials for Lithium Ion Batteries
Shirui Guo 1 4 Wei Wang 3 Valentine Vullev 5 Mihrimah Ozkan 4 1 Cengiz S Ozkan 2 4
1UC Riverside Riverside USA2UC Riverside Riverside USA3UC Riverside Riverside USA4UC Riverside Riverside USA5UC Riverside Riverside USA
Show AbstractThe high electronic conductivity compared to carbon blacks makes carbon nanotube suitable as conductive agent for electrodes. On the other hand, their ability to insert Li ions might allow them to be used as anode active material. High concentration of multi-walled (MWCNT) was dispersed with graphene oxide in DMF. The concentration of CNT could achieve 10mg/ml which is the highest report until now without breaking CNT sp2 bond. The hybrid was utilized as lithium ion battery and it shows high capacity and power density. The electrochemical performances of the electrodes are studied by galvanostatic techniques and cyclic voltammetry. In particular the influence of the nanotubes on the rate capability is evaluated. Reducing graphene oxide to graphene flakes improves the conductivity of the material which further enhanced the capacity of the material.
9:00 AM - DD15.15
Modifying Electronic Transport Properties of Graphene by Electron Beam Irradiation
Yuheng He 1 Lin Wang 1 Xiaolong Chen 1 Zefei Wu 1 Wei Li 1 Yuan Cai 1 Ning Wang 1
1The Hong Kong University of Science and Technology Hong Kong Hong Kong
Show AbstractWe demonstrated that electron beam irradiation with precise dosage control under clean vacuum conditions can induce bond disorder and inter-valley scattering in high quality single-layer graphene, but not necessarily create lattice damage. This was evidenced by the changes of quantum Hall effects, the temperature-dependent transport properties, and large negative magnetoresistance effects observed at cryogenic temperatures. The bond disorder significantly modified the Raman scattering and electronic transport properties of graphene, which is consistent with that observed in hydrogenated graphene. In situ transport measurements at different sample treatment stages revealed an interesting activation process of graphene through electron beam irradiation. The activated graphene samples were very sensitive to oxygen and water vapors. Only with oxygen and water molecules absorbed will the activated graphene devices show a pronounced p-type unipolar field effect, where the electron conduction is suppressed and the hole conduction is almost unchanged.
9:00 AM - DD15.16
The Relationship of Morphological Structure, Electronic Structure and Electrical Transport Property: A Sample Study of Carbon Nanotubes
Jing Gao 1 Jun Zhong 1 Xuhui Sun 1
1Soochow University Suzhou China
Show AbstractTo understand the relationship of structure, property and functional performance of nanomaterials is critical to achieve their rational synthesis, tuning property, and practical applications. However, there is few approach developed to investigate and establish the panoramic relationship among structures and properties on an individual nanostructures. Recently we integrated electron energy loss spectroscopy (EELS) and in-situ electrical measurement sample holder in the high-resolution electron transmission microscopy (HRTEM) to simultaneously study the morphological structure, electronic structure and electrical transport of the individual nanostructure. We use multiwall carbon nanotubes (MWCNTs) synthesized with different combination of catalysts (Ni, Fe) and underlayer metals (Ti, Al, Cr) as the example to systematically investigate the nanostructure, electronic structure and I-V characteristics of individual MWCNT from above combinations. This kind of vertically aligned MWNTs directly grown on metal electrode underlayer are considered to be well suited for VLSI interconnects, nanoelectrode array (NEA), nanoelectromechanical devices, and field emission devices. The HRTEM images reveal that Fe-catalyst combined with Ti or Cr underlayers yield CNTs with bamboo structure and the Ni ones have the stacking-cone structure. While the Al was added between the catalyst and underlayer, both of them exhibit an intermediate structure. The CNTs with three types of structures exhibit distinct electronic structures which were analyzed by EELS under TEM. The electronic structures of CNTs were also confirmed statistically by near-edge X-ray absorption fine structure (NEXAFS) based on synchrotron light source. However, the CNTs with three structures show the similar I-V characteristics and resistivity. The current stress failure conditions (maximum current density) of the individual CNTs of different structures are also obtained by the in-situ measurement in the TEM.
9:00 AM - DD15.17
DFT Study of the Adsorption of Hydrogen Sulfide on Graphene: The Case of Defects
Heriberto Hernandez Cocoletzi 1 Jesuacute;s E Castellanos Aacute;guila 2 Gregorio Hernaacute;ndez Cocoletzi 3
1Benemeacute;rita Universidad Autoacute;noma de Puebla Puebla Mexico2Benemeacute;rita Universidad Autoacute;noma de Puebla Puebla Mexico3Benemeacute;rita Universidad Autoacute;noma de Puebla Puebla Mexico
Show AbstractDensity Functional Theory [1] has been used to study the interaction between hydrogen sulfide and graphene under different conditions; both, vacancies and point defects have been considered. The electron-ion interaction is modeled using ultrasoft pseudopotentials, the exchange-correlation energy is approximated by the method of the generalized gradient approximation (GGA) in the parameterization of Perdew-Burke-Ernzerhof (PBE) [2]. Three different graphene sheets (one perfect and two with defects) have been studied. The optimal geometries, binding energies, Density of states (DOS) and Charge Density are obtained. Three high symmetry sites are considered, namely, top, bridge, center in order to study the adsorption process. The preferential adsorption site corresponds to the center one in a physical way. The DOS of graphene-H2S systems shows a metallic behavior which coincides with the behavior of isolated graphene. The geometry of the graphene and the hydrogen sulfide remains unchanged. All the calculations has been done in the quantum ESPRESSO package [3] [1] R.M. Martin, Electronic Structure basic Theory and Practical Methods, Cambridge, UK, 2005, pp.139-141. [2] Perdew J. P. Burke K., and Ernzerhof, M., Phys. Rev. Lett., 77, 3865-3868, 1996. [3] S. Baroni, A. Dal Corso, S. de Gironcoli, P. Giannozzi, C. Cavazzoni, G. Ballabio, S. Scandolo, G. Chiarotti, P. Focher, A. Pasquarello, K. Laasonen, A. Trave, R. Car, N. Marzari, and A. Kokalj, http://www.pwscf.org. Acknowledgements: This work was partially supported by CONACYT and the grant # 83982.
9:00 AM - DD15.18
Electric Field Induced Growth of Aligned Cow Nipple-like Carbon Micron-nano Composite Structure via Chemical Vapor Deposition
Chengwei Liao 1 Yupeng Zhang 1 Chunxu Pan 1 2 3
1Wuhan University Wuhan China2Wuhan University Wuhan China3Wuhan University Wuhan China
Show AbstractSince Iijimaâ?Ts discovery in 1991, carbon nanotubes are of great attraction due to their unique properties of electrical, magnetic and mechanical properties. The unique properties make them candidates for numerous potential applications such as electron field emitters, sensors, battery electrodes and various nanoelectronic devices. Up to now, various structures of carbon nanostructures (CNs) have been prepared by using different methods, such as the Y-junction-type, double-helix-type, bamboo-type, onion-type, sea urchin-type, rosary-type et al. As it is known, electric field plays a significant role in the growth of CNs, and it has been a mature technology used in glow discharge, arc discharge, common chemical vapor deposition (CVD) and plasma-enhanced chemical vapor deposition (PECVD) including PE-HF-CVD and MPECVD. In the former researches, low bias voltage was often added in the experiments to produce special structures of nanocones, nanotubes, nanotips and nanofibers et al. In this paper, we have successful synthesized the high-quality vertically aligned cow nipple-like carbon micron-nano composite structure by using a homemade thermal CVD under a high bias voltage in the CVD reactor. The novel CNs, with a short size of 90-250 nm in total, contains a large diameter carbon ball (about 30-120 nm) and a small diameter carbon tube (about 10-40 nm), which has a junction with an abrupt change in diameter. From the experiment research, we found that bias voltage, time and catalyst content had important influence on the degree of directional ordering, height and areal density, respectively. The details are as followings: 1)With the increase of the electric field from 100V/cm to 400V/cm, the degree of directional ordering was improved greatly, and 300V/cm will be an appropriate electric field for the growth of the novel high-quality vertically aligned CNs. 2) By controlling the ventilation time of C2H2 in the reaction process from 0 min to 30 min, the novel CNs with different height can be obtained. Through analysis, the average height of the composite structure was 40 nm for 0 minutes, 58 nm for 1 minute, 117 nm for 5 minutes, 152 nm for 10 minutes, 176 nm for 15 minutes and 190 nm for 30 minutes. The rates of growth were obviously decreasing as time prolonging, about 10 nm /min in the former 15 minutes, but 1 nm/min in the later 15 minutes. Therefore, the economic ventilation time of C2H2 is 15 minutes. 3) The content of the ferrocene catalyst have remarkable relationships with the areal density of the novel CNs. In this paper, 4 kinds of ferrocene content were used in experiment, we could get the conclusion that the areal density would become bigger with the increase of catalyst content. Furthermore, we did an experimental investigation into the growth of the novel CNs and also proposed a growth model which can be observed in the experiment, as well as the theoretic calculation about the effect of electric field on the growth mechanism.
9:00 AM - DD15.19
Low Temperature Structural Control of Carbon Nickel Thin Films
David Bosworth 1 Nadia Stelmashenko 1 Zoe Barber 1
1University of Cambridge Cambridge United Kingdom
Show AbstractThe growth and control of carbon / metal structures is a significant challenge in the drive to utilise these materials for a range of applications. While metal-carbon thin films have been shown to display an impressive range of useful properties, and the graphitization effects of nickel in particular are well documented, these films often require significant substrate heating or annealing post deposition to develop the properties required. Not only does this add complexity to the process, it reduces the possibility for coating temperature sensitive substrates. Through the technique of ionized magnetron sputter deposition, a valuable development to the traditional magnetron sputtering process, it has been demonstrated that it is possible to control the structure of both the metal and carbon phases of nickel carbon thin films, without the need for additional heating. Typically the nickel forms as a crystalline phase surrounded by a number of layers of graphite forming an â?oonion-likeâ? structure. By applying substrate biasing it is possible to control the crystal structure of the nickel nanocrystallites, switching between the metastable hexagonal phase to the more stable cubic phase. Additionally the extent of graphitization within the film can be controlled which has important effects on the electronic properties of the film. Characterization of such films has proved challenging and a wide range of techniques were employed. Transmission electron microscopy, x-ray photoelectron spectroscopy, x-ray diffraction and Raman were used to determine the bonding and structure of the two components and to measure the change in structure with deposition parameters. This low temperature approach offers greater control than other techniques proposed, and opens the door to using a wider range of substrates for novel applications.
9:00 AM - DD15.2
Extremophiles for Biologically-based Reduction of Graphene Oxide into Graphene
Yuji Tanizawa 1 Sreejith Raveendran 2 Yasuhiko Yoshida 2 Toru Maekawa 2 D. Sakthi Kumar 2 Ryugo Tero 1 Hiroshi Okada 1 Adarsh Sandhu 1 3
1Toyohashi University of Technology Toyohashi City Japan2Toyo University Kawagoe Japan3Tokyo Institute of Technology Tokyo Japan
Show AbstractThe potential wide ranging industrial applications of graphene have inspired researchers to devise methods for the synthesis of high quality graphene. We recently reported on the chemical synthesis, patterning, and doping of graphene films by chemical exfoliation of graphite into graphene oxide fol-lowed by chemical reduction into graphene films [1, 2]. However, in spite of widespread synthesis of graphene by the aforementioned Hummerâ?Ts method followed by hydrazine, there is still demand for the development of more efficient, environmentally friendly, and industrially scalable procedures for pro-ducing graphene. Here, inspired by the fact that heterotrophic metal reducing bacteriaâ?"both facultative anaerobes and aerobic strainsâ?"can utilize organic compounds as terminal electron acceptors, we describe the synthesis of graphene by reducing graphene oxide (GO) flakes using extremophilic bacteria, which can survive in harsh environmental conditions, and thereby hold promise for GO reduction over a wide range of chemical conditions. Our procedure enabled the production of ~100 µm sized reduced graphene flakes, exhibiting excellent physical properties associated with high quality reduced graphene. Experimentally, we first produced GO flakes by a modified Hummerâ?Ts method using natural graphite powder, cleaned using K2S2O8 , P2O5 and H2SO4, and oxidized in KMnO4 and H2SO4. After over-night sedimentation and washing in 3% HCl aq. and MilliQ water, the resulting graphite oxide was ex-foliated into GO without ultra-sonication. Finally, a GO aqueous dispersion was produced by centrifug-ing and dialysis to remove residual acids and metal ions. Next, we nurtured the moderately halophilic bacteria Halomonas eurihalina and Halomonas maura in a medium containing the GO flakes. The phys-ical properties of the resulting biologically modified GO flakes were characterized using transmission electron microscopy (TEM), atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), and Raman spectroscopy. We found the graphene flakes obtained after bacterial reduction to consist of both single to multilayer sheets. We will give a detailed description of the properties of extremophile-derived graphene, which offers a highly attractive method for the synthesis of high quality graphene for a wide range of industrial applications. Acknowledgements This research was partly funded by EIIRIS and Tenure Track Program at Toyohashi University of Technology. References [1] R. Ishikawa, M. Bando, A. Sandhu, Jpn. J. App. Phys. 49 (2010) 06GC02. [2] R. Ishikawa, M. Bando , Y. Morimoto , A. Sandhu, Nanoscale Research Letters, 6, (2011), 111.
9:00 AM - DD15.20
Effects of Substrate Pre-Annealing and Tilt Angle Parameters and Process Tube Location on the Morphological and Electrical Properties of Graphene Layers
Zafer Mutlu 2 Isaac Ruiz 3 Hamed Hosseini Bay 1 Dennis Pleskot 2 Zachary J. Favors 2 Aaron George 2 Miroslav Penchev 3 Shirui Gue 4 2 Wei Wang 2 Maziar Ghazinejad 1 2 Mihrimah Ozkan 3 Cengiz S. Ozkan 1 2
1University of California, Riverside Riverside USA2University of California, Riverside Riverside USA3University of California, Riverside Riverside USA4University of California, Riverside Riverside USA
Show AbstractGraphene, with unique electrical, optical and mechanical properties is a promising material in industrial applications, such as batteries, supercapacitors, transistors and semiconductor devices. These potential applications of graphene have motivated the development of large-scale synthesis of graphene on copper substrates by chemical vapor deposition.To enable practical applications of large-area, high quality graphene layers at the centimeter and wafer scales, process control needs to be implemented for optimizing the morphology and electrical properties and enable repeatable growth-cycle of graphene layers for process-line implementation. Here we investigate the effects of substrate pre-annealing conditions and substrate tilt angles on the morphological and electrical properties of graphene. Furthermore, we describe a procedure for process optimization of the growth parameters and investigate the effect of process quartz-tube position on the properties of the graphene layers. Detailed characterization of the graphene layers are conducted using raman spectroscopy, atomic force microscopy and current-voltage measurements.
9:00 AM - DD15.21
Scalable Chemical Vapor Deposition of Graphene
Tom Salagaj 1 Jeonghyn (Jeff) Hwang 2 Michael Spencer 2 Nick Sbrockey 1 Gary S Tompa 1
1Structured Materials Industries Piscataway USA2Cornell University Ithaca USA
Show AbstractGraphene consists of a single flat layer of carbon atoms, densely connected into a honeycomb structure with highly unusual material properties. Beyond interest in the material for scientific study, from a technological perspective, graphene is a promising material for a broad range of applications including nano-devices, transparent conductor, impermeable protective coating, sensors, strengthening additive to composites, and others. Bringing these potential applications to fruition requires a scalable manufacturing technique. Of the several techniques demonstrated for obtaining graphene films, chemical vapor deposition (CVD) is the only suitable process for scalably manufacturing large area films. CVD of graphene has been achieved on a variety of substrates including dielectrics and metals. In this work, we report on the development of a scalable CVD process on large area copper and nickel foils. CVD of graphene on metals has been used to produce single layer, few layer (2-5 atomic layer), and many layer (>5 layer) graphene films, primarily single layer on copper and few or many layers on nickel. CVD of graphene on copper and nickel foils is performed over a range of conditions at low pressure and atmospheric pressure at temperatures ranging from 900-1000°C on foil substrates as large as 5 x 15 cm. The deposition is performed in a multi-zone horizontal tube reactor using methane as the carbon source, along with hydrogen, and argon. These deposition conditions, which differ for different substrates, will be reviewed. The deposition process is refined and optimized to achieve scaled, large area, and high throughput, production of graphene films. The effects of substrate preparation and plasma-enhanced CVD of graphene is also explored. The potential of metal-graphene-metal-graphene film growth is also explored. A tool design for roll-to-roll production of graphene on continuous sheets of metal foils will also be presented. Graphene film quality is characterized primarily by micro Raman spectroscopy and the quality of films deposited on metal foils is compared to those deposited on metal-coated silicon wafers.
9:00 AM - DD15.22
Characterization of Graphene Membranes for Nanofiltration
Sean C O'Hern 1 Cameron A Stewart 1 Jongho Lee 1 Tarun Jain 1 Juan-Carlos Idrobo 2 Tahar Laoui 3 Motaz Atieh 3 Rohit Karnik 1
1Massachusetts Institute of Technology Cambridge USA2Oak Ridge National Laboratory Oak Ridge USA3King Fahd University of Petroleum and Minerals Dhahran Saudi Arabia
Show Abstract
Graphene is a recently isolated allotrope of carbon consisting of a single layer of sp2-bonded carbon atoms. Its unique lattice structure gives the material very high breaking strength and the ability to maintain stable nanometer scale pores despite its atomistic thickness. Hence, graphene has the potential to be a superior size-based separation membrane material in both liquid- and gas-phase separation processes. In this work, we report the high-quality transfer of â^¼1 cm2 LPCVD graphene from copper foil to polycarbonate track etch membranes (PCTEM) through a direct and dry pressing procedure, the controlled creation of nanometer-scale pores in the suspended graphene, and the separation characteristics of the pores generated. We found that LPCVD graphene contains â^¼1 nm diameter intrinsic pores primarily situated at grain boundaries or in amorphous portions of the lattice and that these pores permit the diffusion of KCl while potentially rejecting molecules that are larger than the pore size. Our results demonstrate that the mechanical properties of graphene can be exploited to produce a nanofiltration membrane with very high fluxes while maintaining high selectivity. We expect that our membrane and the characterization we have performed will provide the foundation for further research in the application of graphene nanofiltration membranes. The authors would like to thank the King Fahd University of Petroleum and Minerals in Dhahran, Saudi Arabia, for funding the research reported in this paper through the Center for Clean Water and Clean Energy at MIT and KFUPM under project number R10-CW-09. Additional support was provided by Oak Ridge National Laboratory's SHaRE User Facility (JCI), which is sponsored by the Office of Basic Energy Sciences, U.S. Department of Energy. This research was performed in part at the Center for Nanoscale Systems (CNS), a member of the National Nanotechnology Infrastructure Network (NNIN), which is supported by the National Science Foundation under NSF award no. ECS-0335765. CNS is part of Harvard University.
9:00 AM - DD15.23
Emerging Energy Storage Devices Based on Composite Nanostructures of Graphene and Rare Earth Metal Oxides
Jiebin Zhong 1 Wei Wang 3 Jian Lin 1 Miroslav Penchev 2 Mihrimah Ozkan 2 Cengiz S Ozkan 1 3
1University of California Riverside Riverside USA2University of California Riverside Riverside USA3University of California Riverside Riverside USA
Show AbstractThe emerging Graphene/Carbon Nanotube (CNT) 3D hybrid architecture, also known as Pillar Graphene Nanostrcuture (PGN) combining both of 2D graphene and 1D CNT merits, has gained a lot of attentions owing to its intriguing properties, such as high electron conductivity, high surface area and low cost. In this report, we experimental study the performance of electrochemical supercapacitors based on PGN structure. In addition, Ruthenium Oxide (RuO2), one of the most promising materials for supercapacitors due to its ultrahigh pseudocapacitance and reversible charge-discharge feature, is deposited onto the PGN, in order to achieve better performance supercapacitors. First of all, large scale PGN is prepared on copper foil by one step Chemical Vapor Deposition (CVD). The morphology of the PGN architecture is influenced by the growth parameters, such as growth temperature and flow rate. RuO2 nanoparticles (<5nm) are then well spread onto the PGN by an easy and efficient solution based method. The as-grown materials are characterized by SEM, TEM, XRD and Raman spectra. The two-electrodes based supercapacitors are fabricated in order to study the electrochemical properties, including Cyclic Voltammetry (CV), Charge-Discharge, Electrochemical Impedance spectroscopy (EIS) on an electrochemical analyzer (Gamry Reference 600TM). The performance of the supercapacitors corresponding on different factors, such as surface morphology, surface treatments and nanoparticle size, is studied and discussed. The maximum specific capacitance, power density and energy density increase nearly 1.5~3 times compared to their individual controlled samples.
9:00 AM - DD15.25
Defect-mediated Properties of Graphene-boron Nitride Superlattices for Thermoelectrics
Dinkar Nandwana 1 Elif Ertekin 1
1University of Illinois at Urbana Champaign Urbana USA
Show AbstractGraphene, a stable monolayer of carbon atoms with honeycomb lattice, has attracted enormous attention recently due to its spectacular physical and electronic properties. Along with potential applications in next-generation electronics, it is being considered as a promising material in thermoelectric devices due to high electronic conductivity. However, the high thermal conductivity of graphene conflicts with the basic requirements of thermoelectric materials. Preliminary analysis in the literature indicates that semiconductor superlattices may offer an intriguing solution to manipulate thermal transport properties while introducing negligible effect on electron transport. In this work, using computational methods based on density functional theory, we explore the possibility of using a graphene monolayer disrupted by ordered layers of boron nitride to block phonon modes, and thereby reduce thermal conductivity. The 1.8% lattice mismatch between the graphene and boron nitride lattice constant can result in the formation of topological defects that are equivalent to â?onanoscale misfit dislocationsâ? at the interface. These defects can further affect transport properties. We will present results that describe the geometric conditions (volume fraction of graphene vs. boron nitride, width of boron nitride blocking layers) under which these misfit dislocations can be expected to form at the interface. Based on preliminary electronic structure analysis, we speculate on the effects of the defects on the thermal conductivity of the superlattice.
9:00 AM - DD15.26
Chirality Enrichment and Diameter Control of Laser-synthesized Single-wall Carbon Nanotubes for Energy Applications
Thomas Mastrangelo 1 Jamie E Ellis 1 Alysha Helenic 1 2 Chris M Schauerman 1 3 Brian J Landi 1 2
1Rochester Institute of Technology Rochester USA2Rochester Institute of Technology Rochester USA3Rochester Institute of Technology Rochester USA
Show AbstractPhysical characteristics including conductivity, flexibility and low density make single-wall carbon nanotubes (SWCNTs) attractive for energy applications such as wires, conductive ribbons, and conductive additives for batteries. As-produced SWCNTs are often limited in their usefulness due to variability in chirality, diameter, length, and purity. Control over the distribution of these intrinsic SWCNT properties at the point of synthesis, as well as post-synthesis chiral separation of SWCNTs represent critical research developments. In the current study, capabilities to expand control over SWCNT synthesis via laser vaporization through perturbation of temperature, pressure, laser wavelength, laser energy density, and carrier gas have been achieved. The resulting diameter distributions have been separated into metallic and semiconducting fractions via column chromatography. Diameter distribution and separation efficacy were monitored using optical absorption spectroscopy. The average diameter of synthesized SWCNTs was observed to decrease with lower temperature and increased pressure, with helium carrier gas vs. argon, as well as with alexandrite (755 nm) laser vaporization vs. Nd:YAG (1064 nm). SWCNTs were separated using a recently established column chromatography method utilizing an allyl dextran-based agarose gel bead (GE Sepharose 2b). This process was adapted to accommodate the differing as-produced laser SWCNT materials. The chromatography method employs sodium dodecylsulfate (to elute M-SWCNTs) and sodium deoxycholate (to elute S-SWCNTs) as subsequent mobile-phase solvents. This chromatography method has provided a replicable means to successfully separate SWCNTs synthesized via methods other than laser vaporization (including HiPco and CoMoCAT) as well with high enrichment factors based upon optical absorption spectroscopy. Separated M-SWCNT and S-SWCNT samples have been processed into thin films using vacuum filtration and subsequently transferred onto quartz substrates for four-point sheet resistance measurements. Additionally, samples have been evaluated for use as a lithium ion storage medium in batteries with respect to differing chirality distributions. Overall, the ability to combine laser vaporization conditions with chromatographic separations demonstrates a viable approach to producing high enriched SWCNTs of differing chirality distributions.
9:00 AM - DD15.28
Gold Subnanoclusters: Filling the Defects of Reduced Graphene Oxides
Hyeyoung Koo 1 Wonsan Choi 1
1Korea Institute of Science and Technology Seoul Republic of Korea
Show AbstractGraphene nanosheets (GNSs), a single layer consisting of sp2-bonded carbon atoms, attract considerable scientific interest because of their excellent thermal, mechanical, and electronic properties. In order to realize their technological application, the large-scale production of GNSs is essential. Among various approaches, the chemical exfoliation of graphite is the most feasible method for the production of large volumes of GNSs. However, compared to other production methods, such as mechanical exfoliation and chemical vapor deposition (CVD), chemical exfoliation generally induces a loss of conductivity in the GNSs. The loss of conductivity results from the introduction of a significant number of defects by prolonged ultrasonication and the harsh oxidation process. Consequently, significant effort has been put toward recovering a reasonable level of conductivity by methods such as molecular doping, electrochemical doping, thermal annealing, and compositing with other materials. In this work,we report the theory and synthesis of sub-nanosized gold clusters on reduced graphene oxide (r-GOs). The Au sub-nanoclusters were found to be nucleated and grown at defects of the r-GOs, particularly on nitrogen-induced defects from density functional theory investigation. The resulting Au/r-GOs exhibit an improvement of bulk electrical conductivities and a reduced ratio of the intensity of the D band to that of the G band (ID/IG), compared to the r-GOs without Au nanoclusters. The unique decrease of the ID/IG was demonstrated to be related with filling of subnano-sized Au clusters on the r-GOs, presumably owing to enhancing the flat geometry of the graphene nanosheets.
9:00 AM - DD15.29
N-doping Effects of Graphene by N2-plasma Treatment
Minwook Jung 1 2 Dae Sung Jeong 1 Sun Sook Lee 1 Chong-Yun Park 2 Ki-Seok An 1
1KRICT Daejeon Republic of Korea2Sungkyunkwan University Suwon Republic of Korea
Show AbstractThe control in electrical properties of graphene is essentially required in order to realize graphene-based electronic devices. In this work, the electrical properties of N-doped graphene were studied by Raman spectroscopy, X-ray photoelectron spectroscopy, and Hall measurement at various N2 plasma power. I-V characteristics were also measured about pristine and N-doped graphene devices. Nitrogen-doped graphenes were fabricated by N2 plasma treatments of transferred graphenes on SiO2/Si substrates at various N2 plasma power. Pristine graphenes were synthesized on copper foil by thermal chemical vapor deposition method. The exposure of pristine graphene on N2 plasma induced simultaneously N-doping effect and high density of defect. With increasing N2 plasma power, the electrical properties of N-doped graphenes were changed from metallic to semiconducting with n-type doping effect, which characterized by the temperature dependence of sheet resistivity in Hall measurement and the behavior of output I-V curve for the graphene device. The chemical state of doped nitrogen on graphene was mainly assigned as the pyrrolic-N bonding state from XPS spectra of N 1s core level. Finally, in order to define origins of the electrical property, the electrical properties of N-doped graphene were compared with these of Ar plasma-exposed graphene, which expected to induce only high defect density without any atomic doping effect.
9:00 AM - DD15.30
Investigation of Covalently Bonded Fullerenes and Carbon Nanotubes in Pillared Graphene Nanostructures
Zachary James Favors 1 Cengiz Ozkan 1 Zafer Mutlu 1 Mihrimah Ozkan 1
1UC Riverside Riverside USA
Show AbstractThe successful combination of graphene single sheets and carbon nanotubes (CNTs) recently into a pillared graphene nanostructure (PGN) exhibits the intense interest for investigating combinations of 3D architectures based on different allotropes of carbon. Fullerenes (C60), a low-dimensional allotrope of carbon, have very recently been successfully covalently bonded to CNTs to produce a novel material denominated as carbon nanobuds (CNBs). By introducing fullerenes onto the surface of CNTs of PGNs, the structure may exhibit field-emission characteristics that are superior to those of separate allotropes. Nanobuds behave as semiconductors when bonded to zigzag CNTs regardless of the CNTs metallic or semiconducting behavior. When bonded to metallic armchair CNTs, the structure exhibits a very different band structure because the CNBs remain metallic. Due to the CNBs highly curved fullerene surfaces acting as emission sites for electrons, CNBs may possess more desirable field-emission properties. Cold electron field emission can be enhanced via extension of the charge distribution to the CNB region from the pristine CNT. Exploitation of the higher chemical reactivity of fullerenes can be used for functionalizing CNBs for sensor applications. Extremely high current densities have been obtained in CNB thin films and the emission site density is much higher than that of conventional CNT films. CNBs weaken the tendency of CNTs to adhere to one another by yielding additional space between adjacent CNTs, which increases the effective surface area for Li-ion intercalation.
9:00 AM - DD15.31
Fabrication of Transparent Conductive Thin Films with the Multilayer Structure Using Multi-walled Carbon Nanotubes and Graphene Oxides
Hyunchul Jang 1 Jungmi Choi 1 Junghyun Sok 1
1University of Seoul Seoul Republic of Korea
Show Abstract
We demonstrate a simple method to fabricate multi-layered transparent conductive films using multi-walled carbon nanotubes(MW-CNTs) and graphene oxides(GOs). This method affords thin multilayers of MW-CNTs and GOs with an excellent control over the optical and electrical properties because these properties are correlated with a spraying time and amount of MW-CNTs or GOs. Furthermore, MW-CNTs can act as a path of electrons or holes between GO layers in the multi-layered structure. In this experiment, MW-CNTs were produced by the catalytic decomposition of acethylene(C2H2) using the Fe-Mo/MgO catalyst at 750 oC. The as-synthesized MW-CNTs typically have catalytic impurities , which were removed by acid and oxidation treatment. The MW-CNTs and GOs(RGO-TP-060, Uni think Inc.) suspension was prepared to disperse in the aqueous sodium dodecyl sulfate(SDS) solution and dimethyl formamide(DMF) solution with horn-type sonicator. The MW-CNTs solution was sprayed on glass slide substrate using a spray system with the Ar gas. As a rinsing step, DI water was dropped on the substrate to remove the surfactant. Next, the GOs solution was sprayed with the same procedures. The morphology of the multi-layered films were characterized with a field emission scanning electron microscope(FE-SEM, Hitachi S-4700) and atomic force microscope (AFM, PSIA XE-100). Elemental composition analysis was carried out using x-ray photoelectron spectroscopy (XPS), and the sheet resistance of films was measured by a four-probe method. Finally, optical property was measured using UV/VIS spectroscopy. This study provides a simple fabrication to be scaled up for large transparent conductive films application.
9:00 AM - DD15.32
Three-dimensional Electrical Profiling of Carbon Nanotube Interconnects
Thomas Hantschel 1 Andreas Schulze 1 2 Andre Dathe 1 Pierre Eyben 1 Marleen van der Veen 1 Bart Vereecke 1 Xiaoxing Ke 3 Wilfried Vandervorst 1 2
1imec Leuven Belgium2K.U. Leuven Leuven Belgium3University of Antwerp Antwerp Belgium
Show AbstractCarbon nanotubes (CNT) are intensively being studied for replacing copper in future nanoelectronics devices. Current research efforts are focusing on using them as vertical interconnects inside small contact holes. Fabrication schemes have been demonstrated by several groups whereby the CNT are grown from metallic nanoparticles present at the bottom of the contact holes and the space in between the CNT is filled with an insulating material. Despite these encouraging results, the CNT interconnect performance still needs considerable improvements whereby the establishment of a low bottom contact resistance and the defect-free growth and integration at low temperature (<500 degrees) are especially challenging. These efforts critically depend also on the availability of suitable metrology approaches. Given the three-dimensional (3D) nature of the CNT interconnect structures, a method is highly desired which allows for a 3D electrical analysis at the nanometer scale. Therefore, we have developed 3D electrical atomic force microscopy (AFM) for profiling CNT integrated into contact holes. This work presents our optimized 3D method and applies it to profile state-of-the-art 150 and 300 nm diameter contact holes filled with CNT (diameter of about 8-25 nm). Tomograms of the profiled CNT are shown and properties like density, structure and contact resistances are derived from it and are discussed in detail. The obtained information is correlated with transmission electron microscopy (TEM) results. Our work demonstrates that CNT insight for process tuning can be gained from such 3D analysis and that AFM has a high potential also for 3D profiling. We use a slice-and-view based concept in AFM whereby nanometer-thick slices of materials are removed by scanning at high forces. The slicing alternates with scans at low force whereby the electrical resistance is measured in scanning spreading resistance microscopy (SSRM) mode. In this way, as series of 2D resistance slices is obtained which are aligned with respect to each other and then stacked on top of each other. Using the dedicated analysis software Avizo, the distance in between the slices is interpolated and an electrical tomogram is obtained which represents a 3D view of the CNT in the contact hole. Note that these inherently electrical measurements do not only allow to extract electrical but also structural CNT information. The use of in-house made ultra-sharp conducting diamond tips is crucial for the 3D CNT profiling.
9:00 AM - DD15.33
Barrier Properties of Graphene-TiO2 Nanocomposites: UV-induced Photoreduction of Graphene Oxide for High Performance and Long-term Stable Organic Devices
Sooji Nam 1 Jaeyoung Jang 1 Jihun Hwang 1 Seonuk Park 1 Chan Eon Park 1
1POSTECH Pohang Republic of Korea
Show AbstractIn recent years, there is considerable interest in electrical stability of organic based devices such as organic field-effect transistors and organic photovoltaics because their electrical properties are significantly degraded over time by water and oxygen species present in ambient air. Accordingly, significant efforts have been devoted to improving device stability using various protection layers. In particular, it should satisfy the requirement of facile preparation of passivation materials for electronic applications. In this study, we have designed graphene-TiO2 nanocomposites consisting of reduced graphene oxide via UV-induced photocatalytic reduction and sol-gel produced TiO2 nanoparticles, and characterized the barrier properties of the graphene-TiO2 nanocomposites. Fourier-transform infrared spectroscopy, atomic force microscopy, transmission electron microscopy, and UV-visible spectroscopy studies showed the well-exfoliated and dense network of reduced graphene oxide sheets with lateral dimensions on a few micrometer scales inside the TiO2 matrices. These composite films exhibit low oxygen permeability with oxygen transmission rate of 10-2 cc/m2/day, and effective resistance against salt spray corrosion test on steel substrate during 6 hours.
9:00 AM - DD15.34
Doping-concentration-dependent Structural and Electrical Properties of p-type Graphene Doped with AuCl3
Dong Hee Shin 1 Chang Oh Kim 1 Sung Kim 1 Suk-Ho Choi 1
1Kyung Hee University Yongin 446-701 Republic of Korea
Show Abstract
Single-layer graphene was synthesized using chemical vapor deposition and subsequently treated with AuCl3 for p-type doping by varying doping concentration (NA) from 1.44 x 1012 to 6.62 x 1012 cm-2. The undoped and doped graphene layers were transferred to 300 nm-thick thermal oxides grown on top of n++ Si substrates used as the back gate contact. Optical microscopy and Raman spectroscopy were used to identify the single-layer graphene sheet, on top of which 50-nm-thick Au films were grown using a shadow mask in a RF magnetron sputtering system and patterned for the source and drain electrodes of 0.2 mm length and 0.5 mm separation. In the I â?" VG (gate bias) curve of undoped graphene, the current increased linearly with VG on both sides with respect to Dirac point. With increasing NA from 1.44 x 1012 to 6.05 x 1012 cm-2, the Dirac point of the I â?" VG curve shifted from 24 to 92 V. The influence of doping concentration on the Raman spectra of graphene films was studied under excitation of a 532 nm (2.33 eV) laser line. With increasing NA up to 3.81 x 1012 cm-2, the G band and 2D band were blue-shifted from 1583 to 1589 cm-1 and from 2685 to 2693 cm-1, respectively, but for NA â?¥ 6.05 x 1012 cm-2, they were red-shifted from 1589 to 1581 cm-1 and from 2693 to 2681 cm-1, respectively. With increasing NA, the G band intensity decreased for NA â?¤ 3.81 x 1012 cm-2, but for NA â?¥ 6.05 x 1012 cm-2, it increased. With increasing NA from 1.44 x 1012 to 6.05 x 1012 cm-2, the 2D band intensity increased, but above NA = 6.05 x 1012 cm-2, it decreased. These results were discussed based on possible physical mechanisms
9:00 AM - DD15.35
Size-dependent Absorption and Photoluminescence Behaviors of Graphene Quantum Dots
Dong Hee Shin 1 Sung Kim 1 Chang Oh Kim 1 Suk-Ho Choi 1 Sung Won Hwang 2 Cheolsoo Sone 2 Min-Kook Kim 3 Hyoung Joon Choi 3
1Kyung Hee University Yongin 446-701 Republic of Korea2Samsung LED Co. Ltd. Suwon 443-43 Republic of Korea3Yonsei University Seoul 120-749 Republic of Korea
Show AbstractGraphene quantum dots (GQDs) provide the opportunity to explore novel structural, optical, and electrical phenomena not obtainable in other materials. We report size (d)-dependent behaviors of absorption and visible photoluminescence (PL) for GQDs in the range from d = 5 to 35 nm. We prepared GQDs by hydrothermal (chemical) cutting of oxidized graphene sheets. The absorption peak energy is almost constant as about 6.2 eV (200 nm) from d = 5 to 13 nm, but with increasing d above 13 nm, it decreases sharply down to 4.9 eV at d = 23 nm and then slowly above d = 23 nm. At d = 35 nm, the peak energy reaches almost that of a graphene sheet (270 nm, 4.6 eV), consistent with the quantum confinement effect. In contrast, the PL peak energy unusually shows a non-monotonic behavior having a minimum at d = ~17 nm, as the size of GQDs increases. Through detailed nanostructure analyses by high-voltage transmission electron microscopy, we find that at d = ~17 nm, circular/elliptical GQDs mostly with zigzag edges are transformed into polygonal ones mostly with armchair edges. Based on our theoretical calculations, the anomalous PL behaviors are attributed to the size-dependent shape and corresponding edge variations of GQDs. We believe this work will contribute to reliable production of GQDs that are truly controllable in size and shape and broadly tunable in absorption and luminescence energies for practical optoelectronic device applications.
9:00 AM - DD15.36
Self-aligned Low Contact Resistance CNT-via Interconnect Structure Utilizing Multi-layer Metal/Catalyst
Tzu-Ling Liu 1 Tri-Rung Yew 1
1National Tsing Hua University Hsinchu Taiwan
Show AbstractCarbon nanotubes (CNTs) exhibit excellent capability of carrying high current density (1000 times of Cu) showing great potential for interconnect applications as the via size continues shrinking. However, the high contact resistance between CNTs and under- or top- metal layer wiring has become a critical issue for CNT-interconnect. This study presents the method of using multi-layer metal/catalyst to synthesize self-aligned low contact resistance CNT-via for future interconnect applications. To form self-aligned CNT-via, the multi-layer metal/catalyst on Cu was patterned and the CNT synthesis was conducted by chemical vapor deposition (CVD) process at low temperatures (� 400 °C). After CNT growth, the cap metal on the catalyst in multi-layer metal/catalyst was lifted up by the CNT layer and could react with carbon to become metal carbide. This self-formed metal carbide can play as an interlayer between CNT-via and top metal electrode so as to reduce the contact resistance between CNT-via and top metal wiring. The amorphous carbon (a-C) accumulated during the CNT growth process was removed by applying oxygen plasma treatment. The electrical properties of the CNT-via were measured after removing a-C and the reduction of contact resistance was observed. In addition, the quality of the CNT-via was characterized by Raman analysis and the morphology was observed by scanning electron microscopy (SEM) and high resolution transmission electron microscopy (HR-TEM).
9:00 AM - DD15.37
MnO2 Decorated Three Dimensional Graphene Heterostructures for Supercapacitor Electrodes
Wei Wang 1 3 Shirui Guo 2 Miroslav Penchev 3 Duoduo Bao 4 Valentine Vullev 4 Mihrimah Ozkan 1 3 Cengiz Ozkan 1 5
1University of California, Riverside Riverside USA2University of California, Riverside Riverside USA3University of California, Riverside Riverside USA4University of California, Riverside Riverside USA5University of California, Riverside Riverside USA
Show AbstractSupercapacitors are promising candidate for alternative energy storage applications since they can store and deliver energy at relatively high rates. In this work, we integrated large area chemical vapor deposition (CVD) grown three dimensional graphene heterostructures with high capacitance metal oxides (MnO2) to fabricate highly conductive, large surface-area composite thin films used as electrodes in supercapacitors. Uniform, large area 3-D graphene heterostructures layers were produced by a one-step CVD on copper foils and were chemically modified. MnO2 layer was deposited on the as-obtained 3-D graphene heterostructures film by a simple redox reaction. 3-D graphene heterostructures /MnO2 composite films with different oxide loadings were obtained through the control of the reaction time and precursor concentration. The surface morphology was investigated by scanning electron microscopy (SEM) and scanning transmission electron microscopy (STEM), and Energy-dispersive X-ray spectroscopy (EDS) was performed to characterize the MnO2 on the surface of the film. The results demonstrated relatively dense and homogeneous nanostructure. The quality of the as grown 3-D graphene heterostructures and MnO2 decorated 3-D graphene heterostructures were characterized by Raman spectroscopy. By introducing the fast surface redox reactions into the graphene heterostructures film by integrating pseudocapacitive material like MnO2, the capacitive ability of the system enhanced dramatically. Supercapacitor was fabricated based on the 3-D graphene heterostructures /MnO2 hybrid film electrodes; the measurements of cyclic voltammetry, chronopotentiometry, and electrochemical impedance spectroscopy (EIS) are conducted to determine its performance for the electrodes of supercapacitors.
9:00 AM - DD15.38
Generation of Hydrogen Peroxide on a Pyridine-like Nitrogen-nickel Doped Graphene Surface
Eduardo Rangel 1 2 Luis Fernando Magana 1 Luis Enrique Sansores 2
1Universidad Nacional Autoacute;noma de Meacute;xico Meacute;xico D.F. Mexico2Universidad Nacional Autoacute;noma de Meacute;xico Meacute;xico Mexico
Show AbstractDensity functional theory and molecular dynamics were used to study the generation of hydrogen peroxide around a nickel atom located on a pyridine-like nitrogen defect on a graphene surface. First we studied the adsorption of two hydrogen molecules around the nickel atom. Then we looked the interaction of an oxygen molecule with this system at atmospheric pressure and 300 K. It is found that a hydrogen peroxide molecule is formed that goes away and one hydrogen molecule remains adsorbed around the nickel atom. The binding energy of the hydrogen molecules is 0.95 eV/molecule. Orbital and electron density analysis for the process is discussed.
9:00 AM - DD15.39
Functionalization of Single Walled Carbon Nanotubes with Arenediazonium Salt in Molten Urea
Hector Leija 1 Oxana Kharissova 1
1Autonomous University of Nuevo Leon San Nicolas de los Garza Mexico
Show AbstractThe functionalization of single wall carbon nanotubes (SWCNT) with arenediazonium salts, formed in situ from anilines as p-Anisidine, p-aminobencenesulfonamine and 4-Aminopirydine, using the environmentally benign solvent, urea. According to enhance solubility in solvents after that introduce them into the industrial processes, the nanotubes obtained were characterized with RAMAN, TEM and SEM techniques. The molecules added appear on the nanotubes like chemical anchors.
9:00 AM - DD15.4
Electric Field Induced Reduction of Graphene Oxide: A Direct Evidence Form In Situ Raman Study
Satyaprakash Sahoo 1 Gurpreet Singh 1 Anand Singh Gaur 1 Ram S Katiyar 1
1University of Puerto Rico San Juan Puerto Rico
Show AbstractGraphene consists of a single layer carbon atoms which exhibits very unique physical properties such as very high unusual electrical and thermal conductivities, quantum Hall effect, etc. Since its discovery conventional mechanical exploitation of highly oriented pyrolytic graphite remains a potential method of obtaining high quality graphene. However, this method fails to produce mass scale production of graphene which is required for its large scale applications. The modified Hummer's process has emerged as a potential method of producing large quantity graphene oxide by the chemical oxidation of graphite. Graphene oxide shares similar single and few atomic layer structure of carbon as molayer or fewlayer graphene, respectively. However, unlike graphene (which has high electrical conductivity), the presence of hydroxide, epoxy groups in GO makes it highly electrical resistive. This is undesired for any electronic applications. In the present study, we have demonstrated that graphene oxide can be reduced under the application of electric field and thereby the electrical conductivity can be tuned systematically. By varying the applied electrical potential across graphene oxide sheet, the in situ Raman studies were carried out to understand the exact nature of the reduction process; thermal or electrical. Both the G and D Raman band shows a systematic change with applied potential. The detailed analysis of the Raman spectra confirm the reduction process to be purely electrical. The electrical reduction of graphene oxide is found to be a clean and controlled way of tailoring the electrical properties of graphene.
9:00 AM - DD15.41
When Small is Different: The Case of Membranes inside Tubes
Eric Perim 1 Alexandre F Fonseca 2 Douglas S Galvao 1
1State University of Campinas Campinas-SP Brazil2UFF Volta Redonda Brazil
Show AbstractRecently [1], it has been suggested the existence of an universal structural behavior describing the confinement of sheets inside tubes. A natural question is whether this behavior still holds at nanoscale. Although continuum modeling has been successfully used to treat many classes of nanostructures, recently it has been pointed out that in the case of graphene membranes it has limits of applicability [2]. In this work we have investigated through molecular dynamics simulations the structural behavior of graphene and boron nitride membranes confined into tubes. Our results show that the class of universality observed at macroscale is no longer valid at nanoscale. This can be explained in part by the fact the van der Waals forces dominate at nanoscale but it is also related to the intrinsic failures of the continuum models applied to nanostructures [2]. Possible applications of these effects to design new nanoelectromechanical devices is addressed. [1] V. Romero, T. A. Witten, and E. Cerda, Proc. Royal Soc. A, v464, 2847 (2008). [2] D. -B. Zhang, E. Akatyeva, and T. Dumitrica, Phys. Rev. Lett. v106, 255503 (2011).
9:00 AM - DD15.42
Experimental and Theoretical Study on the Energy Dependent Surface Evolution and Microstructure Changes in Copper Nanostructured Composite
Yuan Mei Foong 1 Angel Koh 1 Daniel Chua 1
1National University of Singapore Singapore Singapore
Show Abstract
Many studies have shown that the properties of single carbon system film are governed by the energy of the impinging carbon ions but the role of metal in addition to carbon ions as in diamond-like carbon (DLC) nanocomposite is yet available. In this study, DLC films doped with different fraction of copper (5 and 15 at. %) were fabricated using pulsed laser deposition (PLD) technique at varied laser energies, and the energy dependency of surface evolution and changes in microstructures due to the presence of metal were experimentally characterized. By considering the substitution of carbon by copper atoms in target, the interaction between laser/target and the role of copper ions as envisaged in Sahaâ?Ts equation, the energy of the ions was calculated and in good agreement with experimental results reported. Using a combined analysis from theoretical study, Transport of Ions In Matter (TRIM) simulations and experimental results, the presence of copper was found to increase the ion energy during deposition. Thus, upon the impingement of the ions during the formation of composite films, the excess energy that is released as heat can 1) enhance surface diffusion and promote the formation of nano-islands, and 2) graphitize the diamond bonding in carbon matrix, as evidenced by experimental data. As the energy per ion increases with the increasing copper content, TRIM showed that the metal ions were implanted into the subsurface of carbon matrix, which can manifested as a reduction of RMS with increasing laser energy. Although the formation of nanoclusters reduced the sp3 bonding of the films, mechanical testing showed that the adhesion strength of films were improved with the presence of nanoclusters and SiC formed during the deposition.
9:00 AM - DD15.43
Simultaneous Synthesis and Patterning of Graphene by Drop-and-Synthesis Inkjet Printing
Kukjoo Kim 1 Cheol Jang 1 Eungtaek Kim 1 Sung Il Ahn 2 Kyung Cheol Choi 1
1KAIST Daejeon Republic of Korea2Silla University Busan Republic of Korea
Show AbstractAlthough various studies regarding the fabrication of graphene films and patterns have been performed for the past few years in many areas of the world, the realization of viable mass production techniques or actual manufacturing processes has not occurred. To become adopted in actual product manufacturing process, the process cost and the scalability are important aspects. In this context, the solution-based process [1] for fabricating graphene films and patterns is most promising compared to other methods, including mechanical cleavage [2] and chemical vapor deposition [3]. Among the many solution processes, the inkjet printing method is attractive because it provides patterns of a target material instantly without any further patterning processes, which generally can be very expensive. However, inkjet printing also has many significant problems, such as nozzle clogging and limited ink sources, which serve to offset the aforementioned merit. The nozzle clogging problem is particularly critical when attempting to print graphene patterns, because graphene usually exists as agglomerated particles or flakes in a solvent, which by themselves easily block the nozzles. Therefore, most studies thus far on the topic of the inkjet printing of graphene have been performed by printing graphene oxide (GO) ink with a subsequent thermal or chemical reducing step, as GO can be dispersed in a solvent more readily than graphene. However, these types of additional reducing steps reduce the attractiveness of the application of inkjet printing to the fabrication of graphene patterns. We present here a unique inkjet printing technique called as the Drop-and-Synthesis (DAS) method, in which GO ink and a reducing agent ink are printed separately using two individual nozzles onto the same spot on a substrate, thus causing the two inks to be mixed on the substrate and react to produce reduced graphene oxide (RGO) patterns or simply graphene patterns. Using the DAS method, we can omit the additional reducing steps and obtain directly patterned graphene instantaneously. The GO ink was prepared by a modified Hummers method [4] and the reducing agent ink was prepared with a mixture of hydrochloric acid, ascorbic acid and iron(II) chloride tetrahydrate. We used a piezoelectric-head inkjet printer equipped with 50 μm nozzles, and the resulting RGO patterns were characterized by various methods, including X-ray photoelectron spectroscopy, Raman spectroscopy, atomic force microscopy, and 4-point probe measurements. The inkjet-printed graphene patterns showed moderate conductivity and transmittance, implying that they would be feasible for use as transparent electrodes to replace indium tin oxide in display or photovoltaic devices. References [1] G. Eda and M. Chhowalla, Adv. Mater. 22, 2392-2415 (2010) [2] A. K. Geim and P. Kim, Sci. Am. 298, 90-97 (2008) [3] K. S. Kim et al., Nature 457, 706-710 (2009) [4] W. S. Hummers and R. E. Offeman, J. Am. Chem. Soc. 80, 1339 (1958)
9:00 AM - DD15.44
Process Optimization Growth of Large Area Single and Multilayer Graphene on Copper Substrates
Isaac Ruiz 1 Maziar Ghazinejad 3 Adan Velasco 3 Mihrimah Ozkan 1 Cengiz S Ozkan 2
1University of California Riverside Riverside USA2University of California Riverside Riverside USA3University of California Riverside Riverside USA
Show AbstractThe growth of customizable large area (25 cm^2) graphene films is demonstrated on copper foil by thermal chemical vapor deposition. The effects of different growth parameters and preparation of the copper will determine the quality of the graphene as well as the amount of layers that are produced. The role of parameters such as gas flow, annealing, growth, cooling and copper are discussed, as well as how altering these parameters produces recipes for growth of high quality large area graphene, with layer thickness ranging from single layer, bilayer and multilayer. Transfer of graphene from copper to glass by etching the metal layers is also demonstrated. The quality and layer thickness was determined by Raman spectroscopy and imaged by optical and scanning electron microscopes.
9:00 AM - DD15.46
Covalent Functionalization of Single-walled Carbon Nanotubes by Ferrocene Derivatives for Bioelectrochemical Applications
Naoual Allali 1 2 Veronika Urbanova 1 Victor Mamane 2 Jeremy Waldbock 1 Mathieu Etienne 1 Martine Mallet 1 Xavier Devaux 3 Brigitte Vigolo 4 Yves Fort 2 Alain Walcarius 1 Alexander V Soldatov 5 Edward McRae 4 Manuel Dossot 1
1LCPME UMR 7564 CNRS-Nancy University Villers-les-Nancy France2SRSMC UMR 7565 CNRS-Nancy University Vandoeuvre-les-Nancy France3IJL UMR 7198 CNRS-INPL-Nancy University Nancy France4IJL UMR 7198 CNRS-INPL-Nancy University Vandoeuvre-les-Nancy France5Lulea Technical University Lulea Sweden
Show AbstractCarbon nanotubes (CNTs) present a strong potential interest for developing bioelectrochemical devices owing to their electronic and mechanical properties, their high surface area and the possibility to covalently graft specific electro-active chemical groups on their side-walls. Electrochemical sensors have already incorporated single-walled (SWCNTs) or multi-walled CNTs on the surface electrode to take advantage of the high sensitivity (related to high surface area and aspect ratio of CNTs), the fast electrode kinetics and the robustness of the resulting device [1]. In the field of biosensors, bioreactors or biofuel cells, CNTs may be used to enhance the electron transfer between the target enzyme and the electrode [2]. The major difficulty in using CNTs is their dispersion before their deposition on the electrode. Two strategies can be used: non-covalent wrapping of CNTs by surfactant molecules, or covalent grafting of judiciously chosen groups. Electro-active groups can also be grafted on CNTs to improve the electron transfer rates and to decrease the working potential at which the targeted electrochemical reaction is performed. Within our research consortium, we have developed a global strategy for covalent functionalization of SWCNTs to improve both the water dispersion efficiency of functionalized CNTs (f-CNTs) and their electro-chemical activity. Improved aqueous dispersion enabled making the CNT deposition on the electrode directly from the suspension, with no solvent or other surfactant molecules. We used these f-CNTs to make an electrochemical device based on the use of the cofactor NADH (dihydronicotinamide adenine dinucleotide). NADH and its oxidized form NAD+ are indeed the cofactors of hundreds of enzymes, such as dehydrogenase. Optimizing the oxidation or reduction of these co-factors is thus a key step towards developing bioelectrochemical devices. This communication will present i) the covalent functionalization of SWCNTs by modified electro-active groups, ii) the complete characterization of f-CNTs by spectroscopic, thermal and electron microscopy methods, iii) the integration of these f-CNTs within electrochemical devices based on the oxidation of NADH and iv) the final electrochemical characterization of the full device. [1] S.K. Vashist, D. Zheng, K. Al-Rubeaan, J.H.T. Luong, F.S. Sheu, Biotechnology Adv. 29 (2011) 169-188. [2] F. Tasca, W. Harreither, R. Ludwig, J.J. Gooding, L. Gorton, Anal. Chem. 83 (2011) 3042â?"3049.
9:00 AM - DD15.47
Conductivity of CNT to CNT Bumps
Chin Chong Yap 1 2 Dunlin Tan 1 2 Christophe Brun 2 Edwin Hang Tong Teo 1 2 3 Jun Wei 4 Baillargeat Dominique 2 Beng Kang Tay 1 2
1Nanyang Technological University Singapore Singapore2CINTRA CNRS/NTU/THALES Singapore Singapore3Temasek Laboratories@NTU Singapore Singapore4Singapore Institute of Manufacturing Technology Singapore Singapore
Show AbstractCNT interconnects had been modeled and demonstrated in various papers, including named as one of the emerging materials by International Technology Roadmap for Semiconductors. The typical challenge for CNT interconnects was related to itsâ?T large contact resistance between CNT tip to metal. A proposed solution was to growth CNT from both side of the metal and then to interconnect them together. Using such an approach, the contact resistance of CNT to CNT was 1 order lower than that of CNT to metal surface. In our previous work, we have successfully demonstrated interconnecting CNT as bump interconnects used for flip chip applications. The CNT are growth using plasma enhanced chemical vapor deposition system (PECVD) and the CNT are vertically aligned due to e-field. However, the resistances of the bumps need to be improving by increasing the densities of CNT in the area (more parallel conducting channels). In this work, interconnecting CNT bumps will be presented using thermally growth CNT, which have higher densities than PECVD growth CNT. It was found that the surface of the thermally growth CNT were very dense and are covered by many CNT networks, thus inhibiting them from interconnecting between each other. An additional etching step has to be performed to remove the top layer before the bumps can be interconnected together. The etching step open up the cap of CNT, which benefits the conductivity of the CNT bumps. The results are correlated to the physical configurations of the interconnects.
9:00 AM - DD15.49
Growth of Carbon Nanotube Forests on Carbon Fibers with a SiO2 Interlayer
Evaldo Jose Corat 1 Erica F Antunes 1 Viviane Q da Silva 1 Vagner E Marques 1 Vladimir J Trava-Airoldi 1
1Intituto Nacional de Pesquisas Espaciais Sao Jose dos Campos Brazil
Show AbstractSome investigations have been done on the preparation of carbon nanotube/carbon fiber (CNT/CF) hybrid composites by growing CNTs onto CF. The growth of CNTs on the surface of CF is a promising approach for improving mechanical, electrical and thermal properties of structural composites. However, there are several problems on growing CNTs on CF substrate such as: (a) the catalysts of transition metals can easily diffuse into the CF, (b) mixed phases of carbon materials can be formed on the surface of the CF, (c) the CNTs may grow only locally on the surface of the substrate, and (d) the synthesis conditions used for the CNT growth may cause the introduction of defects that can significantly degrade the CF properties. The key issue for the growth of CNTs on CF is to mitigate the processes that can compromise the fiber properties. In this work, it is shown that CNT forests can easily be grown on SiO2 interlayer deposited on the surface of CF. In this method, SiO2 acts as a barrier, preventing the Fe catalyst to diffuse into the CF. Pieces of the polyacrylonitrile-based CF cloths (Texiglass, CCS200) were placed in a fused silica tube chemical vapour deposition (CVD) oven to perform the deposition of SiO2 layer on their surface prior to the CNT growth. The SiO2 deposition was achieved by the decomposition TEOS (tetraethyl orthosilicate) in the range from 650 to 850 C, at selected times (3, 5 and 10 min). The growth of CNTs was performed by CVD in the same oven. The CVD process was carried out at atmospheric pressure and at 850 C. The reaction was performed at selected times (1, 2.5 and 5 min), and the carbon (camphor, C10H16O, 84% of the total mass) and the Fe catalyst (ferrocene, Fe(C5H5)2, 16%) sources were interrupted after the reaction to let the furnace cool down to room temperature under N2 flow. The synthesis yields high density aligned multi-walled CNT (MWCNT) forests on CF substrates. Scanning electron microscopy (SEM) show that SiO2 forms a uniform layer around each fiber, serving as a sizing layer. CNT grows mainly on the surface CF, all around the CF but mainly pointing up from the cloth surface. The analysis of the CF rupture tensile testing show that CF without sizing does not change their strength and modulus after the whole CVD treatment, including the SiO2 and CNT deposition processes.
9:00 AM - DD15.5
Large Scale Catalytic Growth of Graphene on Nickel for Transparent Conductive Electrodes
Alexandru Delamoreanu 1 2 Caroline Rabot 2 Christophe Vallee 1 Aziz Zenasni 2
1UJF-Grenoble1/CNRS/CEA Grenoble France2CEA-LETI-Minatec Campus Grenoble France
Show AbstractThanks to its outstanding properties graphene is becoming a very upstream material that could be used at many levels of advanced application devices. Graphene has a high transparency and good conducting properties. That makes graphene a perfect match for indium tin oxide (ITO) replacement in photovoltaic devices, touch screens and light electroluminescent diodes. The present work emphasizes a simple and quick route using a solid carbon source to grow multilayer graphene on 8 in. wafers, in semiconductor-compatible environment. Since 2010, first proofs of concept have shown that carbon impurities segregation upon appropriate metal can result in the formation of graphene [1,2,3]. In our approach, we use the solubility of carbon in transition metals (here a thin film of nickel) that diffuses at high temperature from a carbon source inside the metal and then segregates during cooling at the metal surface to form graphene. The resulting quality of graphene and its number of layers are strongly dependent on the growth and annealing conditions. This segregation process not being limited to expensive single crystals, we have successfully grown fully covered graphene wafers on polycrystalline thin films of nickel by segregation process without any external carbon sources. The whole process flow has been operated using standard semiconductor-compatible clean room facilities. The resulting coverage of graphene upon the wafer is over 99% (fully interconnected) of the whole polycrystalline nickel surface and highly uniform. Transmission Electron Microscopy measurements were carried out on this sample showing a variation of graphene thickness between 2 to 10 layers depending on nickel grains, in agreement with the averaged thickness of about 6 layers deduced from Raman measurements. We have used a polymer coating (as a temporary rigid support) and a chemical etching process for transferring graphene grown over the Ni film to the SiO2 insulator substrate. Raman spectroscopy shows no detected effect of transfer on the graphene quality. A transmittance of 80 % at 550 nm and a sheet resistance of 600 Ohm/sq have been obtained, that could be significantly improved with some additional treatments. [1] Maxwell Zheng et al, Appl. Phys. Lett. 96, 063110 (2010). [2] Zhengzong Sun et al, Nature 468, 549â?"552 (2010). [3] Carlo M. Orofeo et al, Nano Research 4(6), 531-540 (2011)
9:00 AM - DD15.6
Sol-gel Chemistry in the Hydrothermal Carbonization
Tim-Patrick Fellinger 1 Robin J White 1 Maria-Magdalena Titirici 1 Markus Antonietti 1
1Max-Planck Institute of Colloids and Interfaces Potsdam Germany
Show AbstractHydrothermal carbonization (HTC) is an inexpensive and sustainable process for the production of carbonaceous materials, recently attracting a lot of interest in academia and industry. HTC is a method to convert carbohydrate-rich biomass effectively into carbonaceous material at comparably mild conditions (i.e. 160-200 °C under self generated pressure). Mechanistically the synthesis includes steps of dehydration, condensation, polymerisation and aromatization. Unfortunately the formation process and final materials structure is rather complicated and a clear scheme has as yet not been reported.[1-3] By applying an effective and inexpensive catalyst, we could get more insight into the reaction mechanism leading to a comprehensive mechanistic model based on the conversion of glucose. Based on this we could apply well-known principles of sol-gel chemistry to the HTC process. Outstanding structural control in terms of particle size will be presented and the adherence to general rules will be shown to lead to hydrothermal carbon aerogels composed of spherical particles down to the 10 nm range as well as to large hydrothermal carbon spheres (~10 µm) suitable for chromatography materials. Without the employment of templating strategies or post-activating treatment pure hydrothermal carbon with a specific surface area of ~ 300 m2 g-1 were obtained, whilst simple post-carbonization leads to conductive carbon aerogels with even improved specific surface areas of up to 600 m2 g-1. [1] M. M. Titirici, M. Antonietti, Chemical Society Reviews 2010, 39, 103. [2] M. M. Titirici, M. Antonietti, N. Baccile, Green Chemistry 2008, 10, 1204. [3] M. M. Titirici, A. Thomas, M. Antonietti, New Journal of Chemistry 2007, 31, 787.
9:00 AM - DD15.9
Structure and Bonding in Nanodomains and at Their Interfaces in Silicon Carbonitride Polymer-derived Ceramics
Scarlett Widgeon 1 Gabriela Mera 2 Yan Gao 2 Emil Stoyanov 3 Sabyasachi Sen 1 Alexandra Navrotsky 3 Ralf Riedel 2
1University of California, Davis Davis USA2Technische Universitauml;t Darmstadt Darmstadt Germany3University of California, Davis Davis USA
Show Abstract
SiCN polymer-derived ceramics have excellent thermal, chemical, and mechanical properties to temperatures up to 1500oC, and have potential for a wide range of technological applications. In general, the nanostructure of these systems are characterized by two separate amorphous domains of silicon nitride and carbon. The carbon domains are composed of an amorphous graphitic network that is referred to as free carbon, which plays a major role in controlling the properties of PDCs. The physical and chemical properties can therefore be fine tuned for a particular application by adjusting the organic groups of the preceramic polymer to control the fraction of free carbon in the structure. Here we report the results of a structural study of silicon carbonitride PDCs that are synthesized using preceramic polymers, such as polycarbodiimides, â?"[R1R2Siâ?"N=C=Nâ?"]â?", where the organic groups bonded to silicon, R1 and R2, are adjusted to control the Si:C:N ratios and the final nanostructure in the ceramic state. Two SiCN-PDCS with carbon contents of 35 wt. % (R2 = H) and 55 wt. % (R2 = CH=CH2) are synthesized and 29Si, 13C, 15N, and 1H solid state nuclear magnetic resonance spectra are acquired to determine the resulting nanostructure of the domains and bonding at the interface. The 13C NMR spectra show that the carbon nanodomains in the ceramic with lower carbon content consist of amorphous sp2 carbon with crystalline graphite in the core. The interface between the carbon and silicon nitride domains contains C-N-Si linkages that connect the two nanodomains. Calorimetric studies indicate that SiCN ceramics that contain these mixed bond nitrogen environments are energetically more stable than those without such bonding. Additionally, it is seen for the first time that hydrogen atoms reside in the interfacial regions and play a key role in the stabilization of the ceramic. This study elucidates the atomistic role of interfacial engineering in the thermodynamic stabilization of these materials.
DD12: Optical and Thermal Properties
Session Chairs
Thursday AM, April 12, 2012
Moscone West, Level 3, Room 3009
9:15 AM - *DD12.1
Large-scale Production of Transferable and Dispersible Graphene Nanostructures of Controlled Structural, Electrical and Optical Properties; and Principles Defining Graphene-based Sensors
Vikas Berry 1
1Kansas State University Manhattan USA
Show AbstractThis talk will consist of two parts: (A) electrical & optical properties of graphene nanostructures, and (B) graphene sensors for molecular mechanics. (A) Graphene nano-ribbons (GNRs) and graphene quantum dots (GQDs) â?" single-atom-thick nanostructures of sp2 hybridized carbon atoms â?" exhibit shape and size dependent electrical and optical properties, which can be tuned over a wide range. However, large-scale synthesis of graphene nanostructures (GNs) with predetermined shape/size has remained a challenge. This talk will demonstrate a route to produce monodisperse GNs with shapes of square, rectangle, ribbon and triangle; and size â?~controlledâ?T at 5 nm resolution. The overall yield of the process is ~ 80 %. The talk also demonstrates that â?othin GNR filmsâ? exhibit a bandgap reduction with GNR-width (35, 10 and 0 meV for 15, 25 and 50 nm GNRs). The high throughput method to synthesize GN of high-quality will be a quantum leap in the graphene research. The work indents to bridge the gaps in the understanding of monodisperse-GN film properties. (B) The second part of the talk will demonstrate that the lateral confinement of charge-carriers within the ultrathin graphenic sheets enable detection of molecular mechanics on its surface. Here, graphenic sheets are functionalized with azo-benzene-molecules, which are externally isomerized from their trans state (benzene head faces away from graphene) to cis state (benzene head is closer to graphene). We show that the 12 picometer displacement of the azoâ?Ts benzene head generates 7.5 X 10^3 holes/micrometer2. This corresponds to 5 nA increase in currents for 100 mV source-drain voltage in the p-type device. Unfunctionalized graphene oxide device did not show this response. We envision that grapheneâ?Ts sensitivity to mechanically-active molecules will make it an important component of next-generation molecular machines.
9:45 AM - *DD12.2
Plumberrsquo;s Wonderland Found on Graphene
Ju Li 1 Jianyu Huang 2
1Massachusetts Institute of Technology Cambridge USA2Sandia National Laboratories Albuquerque USA
Show AbstractCurvy nanostructures such as carbon nanotubes and fullerenes have extraordinary properties but are difficult to pick up and assemble into devices after synthesis. We have performed experimental and modeling research into how to integrate curvy nanostructures on flat graphene, taking advantage of the fact that graphene bends easily after open edges have been cut on it, which can then fuse with other open edges, like a plumber connecting metal fittings. By applying electrical current heating to few-layer graphene inside an electron microscope, one effectively anneals out the radiation damage and observes the in situ creation of many interconnected, curved carbon nanostructures [PNAS 106 (2009) 10103], such as graphene bilayer edges (BLEs) aka â?ohalf nanotubesâ?, BLE polygons, and nanotube-BLE junctions connecting multiple layers of graphene. A novel piezoelectric effect causes the BLEs to have large permanent electric dipoles of 0.87 and 1.14 Debye/A for zigzag and armchair inclinations, respectively [Phys. Rev. B 80 (2009) 165407]. Unlike carbon nanotubes which fold graphene by 2pi rotation and are highly poly-disperse in chiralities and radius, BLEs are highly mono-disperse structures due to the pi rotation and a lattice orientation constraint during processing [Carbon 48 (2010) 2354]. Further investigations indicate that multiple-layer graphene offers unique opportunities for tailoring carbon-based structures and engineering novel nano-devices with complex topologies. In particular, we show it is possible to create a metal-semiconductor-metal graphene-nanotube junction device with 0.8eV electronic gap [ACS Nano 5 (2011) 3475].
10:15 AM - DD12.3
Graphene 3-D Hierarchical Structures and Composites via Emulsion Template
Suelen Barg 1 Cecilia Mattevi 1 Miriam Miranda 1 Ling Wang 2 Angelika Menner 2 Alexander Bismarck 2 Eduardo Saiz 1
1Imperial College London London United Kingdom2Imperial College London London United Kingdom
Show AbstractDue to its unique combination of extremely high electron mobility, large thermal conductivity and high elastic modulus, graphene is a very attractive material for the fabrication of structures to support applications in catalysis, electronics, energy generation etc. However, conventional synthesis approaches are impractical for the fabrication of the large graphene quantities required to build 3D materials in practical dimensions. In addition, it is necessary to develop new approaches to integrate individual 2-D graphene sheets into 3-D multifunctional macroscopic structures as well as to incorporate them into diverse organic and inorganic matrices in large concentrations. Graphene oxide (GO) can be fabricated in bulk quantities through the chemical exfoliation of graphite. Its mechanical properties are similar to those of graphene and the presence of oxygen groups on its surface facilitates functionalization, wet processing and adhesion to host matrices. In addition several treatments can be used for the reduction of GO to achieve electrical properties comparable to graphene. In this work, reduced graphene oxide (rGO) 3-D foams are fabricated using a simple emulsion template approach. By manipulating its chemistry, GO can act as an amphiphile due to the combination of the hydrophilic edges and hydrophobic basal plane. We take advantage of this amphiphilic character to stabilize emulsions in which GO acts as a colloidal surface active agent at hydrophilic/hydrophobic interfaces. These emulsions are the bases for the fabrication of free standing graphene foams as well as graphene-ceramic and graphene-polymer composite hierarchical structures with a variety of functional properties. Subsequent thermal and chemical treatments are used to increase the specific surface area of the foams and manipulate the electrical, thermal and mechanical properties of the materials.
10:30 AM - DD12.4
Direct Growth of Graphene Nanoribbons for Graphene Device Fabrication
Intilde;igo Martin-Fernandez 1 Yuegang Zhang 1
1The Molecular Foundry, Lawrence Berkeley National Laboratory Berkeley USA
Show AbstractGraphene has excellent properties that make it an extremely attractive material for novel applications. In the frame of nanoelectronics, graphene has been demonstrated as a potential candidate for the future technologies beyond CMOS. However, significant technological challenges still need to be overcome: achieving full control over the structure of the synthesized material and engineering controlled band gaps. Different technological approaches have been demonstrated to engineer a band gap in graphene, such as the electrical field actuation on graphene bilayers, stressing graphene or the patterning of nanoribbons. In the case of graphene nanoribbons, the major technological difficulty is the patterning of thin enough ribbons for the gap to be appropriate for room-temperature logic devices. Current patterning techniques to be used in top-down approaches for the patterning of graphene (e-beam lithography, nanoimprint lithography or block co-polymers) are either limited by their resolution or not mature enough for large scale production at this time. In this contribution, we present a bottom-up technological approach for the direct growth of graphene nanoribbons that needs no post-nanopatterning of the graphene. The technology is based on the CVD growth of graphene at the pre-patterned catalyst template. Therefore, the width and the roughness of the graphene nanoribbons are well-controlled. This approach is suitable for fabricating graphene nanoribbon devices.
10:45 AM - DD12.5
Graphene Nanoribbons from CVD Graphene
Ashkan Behnam 1 Austin Lyons 1 Myung-Ho Bae 1 Edmond K Chow 1 Sharnali Islam 1 Christopher M Neumann 1 Eric Pop 1
1University of Illinois at Urbana Champaign Champaign USA
Show Abstract
Graphene nanoribbons (GNRs) are promising candidates for nanoelectronics as interconnects or field-effect transistors (FETs) [1]. Unlike graphene, narrow GNRs can sustain a small transport or energy gap, leading to enhanced control of their electronic properties [2]. While several studies exist on GNRs obtained from exfoliated graphene or unzipped nanotubes, these techniques are not practical for large scale fabrication and hierarchical design [1,2]. Surprisingly, no GNRs (until now) have been demonstrated from CVD-grown graphene. In this work, we present the first large-scale study of GNRs from graphene grown by chemical vapor deposition (CVD) and characterize them through low-bias, high-bias, and temperature dependent measurements. Importantly, we find that the quality of CVD-GNRs is similar to previous results on exfoliated or unzipped nanotube GNRs, indicating that our devices are typically smaller than the grain size of CVD graphene (~0.25 μm) and thus not strongly influenced by grain boundary scattering. Moreover, CVD-GNRs display Fabry-Perot-like oscillations in their low-temperature transfer characteristics, further attesting to their relatively high quality. Graphene was grown on copper and transferred to SiO2(90 nm)/Si++ substrates [3]. Electrodes were defined by standard lithography and metal evaporation of Ti/Au. GNRs were defined by e-beam lithography and protected by AlOx while the rest of graphene was etched away by O2 plasma. Raman spectroscopy confirmed good quality of the bulk CVD-grown graphene with an increase in the relative D/G peak ratio after GNR formation, due to the presence of edges and process-induced defects [4]. Mobility, charge density and contact resistance information for GNRs were extracted from experimental data, accounting for GNR fringing capacitance on SiO2 and current spreading at the contacts. The mobility (~500 cm2/V.s) and peak current density (~3 mA/μm) of CVD-GNRs are comparable to those of exfoliated GNRs and unzipped nanotubes [5], suggesting that polycrystalline grain boundaries are not limiting the electrical transport [2,5]. In addition, GNR mobility increases weakly with temperature from 77â?"400 K. At T < 30 K GNRs with high and low conductance (relative to the conductance quantum 4e2/h) show signatures of Fabry-Perot and Coulomb-blockade transport, respectively, implying that ribbon quality is high and transport is limited only by the contacts. Our study provides a comprehensive analysis of CVD-GNRs, and the results are important for future large-scale integration of such devices as transistors and interconnects. 1. L. Jiao, et al., Nat. Nanotechnol. 5, 321 (2010). 2. M. Y. Han, et al., Phys. Rev. Lett. 98, 206805 (2007). 3. X. Li, et al., Science 324, 1312 (2009). 4. S. Ryu, et al., ACS Nano 5, 4123 (2011). 5. A. Liao, et al., Phys. Rev. Lett. 106, 256801 (2011).
11:30 AM - DD12.6
Advances in Industrial Graphene Metrology and the Role of Image Processing
Jennifer Reiber Kyle 1 Cengiz S Ozkan 2 Mihrimah Ozkan 1
1University of California Riverside Riverside USA2University of California Riverside Riverside USA
Show AbstractDue to its excellent electrical, mechanical, and thermal properties, graphene is a promising material for many industrial applications. However, to successfully graduate from the laboratory and fulfill its promised destiny as a revolutionary of the energy, semiconductor, and thermal management industries, graphene needs to become relevant at larger scales. This requires increasing the size of graphene itself and developing large-scale industrial metrology techniques. With the advent of large-scale graphene production, such as chemical vapor deposition (CVD), development of large-scale industrial metrology techniques is the last step to widespread industrial use of graphene. In this talk we summarize recent advances in graphene metrology, describing which metrics they measure and their suitability for an industrial metrology technique. We focus on the metrics most important to the energy, semiconductor, and thermal management industries: layers, uniformity, edge structure, defects, Fermi level, and thermal conductance. In addition to measuring the metrics of interest, an industrial metrology technique should be high-throughput, quantified for automated decision making, insensitive to environmental factors or substrate interactions, and able to characterize entire large-area CVD graphene samples. We will also cover the current state and future of image processing in graphene metrology. Basic image processing techniques have played an important role in improving graphene characterization (filtering of high-resolution transmission electron microscopy and scanning tunneling microscopy images) and are integral in industrial metrology (image segmentation). By utilizing advanced processing techniques, such as statistical-based analysis, industrial graphene metrology sensitivity and robustness can be further improved.
11:45 AM - DD12.7
Strong Rotational Angle Dependence of Raman Spectroscopy in Rotated Double-layer Graphene
Kwanpyo Kim 1 2 3 Sinisa Coh 1 3 Liang Z Tan 1 3 William Regan 1 3 Jong Min Yuk 1 3 4 Eric Chatterjee 1 Michael F Crommie 1 2 3 Marvin L Cohen 1 2 3 Steven G Louie 1 3 Alex Zettl 1 2 3
1UC Berkeley Berkeley USA2UC Berkeley Berkeley USA3Lawrence Berkeley National Laboratory Berkeley USA4KAIST Daejeon Republic of Korea
Show AbstractWe present a complementary Raman spectroscopy and transmission electron microscopy (TEM) study on suspended rotated double-layer graphene. We find that graphene Raman spectra strongly depend on the misorientation angles between two stacked layers. Low-angle rotated (<~ 10 degrees) double-layer graphene exhibits Raman signature closer to AB-stacked bilayer graphene. On the other hand, highly misoriented double-layers (>~ 15 degrees) display Raman spectra similar to single-layer graphene. This trend and a G peak enhancement at certain middle angles can be explained with electronic bandstructure modifications of rotated double-layer graphene. An energy-scale competition between Van Hove singularities from rotated double-layer graphene and excitation laser energies can account for these phenomena. We also calculate electronic bandstructures and compute the key features of graphene Raman peaks, such as blue-shift, width and intensity of 2D peaks, which agree well with experimental data. Our rotation-angle-dependent Raman study provides not only a practical way to analyze rotation angles of misoriented double-layer graphene but also gives a deeper understanding of electronic bandstructures in rotated double-layer graphene.
12:00 PM - DD12.8
Direct Visualizing Graphene Layers Using a Transient-Absorption Optical Microscope
Chen Yang 1 Junjie Li 2 Ji-xin Cheng 2
1Purdue University West Lafayette USA2Purdue University West Lafayette USA
Show AbstractGraphene has stimulated great interest owing to its unique mechanical, electrical and chemical properties. A general visualization method that enables quick observation of these single atomic layers would be highly desirable as it can greatly facilitate sample evaluation and manipulation. Here we demonstrate that single and multiple layer graphene can be directly imaged under a transient-absorption optical microscope. The imaging intensity is quantized and linearly proportional to the number of graphene layers. Compared to other visualization mechanism, the Transient-Absorption imaging is no limited by the type of substrate and doesnâ?Tt require any fluorescence labeling. Graphene deposited on arbitrary substrates can be directly imaged with good contrast for layer analysis. The Transient-Absorption microscopy offers quick and direct characterization tool for graphene based materials and could hold the potential for investigating the electronic energy structure and energy relaxation process in graphene.
12:15 PM - DD12.9
Thermal Conductance at Graphene-copper Interface and Role of Phonon Modes
Liang Chen 1 Satish Kumar 1
1Georgia Institute of Technology Atlanta USA
Show AbstractGraphene has been identified as a promising nano-material for future electronics due to its exceptional electronic and thermal properties. The contact with metals is extremely important and unavoidable in the graphene based nanoelectronics, e.g., in graphene-based field effect transistors and interconnects. Thermal transport at graphene-metal contact plays an important role in the performance and reliability of graphene nanoelectronics. A good understanding of the thermal transport at the graphene/metal interface is crucial. The present study investigates the interfacial thermal conductance between graphene and copper substrate using molecular dynamics simulations and diffuse mismatch model. The thermal coupling between graphene and copper substrate was investigated by varying the interaction strength between the carbon atoms and Cu atoms at the interface. The transmission of different phonon modes across the graphene/copper interface was analyzed in order to elucidate the role of phonon modes in the interfacial thermal transport.
12:30 PM - DD12.10
Thermal Actuation of Graphene Oxide Nanoribbon Mats
Jiyoung Oh 1 Mikhail E Kozlov 1 Javier Carretero-Gonzaacute;lez 1 Elizabeth Castillo-Martiacute;nez 1 Ray H Baughman 1
1NanoTech Institute Richardson USA
Show AbstractGraphene oxide nanoribbons (GOr), obtained by chemically unzipping multi-walled carbon nanotubes, were assembled into macroscopic mats by vacuum filtration. These mats exhibited up to 1.6% reversible contraction when electrically heated at ambient. The experimentally derived work capacity of the mats was about 40 J/kg, which is similar to that of natural muscle. It was limited by the mechanical strength of mats and can be increased upon optimization of their preparation conditions. X-ray diffraction measurements indicated reversible changes in the interplanar spacing of GOr layers during heating. These dimensional changes can be associated with reversible adsorption/desorption of water molecules between GOr layers and used in thermally-driven high performance artificial muscles and moisture sensors.
12:45 PM - DD12.11
Aligned Carbon Nanotube Array for Ultra-high Interfacial Thermal Conductance
Rong-Shiuan Chu 1 2 Yang Zhao 2 Costas Grigoropoulos 2 1 Oscar Dubon 3 4 1 Arun Majumdar 5
1University of California-Berkeley Berkeley USA2University of California-Berkeley Berkeley USA3University of California-Berkeley Berkeley USA4Lawrence Berkeley National Laboratory Berkeley USA5US Department of Energy Washington USA
Show AbstractChip cooling has become an important issue with the ever increasing transistor density in electronics, and among the important components in the cooling system are the thermal interface materials (TIMs), the materials connecting various solid/solid interfaces. Ideal TIMs have the characteristics of high mechanical compliance and high intrinsic thermal conductivity. Vertically aligned carbon nanotube (CNT) arrays are promising for advanced TIMs since they possess both characteristics, yet the overall performance still fell short of expectations in reported data. One possible reason was the incomplete contact between array and the target surface which resulted in a poor pathway for thermal conduction. Recently, indium-assisted thermal compression bonding has been found to enhance the array/surface contact conductance by a factor of 10 due to indium reflow ability. Such ability can make a connection for shorter tubes to the target surface, which rebuilds the conduction pathway between CNTs and target surface. This finding has prompted us to comprehensively study both the mechanical and the thermal properties of carbon nanotube array interfaces. In this work, we first showed a systematic study on the array/glass contact conductance and the effective thermal conductivity of CNT array as a function of array volume fraction. The CNT array was bonded to a glass with indium under thermal compression. The thermal properties were characterized by Phase Sensitive Transient Thermal Reflectance (PSTTR) thermometry. Our results showed that both the array/glass contact conductance and the cross-plane effective thermal conductivity increased monotonically as the volume fraction increased, and furthermore, the averaged cross-plane thermal conductivity of individual CNT increased as the volume fraction increased. The increase of averaged cross-plane thermal conductivity of individual CNT was investigated through compression test. The higher the volume fraction of CNT array, the less the degree of deformation within the array. The deformation within the array caused higher entanglement of the tubes, altering the system heat transfer characteristics. It is noted that a vertically aligned carbon nanotube array exhibits strong anisotropy in the cross-plane direction. The quantification of the compressive stress and strain of CNT array as a function of array volume fraction will be addressed for both effective thermal conductivity and contact conductance optimization. This is important since the deformation of CNT array caused by compression could make an impact on the effective thermal conductivity of CNT array along cross-plane direction. Also, the compressive strain of CNT array could decrease as the array volume fraction increased, and the conformability of CNT array/surface interface should be investigated for contact conductance optimization.
Symposium Organizers
Cengiz S. Ozkan, University of California, Riverside
Kang Wang, University of California, Los Angeles
Markus J. Buehler, Massachusetts Institute of Technology
Nicola Pugno, Politecnico di Torino
DD18: Hierarchical Nanostructures and Nanocomposites III
Session Chairs
Friday PM, April 13, 2012
Moscone West, Level 3, Room 3009
2:30 AM - DD18.1
Nanomechanics of Graphene Nanoscrolls
Nicola Maria Pugno 1 Xinghua Shi 3 Cheng Yuan 2 Huajian Gao 2
1Politecnico di Torino Torino Italy2Brown University Providence USA3Chinese Academy of Sciences Beijing China
Show AbstractThe rapid development of synthesis and characterization of nanostructured materials as well as unprecedented computational power have brought forth a new era of materials research in which experiments, simulation and modeling are performed side by side to probe the unique mechanical properties of nanoscale materials. This talk aims to present an overview of our recent studies of the mechanical properties of carbon nanoscroll-based nanomaterials and nanodevices via molecular dynamics simulations and continuum mechanics modeling. Molecular dynamics simulations and theoretical analyses are performed to probe equilibrium and dynamic properties of carbon nanoscrolls -- made of a continuous basal graphene sheet rolled up in a spiral form -- such as their equilibrium core size as well as their oscillatory and translational motion with and without an external controlling field. By analyzing the elastic bending and surface interaction energies in the system, we have developed equations of motion in terms of the surface energy, the bending stiffness, the interlayer spacing, the length and width of the basal graphene sheet and the core radius of the nanoscroll. The results suggest that the carbon nanoscrolls hold great promises for applications such as nano-oscillators, nanoactuators, nanomotors, tunable water and ion channels, nanofluidic devices, nanofilters as well as tunable gene and drug delivery systems [1-6]. [1] X. Shi, N. M. Pugno, Y. Cheng, H. Gao, Gigahertz oscillators based on carbon nanoscrolls, APPLIED PHYSICS LETTERS (2009), 95, 163113. [2] X. Shi, Y. Cheng, Nicola M. Pugno, H. Gao, A translational nanoactuator based on carbon nanoscrolls on substrates. APPLIED PHYSICS LETTERS (2010), 96, 053115. [3] X. Shi, N. Pugno, H. Gao, Tunable core size of carbon nanoscrolls. J. OF COMPUTATIONAL AND THEORETICAL NANOSCIENCE (2010), 7, 517-521. [4] X. Shi, Y. Cheng, N. M. Pugno, H. Gao, Tunable water channels with carbon nanoscrolls. SMALL (2010), 6, 739-744. [5] X. Shi, N. Pugno, H. Gao, Constitutive behaviour of pressurized carbon nanoscrolls. INTERNATIONAL JOURNAL OF FRACTURE (2011), Special Section on Nanoscale Fracture, Guest Editor Nicola M. Pugno (2011), Available online. [6] X. Shi, N. Pugno, H. Gao, Mechanics of carbon nanoscrolls: a review. ACTA MECHANICA SOLIDA SINICA (2011), 23, 484-497.
2:45 AM - DD18.2
Reduction of Graphene Oxide in the Presence of Ethanol and Methanol: An Experimental and Theoretical Study
Cheng Gong 1 Muge Acik 1 Ramin M Abolfath 2 Yves J Chabal 1 Kyeongjae Cho 1
1The University of Texas at Dallas Richardson USA2The University of Texas at Dallas Richardson USA
Show AbstractSeparation of individual graphene layers using graphite oxidation (GO formation) has been interest of graphene community to produce large area, high quality flakes for nanoelectronics. GO (initially oxidized graphite) is a common starting material that needs to undergo several reduction steps to partially remove oxygen and to exfoliate into flakes of variable band gaps. A combination of chemical and thermal reduction is often to optimize the reduction efficiency. Alcohols have been recently considered for the reduction of GO; 1 Ethanol in particular has been shown to be effective in restoring of the graphitic structure. 2 Although it has been recognized that alcohols can act as reducing agents, the reduction mechanism of GO in the presence of alcohols (e.g. after replacement of water by alcohol) is still not well understood yet. This work combines density functional theory (DFT), and ab initio molecular dynamics (MD) calculations with in-situ infrared absorption measurements to examine the thermal reduction of GO in vacuum. We employ a solvent-exchange process to displace trapped water and replace it with alcohols (ethanol or methanol). Our experimental studies show that the relative concentrations of both carbonyl and ether groups upon thermal reduction are quite different with methanol and ethanol from what is observed for GO in water. During thermal reduction, bare etch holes are generated, as evidenced by evolution of carbon dioxide (CO2) molecules, leading to the formation of transient carbon dangling bonds during thermal annealing of GO.3 For intercalated water, both ethers and carbonyls are formed as a result of chemical reactions between trapped water (and to a lesser extent methanol) and etch holes (i.e. defective sites). However, they are absent when ethanol is intercalated. The chemical evolution of the GO is also different with ethanol, with evidence for carbon incorporation during reduction. The elucidation of the reduction mechanisms with alcohols while forming etch holes in reduced GO is explored by theoretical calculations (in particularly, ab initio molecular dynamics simulations). The results suggest that ethanol is effective in repairing etch holes by incorporating carbon atoms in a ring structure inside etch holes, thus providing a new approach for defect engineering to improve the mobility for transistor applications. [1] Dreyer, et al. J. Mater. Chem. 21, 3443-3447 (2011). [2] Su et al. ACS Nano 4, 5285-5292 (2010). [3] Acik, et al. ACS Nano 4, 5861â?"5868 (2010).
3:00 AM - DD18.3
Hierarchical Graphene Macroassemblies
Marcus Andre Worsley 1 Matthew D Merrill 1 Matthew E Suss 1 Arne Wittstock 1 Michael Stadermann 1 Joe H Satcher 1 Juergen Biener 1 Theodore F Baumann 1
1Lawrence Livermore Nat'l Lab Livermore USA
Show AbstractHerein we report the assembly of graphene sheets into a hierarchical architecture with length scales extending from the nanoscale to the macroscopic regime. These graphene macroassemblies are formed using sol-gel chemistry to cross-link suspensions of single layer graphene oxide (GO). The hierarchical structure possesses a number of novel properties including mechanical stiffness and electrical conductivities orders of magnitude higher than previously reported, surface areas that approach the theoretical values expected for a single graphene sheet, and extraordinarily large mesopore volumes. In addition, exceptional energy storage behavior was observed. This work was performed under the auspices of the U.S. Department of Energy by Lawrence Livermore National Laboratory under Contract DE-AC52-07NA27344 and funded by the DOE Office of Energy Efficiency and Renewable Energy.
3:15 AM - DD18.4
Polyoxometalate Clusters Supported on Functionalized Graphene Sheets as Nanohybrids for the Catalytic Combustion of Liquid Fuels
Jean-Philippe Tessonnier 1 Mark A Barteau 1 Daniel M Dabbs 2 Ilhan A Aksay 2 Francis M Haas 3 Frederick L Dryer 3 Richard A Yetter 4
1University of Delaware Newark USA2Princeton University Princeton USA3Princeton University Princeton USA4Pennsylvania State University University Park USA
Show AbstractPetroleum-based transportation fuels are blended and conditioned to improve performance. Most additives used in fuel conditioning help maintain the engine and prevent the formation of carbon build-up in the cylinders and on the valves. Some additives, such as 2-ethylhexyl nitrate (2-EHN), are also added to diesel fuel to increase the cetane number, a measure of fuel autoignition quality.[1] 2-EHN accelerates the ignition process through increased rate of free-radical initiation reactions without significantly altering the principal combustion reaction mechanism.[2] Conventional additives have reached their limits, especially for jet engines (turbofan) and advanced propulsion systems (ramjet, scramjet) where complete combustion of fuel must occur within a few milliseconds.[3] Catalyzing the combustion reaction may lead to major improvements, as the reaction may then follow a different mechanistic pathway.[4] We developed polyoxometalate/graphene nanocatalysts as a novel class of fuel additive. Polyoxometalates (POMs) are metal oxide clusters of 1 to a few nanometers in size made of transition metal atoms (Mo, V, W) interconnected through bridging oxygen atoms. They exhibit intriguing redox properties which depend on the nature of the transition metal as well as on the POM structure. While a high number of oxygen-containing groups is desired on the functionalized graphene sheet (FGS) support to achieve a high dispersion of the POMs, the resulting polarity of the surface is obviously detrimental to the dispersion of the nanohybrid in non-polar, aprotic fuels. Therefore, a 2-step one-pot grafting technique was developed to attach C10 and C20 aliphatic chains to the FGS support in order to obtain stable suspensions in toluene. The successful attachment of the C10 and C20 groups was confirmed by NMR, ATR-FTIR and TG-MS. The POM deposition was performed by a simple incipient wetness impregnation in conditions where the Zeta potential of the surface is positive. Aberration-corrected HRTEM and HAADF-STEM images revealed a high POM dispersion, with a predominance of single clusters even at high loading (20 wt.%). TGA data showed that POM clusters lower the combustion temperature of the graphene sheet support by 90 °C, despite its high structural order. Bunsen burner tests were performed with toluene as a model fuel. We observed a 5% increase in the flame speed with a nanohybrid concentration of only 50 ppm. Further tests are in progress. References: [1] Suppes, G.J.; Rui, Y. ; Rome, A.C.; Chen, Z. Ind. Eng. Chem. Res. 1997, 36, 4397-4404. [2] Ghosh, P. Energy Fuels 2008, 22, 1073-1079. [3] Wickham, D.T.; Cook, R.; De Voss, S.; Engel, J.R.; Nabity, J. J. Russ. Laser Res. 2006, 27, 552-561. [4] Sabourin, J.L.; Dabbs, D.M.; Yetter, R.A.; Dryer, F.L.; Aksay, I.A. ACS Nano 2009, 3, 3945-3954.
3:30 AM - DD18.5
Graphene Oxide-supported Nanostructured Carbon Materials
Gun-hee Moon 1 2 Wonyong Choi 1 Yongsoon Shin 2
1POSTECH Pohang Republic of Korea2Pacific Northwest National Laboratory (PNNL) Richland USA
Show AbstractGraphene oxide (GO), which is a monolayer of sp2 and sp3 integrated carbon atoms hexagonally arrayed into a two-dimensional honeycomb lattice, has been utilized as a template for the formation of carbon spheres, hollow carbon sphere/RGO, and composites of cellulose nanocrystal (CNXL)/GO. In our work, GO has been used as a template or support for the formation of nanostructured carbon materials. First, carbon spheres have been formed on GO surface via the dehydration/condensation of γ-cyclodextrin (CD) as a carbon precursor through the formation of hexagon-shape carbon plates by a hydrothermal process in a closed system, which is totally different from the process of α-, β-CD, or in the absence of GO template. The key factor would be the solubility of carbon precursors: γ-CD is much higher water-solubility than those of α-, or β-CD. Second, new types of carbon nanomaterials or metal oxide/RGO composites were prepared for energy and environmental applications.Third, some mechanical properties have been investigated for CNXL/GO composites. GO and CNXL were deposited the layer-by-layer method. 1.0-10.0wt% of CNXL was doped to GO layers. An increase of CNXL in the composites enhanced their strain. The characterization and properties of each material will be discussed in a great detail.
3:45 AM - DD18.6
Graphene-boron Nitride Heterostructures
Peter Sutter 1 Jayeeta Lahiri 1 Rocio Cortes 1 Eli Sutter 1
1Brookhaven National Laboratory Upton USA
Show Abstract
Graphene has been used to explore the fascinating properties of two-dimensional sp2 bonded carbon, and shows great promise for applications. Heterostructures of graphene (G) and hexagonal boron nitride (h-BN) have the potential for significantly extended functionality, for instance providing very high carrier mobilities approaching those of suspended graphene in planar G/h-BH structures [1], or giving rise to emergent electronic behavior near in-plane G/h-BN junctions [2]. While significant progress has been made recently in separate graphene and boron nitride epitaxy on transition metal substrates [3], the controlled growth of high-quality G/h-BN heterostructures poses formidable new challenges and has not been accomplished to date. Here we demonstrate the successful synthesis of G/h-BN heterostructures and discuss their fundamental growth mechanisms, studied by a combination of in-situ surface microscopy methods. Real-time observations by low-energy electron microscopy (LEEM) provide a mesoscale view of the nucleation and growth of h-BN in the presence of graphene, and vice-versa. LEEM imaging together with diffraction and selected-area angle resolved photoemission spectroscopy (micro-ARPES) gives unique insight into the interaction between graphene and h-BN parts of the heterostructures. Scanning tunneling microscopy and spectroscopy have been used to probe intermixing and the structure of interfacial boundaries at the atomic scale. Combining real-time and atomic resolution imaging, we identify successful approaches for achieving atomically sharp G/h-BN heterojunctions. [1] C.R. Dean et al., Nat. Nano 5, 722 (2010). [2] J.M. Pruneda, Phys. Rev. B 81, 161409 (2010). [3] P. Sutter, J. Lahiri, P. Albrecht, and E. Sutter, ACS Nano 5, 7303 (2011).
DD16: Electrical Properties III
Session Chairs
Friday AM, April 13, 2012
Moscone West, Level 3, Room 3009
9:00 AM - *DD16.1
Spin-transport and Magnetism in Graphene
Roland Kawakami 1
1University of California, Riverside Riverside USA
Show AbstractGraphene is an attractive material for spintronics due to its high mobility and the low intrinsic spin-orbit and hyperfine coupling, which should lead to excellent spin transport properties. In 2007, graphene became the first material to exhibit gate tunable spin transport and spin precession at room temperature. However, the spin injection efficiency was low and the spin lifetime was much shorter than predicted theoretically. In this talk, I will report on our progress in this area. The low spin injection efficiency into graphene is due to the conductivity mismatch between the ferromagnetic metal (Co) spin injector and the single layer graphene (SLG). To alleviate this problem and enhance the spin injection efficiency, we developed atomically smooth MgO tunnel barriers by utilizing a TiO2 seed layer. With tunneling contacts, the non-local spin signal is found to be as high as 130 ohms at room temperature, with a spin injection efficiency of 30%. This is the highest spin injection efficiency observed in graphene spin valves. In addition to improving the spin injection efficiency, the tunneling contacts were found to improve the spin lifetime as well. This indicates that the short spin lifetimes reported before are due to the contact-induced spin relaxation from the ferromagnetic electrodes. Using tunneling contacts, we observed the spin lifetimes as high at 771 ps in single-layer graphene (SLG), 1.0 ns at 4 K in SLG, and 6.2 ns at 20 K in bilayer graphene (BLG). These are currently the highest values reported in the literature. At low temperatures, contrasting behaviors of gate voltage dependence of the spin lifetime are observed between SLG and BLG, which suggest different mechanisms for spin relaxation in SLG and BLG. A final topic of interest is magnetism and the formation of magnetic moments in graphene. While there have been reports of magnetism in doped and defective graphene based on macroscopic measurements, it is a controversial topic due to the possibility of spurious magnetic signals. We utilize a very different approach based on surface modification in ultrahigh vacuum combined with in situ spin transport measurement. The principle is that the localized magnetic moments will directly interact with spin-polarized carriers in graphene and produce a clear signature of magnetism. We will report the progress on our efforts to identify magnetism with this approach. These results lay the foundation for spin-based electronics in graphene.
9:30 AM - DD16.2
Photoconductivity of Graphene-based Composite
Wonjun Park 1 2 Jiuning Hu 1 2 Yong P Chen 3 2 1
1Purdue University West Lafayette USA2Purdue University West Lafayette USA3Purdue University West Lafayette USA
Show AbstractGraphene-based composites have drawn intensive attentions in recent years. It has been demonstrated that electrical, thermal, and mechanical properties can be improved by the incorporation of graphene fillers into host materials. We will discuss our experimental studies on photoconductivity of reduced graphene oxide (r-GO)/polystyrene (PS) composites. Graphene oxide (GO) was synthesized by the modified Hummers method and chemically reduced to r-GO by hydrazine. The r-GO/PS composites were prepared by hot-press procedures. The photoconductivity of r-GO/PS composite was studied as a function of different r-GO filler concentrations under 532-nm and 632-nm laser irradiation. The dark conductivity showed a percolation behavior as a function of different filler concentrations, as observed in many typical composite systems. As the r-GO concentration increases from 0.5 vol. % to 20 vol. %, the photoconductivity increases significantly, while photosensitivity, defined as Ïfph/Ïfdark, decreases. The results may imply that the efficiency of collected photo-generated carriers degrades with the increase of filler concentration. We also performed Raman spectroscopy study and measured the power dependence of photoconductivity to probe possible disorders/defects in the composite system. This work is supported by U.S. Defense Threat Reduction Agency (DTRA).
9:45 AM - DD16.3
Quantifying Charge Transfer and Doping of Graphene by Organic Molecules
Alexander Samuels 1 David Carey 1
1University of Surrey Guildford United Kingdom
Show AbstractThe interaction of graphene with physisorbed molecules can lead to changes in electronic properties and carrier concentration. Understanding the extent of charge transfer associated with molecules adsorbed on to the surface is therefore important. Ab initio calculations have been employed to estimate the charge transfer of a range of metal-organic and organic species physisorbed onto the surface of graphene. We have found that cobaltocene is a much stronger n-type dopant that ferrocene with the former donating around 0.3e per molecule (Mulliken charge transfer) whereas the latter donates 0.03e per molecule. Larger n-type doping of 0.58e per molecule is found using the electron rich tetrakis(dimethylamino)ethylene (TDAE). Band structure and density of states data help to explain the mechanism of doping.
10:00 AM - DD16.4
Mapping Edge-state Wavefunctions in Chiral Graphene Nanoribbons
Dimas de Oteyza 1 2 Yenchia Chen 1 3 Xiaowei Zhang 1 3 David Strubbe 1 Liying Jiao 4 Hongjie Dai 4 Steven Louie 1 Michael Crommie 1 3
1University of California at Berkeley Berkeley USA2Centro de Fisica de Materiales (CSIC-UPV/EHU) San Sebastian Spain3Lawrence Berkeley National Laboratory Berkeley USA4Stanford University Stanford USA
Show AbstractNanostructured graphene holds great promise for both potential applications and fundamental physics investigations of "relativistic" electrons in a honeycomb lattice. A particularly important nanostructure motif is the graphene nanoribbon (GNR), consisting of a narrow strip of graphene defined by its width, length, and the functional termination and chiral angle of its edges. Edge effects in nanoribbons, in combination with quantum confinement, have been predicted to result in a wide variety of behaviors, including tunable energy gaps and the presence of magnetic, 1D edge states. Here we report measurements of the local electronic structure of GNRs obtained by unzipping carbon nanotubes. Inhomogeneity in the source of nanotubes translates directly to the resulting GNRs, so that this synthesis method provides both single and multi-layered nanoribbons of varying widths, lengths, and chiralities. We have combined scanning tunneling microscopy and spectroscopy (STM and STS) to simultaneously characterize atomic-scale structure and electronic GNR properties. This provides us with new insight into the structure-property relations of different GNR geometries. In particular, we observe 1D GNR edge states that exhibit an energy gap that is dependent on nanoribbon width and chirality. We have spatially mapped electronic patterns in the local density of states associated with different GNR spectroscopic resonances. These experimental measurements are compared to theoretical simulations of GNR behavior.
10:15 AM - DD16.5
Controllable Electronic Property of Block Co-Polymer/Graphene Field Effect Transistor and Its Application as Robust pH Sensor
Shirui Guo 1 3 Maziar Ghazinejad 2 Xiangdong Qin 1 Huaxing Sun 1 Wei Wang 4 Francisco Zaera 1 Cengiz S Ozkan 2 4 Mihrimah Ozkan 3 1
1UC Riverside Riverside USA2UC Riverside Riverside USA3UC Riverside Riverside USA4UC Riverside Riverside USA
Show AbstractFor graphene field effect transistors (GFET), it is essential to control or modulate the electronic properties by means of doping. Having the ability to accurately control charge carrier transport and spatially modulate the charge carrier density will provide a significant capability for future graphene nanoelectronics. The unique structure of block co-polymer (BCP), with their different chemical functional groups in one chain, provides a viable source for tuning the electronic properties of graphene field effect transistors (GFETs). N-type or p-type block could tune the electronic property in different manners. Relative controllable Dirac point shift was achieved with further changing the structure of the block co-polymer with CF4 plasma. Raman and XPS study shows CF4 plasma is an effective approach for fluorine doping graphene. BCP modified GFET was used for pH sensor which could give higher response and stability. With different weight ratio of polystyrene (PS) and poly(4-vinyl pyridine) (P4VP), cylindrical structure with different pore size could be achieved. The integration of pH-responsive P4VP brushes into solid state nanochannels enabled the construction of a nanofluidic device whose transport properties can be accurately controlled by manipulating the proton concentration in the surrounding environment. This type of sensor could be used for over 3 months with high reproducibility.
10:30 AM - DD16.6
Nafion/CNTs-based Membranes for Mixed Proton and Electron Conduction in Innovative Sun-driven Water Splitting Devices
M. Tortello 1 S. Bianco 2 V. Ijeri 3 4 P. Spinelli 3 E. Tresso 3
1Politecnico di Torino Torino Italy2Italian Institute of Technology - IIT@POLITO, Center for Space Human Robotics Torino Italy3Politecnico di Torino Torino Italy4Grauer amp; Weil Ltd Mumbai India
Show Abstract
The interest in clean energy is of increasing importance nowadays. In this regard, hydrogen production from sun-assisted water splitting is a field of intense research in the scientific community. In the design of artificial innovative devices devoted to this task, the simultaneous transport of protons (p+) and electrons (e- ) from an anode (where they are generated by water splitting) to a cathode (where they have to recombine in order to form molecular hydrogen) is essential. Nafion, a sulfonated fluoropolymer is of great interest because of its proven ability as a proton conducting membrane in fuel cells, and also for its good thermal and mechanical stability. In Nafion, hydrophilic sulfonate clusters form a physical network in a hydrophobic and semicrystalline perfluorocarbon phase: when sufficiently hydrated, clusters open percolation pathways that allow proton conduction. In a device-oriented membrane, while Nafion allows both enzyme immobilization and p+ transfer towards the cathode, electron transport can be managed by means of several approaches1. For instance, carbon nanotubes (CNTs), rolls of graphene sheets, possess a high thermal and mechanical stability together with excellent electron conductivity and could therefore be good candidates as electron conductors in mixed e- and p+ conducting membranes. Here we report on some concepts on the fabrication of membranes to be applied on devices for sun-driven water splitting. Different Nafion-based membrane layouts will be presented, trying to optimize the device performances. In particular, some insight on Nafion/CNT-based membranes for mixed proton and electron conduction will be illustrated. Two different membrane configurations will be described: films based on Nafion/multi-walled CNTs nanocomposite (CNTs weight contents ranging from 0 to 5%) and a Nafion matrix with cylindrical ropes of CNTs embedded in the structure. Free-standing films have been obtained and characterized by Scanning Electron Microscopy, Electrochemical Impedance Spectroscopy, Electronic Conductivity tests, water uptake and water contact angle. The electronic and protonic conductivity have been measured in ambient and wet conditions and it will be shown that such membranes allow for the mixed electron and proton conductivities that are required for devices. 1 FP7-Energy, Collaborative project 227192-2: â?oNanodesigned electrochemical converter of solar energy into hydrogen hosting natural enzymes or their mimicsâ?
10:45 AM - DD16.7
A Nanomechanical Approach to Sequencing DNA Using Carbon Resonators
Alex Greaney 1 Jeffrey C Grossman 2
1Oregon State University Corvallis USA2MIT Cambridge USA
Show AbstractDemonstrated is a new nanomechanical approach for sequencing the bases of a single strand of DNA by detecting signatures in the thermal transport between individual bases and well tuned graphene or carbon nanotube resonators. Molecular dynamics simulation is used to demonstrate the concept and estimate the number of measurements required for robust recognition of bases. It is shown that the approach could permit rapid sequencing of a complete human genome without the need for a DNA replication step. Finally, specific device geometries are discussed.
DD17: Growth, Defects, Mechanisms III
Session Chairs
Friday AM, April 13, 2012
Moscone West, Level 3, Room 3009
11:30 AM - DD17.1
The Shape of Graphene Domains on Copper Substrates
Lili Fan 1
1Tsinghua University Beijing China
Show AbstractThe synthesis of large-scale single crystalline graphene has attracted a lot of attention in recent years and chemical vapour deposition is a promising way to obtain it. However, real free-standing graphene films are still unable to be synthesized because the nucleation of graphene on metal substrates during CVD is difficult to be controlled and the grain boundaries influence the continuity of graphene significantly. Lots of studies have been carried out to reduce the nucleation of graphene and increase the domain size. Nevertheless, simply controlling the domain size of graphene is insufficient. The shape of graphene domains also greatly affects the continuity and other properties of the resulting polycrystalline film. In this paper, graphene domains in different shapes have been synthesized from atmospheric pressure chemical vapour deposition on copper substrates by controlling the growth parameters. The shapes of graphene domains tend to be six-fold symmetric hexagons under stable conditions with low methane flow rate and the temperature of 1000 degree centigrade. When the growth temperature is lowered to 950 degree centigrade, dendritic graphene domains are obtained and the sizes of them are relatively small. When the growth temperature is raised to 1050 degree centigrade, there will be some obvious defects on the graphene domains. By prolonging the growth duration and increasing methane flow rate, graphene domains develop from hexagons to six-sided symmetric and irregular shapes on Cu (100) substrates with the purity of 99.98% and are still hexagonal shape on Cu (311) substrates with the purity of 99.9995%. The edges of the graphene domains are mainly single-layer, while the middle parts of them are multi-layer. According to the step-driven competitive epitaxial and self-limited growth of graphene, in the middle of the graphene domains, high and wide steps of the copper substrates promote epitaxial growth and yield multilayer graphene domains with regular shape. When two graphene domains come close, they have to coordinate with each other and the hexagonal shape will deform until they form a whole graphene film. In summary, we have studied the influences of growth parameters including the temperature, growth time, methane flow rate and copper substrates on the domain shape and size of graphene. Our results provide a controllable method to synthesize shaped graphene domains, which is an important step for the synthesis of large-scale single crystalline graphene.
11:45 AM - DD17.2
Synthesis of High-quality Large-area Graphene from Polycyclic Aromatic Hydrocarbons
Xi Wan 1 Kun Chen 1 Xiaomu Wang 1 Shanghui Chen 2 Jian Chen 2 Jianbin Xu 1
1The Chinese University of Hong Kong HongKong Hong Kong2Sun Yat-Sen University Guangzhou China
Show AbstractHigh-quality large-area graphene has been synthesized on Cu foils from aromatic hydrocarbons, namely coronene, pentacene, and rubrene under ultrahigh vacuum. The growth mechanism has been systematically investigated through first-principles calculation. Both the qualities of the resulting graphene layers and the growth temperature strongly depend on the molecular configurations of the polycyclic aromatic hydrocarbons (PAHs) as well as the molecular activities on copper surface, which is consistent with our simulated results. Increasing the growth temperature will augment the activity of carbon clusters, so as to raise the formation probability of desired graphene. For coronene, centimeter-size monolayer graphene flakes with high quality can be achieved at growth temperature as low as 550C. Electrical transport measurements performed on back-gated FETs show a carrier mobility up to about 1326 cm2/(Vs) in ambient conditions at room temperature. Our findings pave the way for optimizing solid carbon precursors and shedding light on graphene synthesis. Acknowledgement: The work is in part supported by Research Grants Council of Hong Kong, particularly via Grants Nos. CUHK2/CRF/08 and CUHK4179/10E. J. B. Xu thanks the National Science Foundation of China (Grants Nos. 60990314 and 60928009) for support.
12:00 PM - DD17.3
Ethanol-assisted Graphene Oxide-based Thin Film Formation at Pentane-Water Interface
Yuan Chen 1 Fuming Chen 1
1Nanyang Technological University Singapore Singapore
Show AbstractGraphene oxide (GO) can be viewed as an amphiphilic soft material, which form thin films at organic solventâ?"water interfaces. However, organic solvent evaporation provides little driving force, which results in slow GO transfer in water phase, thus dawdling GO film formation processes for various potential applications. We present an ethanol assisted self-assembly method for the quick formation of GO or GO-based composite thin films with tunable composition, transmittance and surface resistivity at pentaneâ?"water interface. The thickness of pure GO and rGO films ranging from ~1 nm to more than 10 nm can be controlled by the concentration of GO in bulk solution. The transmittance of rGO films can be tuned from 72% to 97% at 550 nm while the surface resistivity changes from 8.3 to 464.6 kΩ sq-1. Ethanol is essential for achieving fast formation of GO thin films. When ethanol is injected into GO aqueous dispersion, it serves as a nonsolvent, compromising the stability of GO and providing driving force to allow GO sheets aggregate at the waterâ?"pentane interface. On the other hand, neither the evaporation of pentane nor the mixing between ethanol and water provide sufficient driving forces to allow noteworthy amount of GO sheets to migrate from the bulk aqueous phase to the interface. This method can also be extended to prepare GO based composites thin films with tunable composition, such as GO/SWCNT composite thin films investigated in this work. Reduced GO/SWCNT composite films show much lower surface resistivity compared to pure rGO thin films. This ethanol assisted self-assembly method open opportunities to design and fabricate new functional GO-based hybrid materials for various potential applications. Reference: Langmuir, 2011, 27, 9174â?"9181
12:15 PM - DD17.4
Continuously Spun Pure Carbon Nanotube Fibre Based Composites
Krzysztof K Koziol 1 Jinhu Chen 1 Agnieszka Lekawa-Raus 1 Sameer S Rahatekar 2
1University of Cambridge Cambridge United Kingdom2University of Bristol Bristol United Kingdom
Show AbstractThe biggest challenge in designing high performance, multifunctional material from carbon nanotubes has been to scale up their molecular properties from nano-scale to engineering scale. Continuously spun pure carbon nanotubes fibres from a gas phase reactor offer an excellent opportunity to address this challenge. In the present study, we have used continuously spun highly aligned carbon nanotube architecture to manufacture high volume fraction of carbon nanotube composites. Number of researcher have found that achieving high volume fraction of individually dispersed carbon nanotube in polymer matrix was very difficulty due to rapid increase the viscosity of polymer nanotube suspesion. We were able to overcome this challenge by infusing epoxy resin though continuously spun, highly aligned carbon nanotube fibres using conventional resin infusion methods used for manufacturing of carbon fibre composites. The resulting composites showed excellent electrical conductivity which was found to be orders of magnitude higher than that is required for EMI shielding. The stiffness of the carbon nanotube composite was increased by more than 3 times to that of pure epoxy. Our results offer an excellent opportunity to use these composites as a lightweight structure for lighting strike protection of aircraft.
12:30 PM - DD17.5
New Origin of Ripples Formation on Graphene: Role of Thermosolutal Instabilities of Substrate
Tereza M Paronyan 1 Elena M Pigos 1 Gugang Chen 1 Avetik Harutyunyan 1
1Honda Research Institute USA Inc. Columbus USA
Show AbstractThe origin of surface topography of freely suspended graphene is associated with the problem of thermodynamic stability of two dimensional crystals and with the presence of grain boundaries on the substrate or with the difference of thermal expansion coefficients of graphene and substrate, in the case of supported graphene [1-4]. Here, we report a new mechanism of ripples formation on graphene surface grown by CVD mehod on copper substrate at elevated temperatures. We observe peculiar patterns emerging on the copper foil surface upon thermal quenching, distinctive for not equilibrium solidified phases from planar and/or convective instabilities caused by solutocapillary forces. We propose that in the course of graphene growth, these dynamic instabilities inevitably govern the formation of ripples, developing a topographic pattern in the form of of wavy groves and single/double rolls, roughly honeycomb cells or their combinations. Importantly, these non-equilibrium processes can be described based on Mullins-Sekerka and Benard-Marangoni instabilities in diluted binary alloys, which offer control over the ripple texturing through synthesis parameters such as temperature, imposed temperature gradient, quenching rate, diffusion coefficients of carbon in the metal catalyst and the miscibility gap of the metal catalyst-carbon system. 1.A. Fasolino, J.H. Los, M.I. Katsnelson Nature Materials 2007, 6, 858 2.W. Bao, F. Miao, Z. Chen, H. Zhang, W. Jang, C. Dames, C.N. Lau Nature Nanotech. 2009, 4, 566. 3.Y. Liu, B.I. Yakobson Nano Letters 2010, 10, 2178 4.R. Grantab, V.B. Shenoy, R.S. Ruoff Science. 2010, 330, 946