Symposium Organizers
Jan Augustynski, Warsaw University
Clara Santato, Ecole Polytechnique-Montreal
Xiao Wei Sun, Nanyang Technological University
Alberto Vomiero, Lulea University of Technology
Symposium Support
Los Alamos National Laboratory
TT3: Solar Cells
Session Chairs
Tuesday PM, April 07, 2015
Marriott Marquis, Golden Gate Level, Ballroom B
2:30 AM - *TT3.01
Metal Oxide Contacts in Perovskite Solar Cells
Juan Bisquert 1
1Universitat Jaume I Castello Spain
Show AbstractOrganometal halide perovskite-based solar cells have recently realized large conversion efficiency over 19% showing great promise for a new large scale cost-competitive photovoltaic technology. The electron extracting contact is usually a TiO2 layer, either in direct contact with the perovskite or supported by a TiO2 nanoporous layer. In this communication we discuss the behaviour of TiO2 /lead halogenate perovskite interface, probed by a number of dynamic techniques including impedance spectroscopy and time transient dynamics. We compare a number of compositions and morphologies. New and interesting phenomena are observed that influence the solar cell performance in phenomena as hysteresis or time dependent luminescence.
3:00 AM - *TT3.02
Modeling Hybrid/Organic Heterointerfaces in Dye-Sensitized and Perovskite Solar Cells
Filippo De Angelis 1
1CNR-ISTM Perugia Italy
Show AbstractOver the last two decades, researchers have invested enormous research effort into hybrid/organic photovoltaics, leading to the recent launch of the first commercial products that use this technology. Dye-sensitized solar cells (DSCs) have shown clear advantages over competing technologies. The top certified efficiency of DSCs exceeds 11% and the lab-cell efficiency is greater than 13%. In 2012, the first reports of high efficiency solid-state DSCs based on organohalide lead perovskites completely revolutionized the field. These materials are used as light-absorbers in DSCs and as light-harvesting and electron conductor in meso-superstructured and flat heterojunction solar cells and show certified efficiencies that exceed 17%. Many of the advances in the DSC field have relied on the computational design and screening of new materials, with researchers examining material characteristics that can improve device performance and/or stability. Suitable modeling strategies allow researchers to observe the otherwise inaccessible but crucial hetero-interfaces that control the operation of DSCs, allowing researchers the opportunity to develop new and more efficient materials and optimize processes. We present a unified view of recent computational modeling research examining DSCs, illustrating how the principles and simulation tools used for these systems can also be adapted to study the of perovskite solar cells. We illustrate the performance of the proposed simulation toolbox along with the fundamental modeling strategies using selected examples of relevant isolated device constituents, including dyes/perovskite absorbers, metal-oxide surfaces/nanoparticles, and hole transporters. We critically assess the accuracy of various computational approaches against the related experimental data. We analyze the representative interfaces that control operational mechanism of the devices, including dye-sensitized TiO2/hole transporter and organohalide lead perovskite/TiO2, and the results reveal fundamental aspects of the device&’s operational mechanism. Although the modeling of DSCs is relatively mature, the recent “perovskite storm” has presented new problems and new modeling challenges, such as understanding exciton formation/dissociation at interfaces and carrier recombination in these materials.
References:
1) F. De Angelis Acc. Chem. Res. 2014, DOI:10.1021/ar500089n.
2) F. De Angelis, C. Di Valentin, S. Fantacci, A. Vittadini, A. Selloni Chem. Rev. 2014, 1114, 9708..
3) Y. Bai, I. Mora-Seroacute;, F. De Angelis, J. Bisquert, P. Wang, P. Chem. Rev.2014, 114, 10095.
4) S. Fantacci, F. De Angelis. Coord. Chem. Rev.2011, 255, 2704.
3:30 AM - TT3.03
Integrating beta;-Pb0.33V2O5 Nanowires with CdSe Quantum Dots: Towards Nanoscale Heterostructures with Tunable Interfacial Energetic Offsets
Kate E Pelcher 3 Christopher Milleville 2 Linda Wangoh 1 Crawley Matthew 2 Peter Marley 3 Louis Frederick Piper 1 Sarbajit Banerjee 3 David Watson 2
1Binghamton University, SUNY Binghamton United States2University at Buffalo Buffalo United States3Texas Aamp;M University College Station United States
Show AbstractMixed-valence ternary vanadium oxide bronzes with a composition of MxV2O5, where M is a main-group or transition-metal cation, exhibit unusual low-dimensional charge transport pathways and mid-gap states located at intermediate energy between the conduction and valence bands. For these reasons, such metal oxide materials are intriguing candidates as substrates, within semiconductor heterostructures, to accept and transport photogenerated charges for solar energy conversion.
We are exploring a promising tunable platform for light harvesting based on interfacing β-PbxV2O5 nanowires with CdSe quantum dots. Two distinct routes have been developed for assembling the heterostructures: (1) linker-assisted assembly mediated by a bifunctional ligand and (2) successive ionic layer adsorption and reaction (SILAR). In the former case, the terminal thiol group of a molecular linker is found to bind to the surfaces of CdSe quantum dots, whereas a protonated amine moiety interacts electrostatically with the negatively charged surfaces of β-PbxV2O5 nanowires. In the alternative SILAR route, the surface coverage of CdSe nanostructures on the β-PbxV2O5 nanowires is tuned by varying the number of successive precipitation cycles. High-energy valence band X-ray photoelectron spectroscopy measurements indicate that “mid-gap” states of the β-PbxV2O5 nanowires derived from the stereoactive lone pairs on the intercalated lead cations are closely overlapped in energy with the valence band edges of CdSe quantum dots that are primarily Se 4p in origin. Both the mid-gap states and the valence-band levels are in principle tunable by variation of cation stoichiometry and particle size, respectively, providing a means to modulate the thermodynamic driving force for charge transfer. Preliminary photophysical and photoelectrochemical data suggest that excitation of CdSe quantum dots is followed by charge transfer to β-PbxV2O5 nanowires. Ongoing experiments involve further exploration of charge-transfer dynamics and yields and incorporation of the CdSe/β-PbxV2O5 heterostructures into photocatalyst constructs.
This presentation will highlight our recent results pertaining to the assembly and light-induced charge-transfer reactivity of the CdSe/β-PbxV2O5 heterostructures.
3:45 AM - TT3.04
Evaluation of Transition Metal Oxides as Carrier-Selective Contacts for Silicon Heterojunction Solar Cells
Laura Ding 3 Mathieu Boccard 2 Priyaranga Koswatta 3 Srikanth Gangam 3 April Jeffries 3 Zachary Holman 1 Mariana I. Bertoni 3
1Arizona State Univ Tempe United States2Arizona State Univ. Tempe United States3Arizona State University Tempe United States
Show AbstractReducing light absorption in the non-active solar cell layers, while extracting photogenerated minority carriers at the quasi-Fermi levels, is key to improving the current generation and voltage, and therefore efficiency, of silicon heterojunction solar devices. To address these two critical aspects, transition metal oxide materials have been proposed as an alternative to the n- and p-type amorphous silicon layers traditionally used as electron- and hole-selective contacts, respectively. Indeed, transition metal oxides such as molybdenum oxide, titanium oxide, nickel oxide and tungsten oxide combine a wide band gap (typically over 3 eV) with a band structure that should align with silicon to result in high transparency to the solar spectrum and in selective transport of only one carrier type.
Improving carrier extraction or injection using transition metal oxides has been a topic of investigation in the field of organic solar cells and organic LEDs; from these pioneering works, a lot is known about materials properties, ways to control them during synthesis and deposition, and their impact on device performance. Recently, the transfer of some of this knowledge to silicon solar cells and the successful application of some metal oxides to contact heterojunction devices have gained much attention.
In this contribution, we investigate the suitability of various transition metal oxide films (molybdenum oxide, titanium oxide, and tungsten oxide) deposited either by thermal evaporation or sputtering as transparent hole- or electron-selective contact layers for silicon solar cells. In addition, to systematically characterize their optical and structural properties, we use photoemission spectroscopy to relate compound stoichiometry to band structure and to characterize the band alignment with respect to silicon. The direct silicon/metal oxide interface is further analyzed by quasi-steady state photoconductance decay measurements to assess the quality of surface passivation. We construct complementary full device structures (incorporating surface passivation schemes in some cases) with measured initial conversion efficiencies over 15%, and evaluate carrier transport using temperature-dependent current-voltage and capacitance-voltage measurements. With this detailed characterization study, we aim at providing a framework to assess the potential of a material as a carrier-selective contact and an understanding of how each of the aforementioned parameters of the metal oxide films influence the full solar cell operating performance.
TT4: Sensors
Session Chairs
Tuesday PM, April 07, 2015
Marriott Marquis, Golden Gate Level, Ballroom B
4:30 AM - *TT4.01
From Molecules to Functional Nanostructures for Energy and Sensing Applications
Sanjay Mathur 1 Thomas Fischer 1 Yakup Goenuellue 1 Ralf Mueller 1 Ashish Lepcha 1 Andreas Mettenboerger 1
1Univ of Cologne Cologne Germany
Show AbstractChemical nanotechnologies have played, in the past few decades a major role in the convergence of life, physical and engineering sciences leading not only to simple collaboration among the disciplines but to a paradigm shift based on true disciplinary integration. The successful synthesis, modification and assembly of nanobuilding units such as nanocrystals and wires of different materials have demonstrated the importance of chemical influence in materials synthesis, and have generated great expectations for the future. Therefore the availability of versatile chemical precursors for liquid and gas phase processing is essential for the fabrication of functional nanostructures with defined properties compatible with existing production technologies. The presentation will discuss the feasibility of molecular precursors in liquid as well as gas phase deposition techniques for the production of nanostructured inorganic metal oxides and composite materials for (1) energy harvesting, (2) energy storage as well as (3) gas sensing applications:
1. As viable alternative to water electrolysis, photoelectrochemical (PEC) water splitting has emerged as a competitive technology being capable of converting solar energy directly into chemical energy using stable and efficient photocatalysts for solar hydrogen production. Nanostructured metal oxides and composite materials are promising candidates for effective photoanodes, which are fabricated using CVD, PE-CVD and ALD techniques for producing multilayered electrode structures as oxygen evolution reaction (OER) catalysts.
2. In addition, efficient electrochemical energy storage using Li-Ion batteries remains one of the main pillars of the energy transition moving from fossil to renewable energy sources especially in terms of electro mobility, where the gravimetric energy density remains a limiting factor for achieving high operating distances. Here graphene/metal-oxide composite materials show promising characteristics as anode materials for Li-intercalation achieving increased stable capacities compared to known electrodes.
3. With emerging renewable technologies based on hydrogen generation and storage (PEC), or Li-ion batteries, gas sensors become more important in order to detect prematurely hazardous gas leaks or failure conditions in batteries. Therefore metal oxide nanowires and meshes can be applied as sensitive, selective and stable low-cost sensing devices being produced by either CVD or electrospinning techniques using metal organic precursors.
Finally, the current challenges of integration of nanomaterials in existing device concepts will be discussed.
5:00 AM - TT4.02
New Type of Gas Sensors Based on Polarizable Metal Oxide Thin Films
Martin Schreivogel 1 2 Wolfgang Menesklou 1 Denis Kunz 2 Ellen Ivers-Tiffee 1
1Karlsruhe Inst of Technology Karlsruhe Germany2Robert Bosch GmbH Stuttgart Germany
Show AbstractA new type of Metal-Insulator-Metal (MIM) gas sensor prepared by commonly used semiconductor processing methods is presented. The capacitive device has an extremely simple structure consisting of PECVD-SiO2 and ALD-Al2O3 as dielectric thin films with a thickness in the order of 10 nm sandwiched between two platinum electrodes. At elevated temperatures above 200°C the dielectric films show a distinct polarization mechanism which is attributed to mobile ions that cause a space charge polarization. The adsorption of gas species at the interface of the Pt top electrode and the metal oxides leads to a change in work function or bias offset and thus, a gas-induced change of the impedance of the MIM-structure, sensitive to less than 3 ppm of hydrogen, for example. Based on electrical characterization of these metal-insulator-metal structures using electrical impedance spectroscopy, capacitance-voltage-, and current-voltage-measurements a model is given to explain this unusual gas sensitive permittivity. In particular, this new type of integrated gas sensors show DC bias depending gas selectivity for reducing and oxidizing gases. Furthermore, this new transducer principle can be adapted easily to other gases by choosing appropriate electrode materials.
5:15 AM - TT4.03
Tailored ZnO Nanorod Electrode/Electrolyte Interfaces for Biosensing Applications
Nandhinee Radha Shanmugam 1 Sriram Muthukumar 2 Shajee Chaudhry 3 Anjan Panneer Selvam 1 Shalini Prasad 1
1University of Texas at Dallas Richardson United States2University of Texas at Dallas Richardson United States3University of Texas at Dallas Richardson United States
Show AbstractNanostructured electrodes fabricated from metal-oxides offers unique nanomorphological and functional characteristics in designing electrochemical biosensors. ZnO due to its multifunctional properties and ability to form anisotropic nanostructures has attracted much interest in biosensing applications. Here, we report the methodology to create nanostructured electrodes with 1D ZnO nanorods synthesized using hydrothermal approach on non-silicon electronic substrates. Surface characterization using scanning electron microscopy (SEM) and atomic force microscopy (AFM) revealed the dependence of nanorods density on growth conditions. The electrochemical response of this platform for detection of analytes was recorded using electrochemical impedance spectroscopy (EIS). The biomolecule interaction at the surface modulates the charge transfer properties which contribute to surface capacitance. Further, ZnO due to its semiconducting characteristics experience band bending resulting from disparate electrochemical charges at the interface which contribute to space-charge capacitance. The effect of pH and ionic content of buffer medium and tested concentrations of biomarker were studied by investigating the slope shift in Mott-Schottky plot. It was also observed that tailored 1D ZnO surface enhances sensitivity and selectivity of detection of target protein biomarkers. We also investigate mechanical properties of 1D ZnO nanorods assembly using AFM-aided nanoindentation measurement. The difference in loading characteristics between the ZnO nanorods and protein functionalized ZnO surface reveals crosslinking of biomarkers to the sensor surface. The nanostructured surface was tested for detection of troponin-T and cortisol, a major indicator of stress level and cardiac function of patients. Results indicated sensor performance with amplified response and large dynamic range for troponin-T and cortisol enabling classification of patients and quantification with femtogram/mL precision.
5:30 AM - TT4.04
Controlled Electrochemical Functionalization of MOx Nanostructures by Au NPs for Gas Sensing Application
Elena Dilonardo 2 Michele Penza 4 Marco Alvisi 4 Cinzia Di Franco 5 Luisa Torsi 1 Nicola Cioffi 3
1Universita degli Studi di Bari "A. Moro" Bari Italy2Universita degli studi di Bari Aldo Moro Bari Italy3Universita degli studi di Bari Aldo Moro Bari Italy4ENEA, Italian National Agency for New Technologies, Energy and Sustainable Economic Development Brindisi Italy5CNR IFN Bari Italy
Show AbstractIn recent years, air pollution has been becoming a serious problem with the development of industry and the increase of people life. In order to detect environmental hazardous gases, there have been lots of efforts in developing a variety of gas sensors; moreover, it is it is an urgent assignment to develop a small and portable gas sensor with high sensitivity and excellent selectivity to pollutant gas at low concentrations. Recently, many metal oxides (MOx) have been widely investigated as sensing materials for gas detection;[1] among these, ZrO2 and ZnO have been considered as a promising sensing material of solid-state semiconductor gas sensors for gas monitoring because of their excellent sensitivity and selectivity.[2] Recently, many efforts have been made to improve the gas sensing properties of MOx-based gas sensors. A very efficient strategy is to load noble metals onto an oxide matrix as sensitizer or promoter, to improve the sensing reactions.[3]
In this contribution, nanostructured ZnO and ZrO2 powders, synthesized by sol-gel method and thermally annealed, were directly functionalized with Au NPs of controlled dimension (12 nm in diameter) and loading by the electrochemical process called sacrificial anode electrolysis (SAE).[4] Au NPs/MOx nanocomposites, after a thermal stabilization at 600 °C, were morphologically and chemically characterized using transmission and scanning electron microscopies (TEM, SEM), as well as X-ray photon electron spectroscopy (XPS) which revealed the successful decoration of MOx nanosctrucures with nanoscale gold at the elemental oxidation state. The resulting nanocomposites were used as active layer in chemiresistive gas sensors for low-cost processing. The gas sensing performance of sensors based on drop-cast Au-doped and undoped MOx thin films used for the detection of pollutant gases were reported at a fixed sensor temperature of 310°C, evaluating also the cross-sensitivity in presence of gases mixture; in particular, the effect of the metal oxide composition and of the Au-doping on the sensor performance (e.g., gas response and response time) were evaluated.
[1]“Metal Oxide-Based Nanostructures” in Handbook of Gas Sensor Materials Integrated Analytical Systems 2013, pp 49-116.
[2]J. Mater. Chem. 19, 710-717, 2009; Sens. Act. B 143, 635-640, 2010.
[3]Sens. Act. B 50, 52-59, 1998.
[4] JACS 116, 7401-7402, 1994.
5:45 AM - TT4.05
Nanoporous Semiconducting Metal Oxides - Synthesis and Gas-Sensing Properties
Simon Vetter 1 Stefanie Haffer 1 Thorsten Wagner 1 Michael Tiemann 1
1University of Paderborn Paderborn Germany
Show AbstractNanoporous, semiconducting metal oxides (e.g. SnO2, WO3, In2O3, Co3O4) are of great interest for utilization as resistive gas sensors, based on surface-chemical interaction with the target gas molecules (e.g. CO, CH4, O3, NOx, VOCs) [1]. Naturally, porous systems are of particular interest because of their large surface/volume ratios. In addition, defined nanopores may facilitate control over gas-phase diffusion of specific target molecules for higher gas selectivity [2]. However, another, less well-explored feature in nanoporous systems is the small dimensions of the structures themselves. Because of short distances from anywhere within the crystal lattice to the surface (i.e. thin pore walls), solid-state oxygen migration often plays an important role in the sensors' behavior.
We present nanoporous metal oxide semiconductors, e.g.p-type Co3O4 and n-type In2O3. The synthesis is achieved by a structure replication process (nanocasting), using porous silica phases as structural molds. The products typically possess specific surface areas around 130 m2/g and pore sizes between 5 and 7 nm. X-ray diffraction reveals coherent scattering domains ('crystal sizes') between 10 and 20 nm, clearly larger than the pore wall thicknesses and periodic distances. The materials exhibit a high degree of thermal structural stability. In addition, our synthesis route yields porous particles with defined and uniform shape and size (few hundred nm).
Gas sensor devices prepared from the porous materials show strong conductometric response to reducing (e.g. CO) or oxidizing gases (e.g.O3, NO2) in concentrations as low as a few ppm. Most interestingly, the correlation between the target gas concentration and the change in resistance turns out to be qualitatively different for variable measuring conditions, such as operating temperature or illumination with UV light. Depending on the underlying mechanism, the sensor response is governed by surface coverage and availability of actives sites or by intrinsic acceptor state density. It turns out that solid-state diffusion of oxygen in the crystal lattices of the sensors affects the sensors' characteristics to a high degree [3,4]; this is of particular interest since diffusion path lengths are generally short on nanostructured materials.
[1] M. Tiemann, Chem. Eur. J. 13 (2007) 8376-8388.
[2] T. Wagner, S. Haffer, C. Weinberger, D. Klaus, M. Tiemann, Chem. Soc. Rev. 42 (2013) 4036-4053.
[3] S. Vetter, S. Haffer, T. Wagner, M. Tiemann, Sens. Actuators B 206 (2014) 133-138.
[4] T. Wagner, C.-D. Kohl, S. Morandi, C. Malagugrave;, N. Donato, M. Latino, G. Neri, M. Tiemann, Chem. Eur. J. 18 (2012) 8216-8223.
TT1: Synthesis amp; Structure I
Session Chairs
Tuesday AM, April 07, 2015
Marriott Marquis, Golden Gate Level, Ballroom B
9:00 AM - *TT1.01
Metal Oxide (Hetero)Nanostructures: Surface Chemistry, Interfacial Electronic Structure, Dimensionality Effect and Efficiency Optimization
Lionel Vayssieres 1
1Xian Jiaotong University Xian China
Show AbstractAn overview and the latest advances for efficiency optimization of metal oxide nanostructures for solar energy conversion and photocatalysic activities will be reported. Topics will include oxide/TCO interfacial electronic structure investigated by soft x-ray absorption spectroscopy at synchrotron radiation facilities; electron enrichment in 3d transition metal oxide hetero-nanostructures; orbital anisotropy, titanium incorporation, and interfacial electronic structure origin of efficiency enhancement in hematite photoelectrodes; size effects on bandgap, band edges, and orbital character and symmetry of conduction bands of αFe2O3, TiO2, and ZnO; and latest low cost materials development for efficient visible light active photocatalysts for water splitting.
TT5: Poster Session: Structural Properties
Session Chairs
Tuesday PM, April 07, 2015
Marriott Marquis, Yerba Buena Level, Salon 7/8/9
9:00 AM - TT5.01
Development of the Reflective Nano-Particle Film Coatings for Building Applications
Somayeh Asadi 1
1Pennsylvania State University University Park United States
Show AbstractReflective coatings have received considerable attention in building applications due to their ability to reduce building energy consumption and mitigate heat island effect. The objective of this study is to develop the Silicon Oxide (SiO2) nanoparticle films as the solar reflective coating materials to be used in the external faccedil;ade of buildings particularly as a coating for asphalt shingle. The prepared SiO2 nanoparticle thin films were coated on different types of asphalt shingles with different colors. The near-infrared radiation (NIR) reflectance properties of the prepared samples were measured in the laboratory and the solar reflectance index of each sample was calculated. It was found that the prepared asphalt shingles doped SiO2 nanoparticle film coatings has the high SRI value in comparison with the conventional asphalt shingles. Besides, the EnergyPlus software was used to quantify the energy reduction due to the application of reflective coatings on asphalt shingle. Results showed that asphalt shingle surfaces with high SRI represent a major contributing factor to the urban heat island effect. It was also found that asphalt shingles doped SiO2 nanoparticle film coatings demonstrate lower cooling load than conventional asphalt shingle of the same color.
9:00 AM - TT5.02
Adsorption and Oxidation of Small Fe Clusters on CeO2 (111) Surface: First Principles Study
Makoto Saito 1 Chen Ling 1
1Toyota Research Institute of North America Ann Arbor United States
Show AbstractTransition-metal clusters on oxide supports have attracted research interest for industrial applications because they show good catalytic activity toward various chemical reactions without using precious metals. Highly dispersed Fe-oxide clusters on a CeO2 support, for example, exhibit catalytic activity for NOx reduction, which is one of the important reactions for vehicle emission purification, in a stoichiometric condition of NO and H2 [1, 2]. Understanding of high catalytic activity mechanism is essential for industrial application, but clarification of catalytic active sites or the NOx reduction reaction process is a challenging task because real catalysts contain various sizes, compositions and structures of clusters and are complicated.
Here we provide insight into this issue by investigating the stability and reactivity of various sizes of Fe-oxide clusters (FexOy: 1le;xle;4, 0le;yle;3/2x) on a CeO2 (111) surface by DFT+U simulation. The results show that the stable configuration of FexOy clusters highly depends on the number of O atoms in the Fe clusters. In the Fe4Oy system, for instance, Fe atoms prefer to sit at hollow sites of the CeO2 (111) surface and form a parallelogram configuration when O content is y = 0. If O content becomes larger, however, Fe atoms move to top sites of the surface O atoms and form a parallelogram or a tetrahedron configuration. Bader charge analysis indicates that this Fe site change enhances electron transfer from the CeO2 the (111) surface to Fe particles. Furthermore, since O vacancy formation is widely considered to be the initial step of NOx reduction reactions, we calculated the O vacancy formation energy for various FexOy clusters and correlated it with the electron transfer. The details of the results will be also discussed in this presentation.
[1] Charles Roberts et al., submitted to Appl. Cat. B.
[2] Prieto-Centurion et al., submitted to Appl. Cat. B.
9:00 AM - TT5.03
ALD Growth and Characterization of Aluminum Oxynitride Thin Films Using Trimethyaluminum
Kaveh Ahadi 1 Kenneth Charles Cadien 1
1University of Alberta Edmonton Canada
Show AbstractAluminum oxynitride (AlON) has a cubic spinel crystal structure with lattice constant of 0.795 nm and chemical formula of (AlN)x(Al2O3)1-x in which 0.3Trimethylaluminum (TMA) was utilized as Al precursor and alternative cycles of plasma enhanced atomic layer deposition (PEALD) and thermal atomic later deposition (TALD) were used for deposition of AlN and Al2O3, respectively. In-situ spectroscopic ellipsometry was used to study optical properties of the thin films during growth. P-type Si (100) was used as substrate and wafers were first piranha cleaned and then buffer oxide etched to remove native oxide. X-Ray photoelectron spectroscopy (XPS) results showed that films with nitride to oxide cycle ratio of unity contain insignificant nitrogen concentration. Furthermore, films grown at 100 °C showed very low refractive index of n=1.25 which is dramatically lower than bulk refractive index of AlON (nbulk=1.79). Ultra thin films reveal lower than bulk refractive index and there is a critical thickness in which films start to act like bulk matter optically. Higher substrate temperature during growth helps with diffusion of the atoms and higher density and consequently closer to bulk refractive index. Additionally, films grown at 250 °C demonstrated higher refractive index (n=1.43). To conclude, films with nitride to oxide cycle ratio of three were deposited to reach the stoichiometric AlON at substrate temperature of 250 °C.
9:00 AM - TT5.04
Synthesis of Copper Nanostructures on 3-Dimensional Printed Polymer Substrates
David Wyman 1 Waqas Amin Gill 2 Boo-hyun An 2 Jong Eun Ryu 1 Daniel S Choi 2
1Indiana University - Purdue University Indianapolis Indianapolis United States2Masdar Institute of Science and Technology Abu Dhabi United Arab Emirates
Show AbstractWe report a two-phase chemical synthesis of various copper (Cu) nanostructures throughout complex 3-dimensional (3D) substrates. Our proof of concept tests were performed on 3D log pile structures printed with a 3D polyjet printer (Stratasys, Objet500Connex). 1 mm x 1 mm x 10 mm bar gratings are cross-stacked to form a 1 cm x 1 cm x 1 cm cubic structure. For this research, we printed rigid polymer (VeroWhite®) and flexible polymer (Tango®) structures. A Ni conducting layer was deposited electrolessly in order to uniformly cover the 3D polymer structure with a conducting thin-film. A Cu layer was then deposited by potential pulse electro-deposition on the Ni coated 3D polymer structures. The electroformed Cu layer was immersed in alkali solutions to grow nanostructures. We present various types of Cu nanostructures based on the physical appearance by controlling the composition and temperature of alkali solutions. Contact angles of the nanostructure modified 3D printed polymers were measured by goniometer. The surface morphology and chemistry were characterized by Scanning Electron Microscopy (SEM) and X-ray diffraction (XRD). The integrated fabrication approach introduced in this research can find immediate application within biochemical sensors, super capacitors, high-capacity batteries, heat sinks, and flexible electronics.
9:00 AM - TT5.05
Leakage Current and Reliability on Planar High k Capacitor with Al2O3 Dielectric Deposited by PEALD
Sandrine Madassamy 2 1 3 Frederic Voiron 2 Ahn Phuong Nguyen 2 Aude Lefevre 3 Guy Parat 3 Denis Buttard 4 Alain Sylvestre 1
1Grenoble Electrical Engineering Laboratory Grenoble France2Ipdia Caen France3Cea Grenoble France4Sinaps Grenoble France
Show AbstractDue to its wide band-gap, Al2O3 is known to have a moderate leakage current and a good dielectric strength [1]. Moreover, this dielectric has a fair permittivity and so constitutes interesting candidate as dielectric for Metal-Insulator-Metal (MIM) capacitor. Atomic Layer Deposition (ALD) allows to obtain a dense and thin Al2O3 amorphous layer. ALD limits problem of interlayer diffusion because Al2O3 is deposited underneath 400°C [2] which is essential when MIM are co-integrated with temperature sensitive structures. Besides, Plasma-Enhanced ALD (PEALD) reduces carbon and oxygen impurities in Al2O3 dielectric, which constitutes a good solution to obtain low trap densities [3] when combined with TiN electrodes.
Recently, leakage currents for very thin layers of Al2O3 (thickness <10 nm) were studied and the S-shape trend of the current vs electric field was explained [4]. This S-shape trend is in relation with an increase on the dielectric strength observed typically for dielectric thicknesses lower than 40 nm. For Al2O3 with thicknesses higher than 40 nm, few studies were carried out to analyze the leakage mechanisms, and quantify their impact on MIM reliability parameters during voltage and temperature.
In this context, our work evaluates two planar capacitors of 50nm-thick- Al2O3 and 100nm-thick- Al2O3 relating to their leakage current and reliability test at low electrical field. Results will be discussed in relation with trap sites inside the Al2O3 layer and at the interfaces between the oxide and the TiN electrode. We particularly focus our study on the quantification of defects and their influence on the leakage current but also on the understanding of physical and electrical contribution of TiN interlayer in Metal-Insulator-Metal (MIM) planar capacitor.
In order to estimate the fixed oxide charges densities in the bulk of Al2O3, Metal-Oxide-Semiconductor (MOS) Al/Al2O3/HR-Si is firstly developed. Fixed oxide charges are found about 6.1012 cm-2. This value is representative of a good quality of the alumina layer and it is typical to values obtained in the literature [5].
Then, a MIM stack (Al/TiN/Al2O3/TiN/HR-Si) is developed in order to estimate the leakage current and the electrical reliability of thin films Al2O3 based MIM capacitors. Leakage currents as weak as 10 pA/cm2 are measured at low electric field. Time-Dependent Dielectric Breakdown measurements reveal a very low voltage acceleration of 0.6 V-1 and activation energy about 0.87 eV for 50nm-thick-Al2O3. These good performances can be explained by the quality of the Al2O3 layer and the interfaces between TiN and the oxide.
[1] C.S. Hwang et al, Springer Sci. & Bus. Media, p92, 2013
[2] S. M. George, Chem. Rev. 110, 2010
[3] D. Hoogeland et al, J. Appl. Phys. 106, 114107, 2009
[4] H. Spahr et al, J. Phys. D : Appl. Phys. 46, 155302, 2013
[5] K.B. Jinesh et al, J. Electron. Soc. 158 (2), pG21-G26, 2011
9:00 AM - TT5.06
Mathematical Modeling and Investigating the Composition and Morphology of Mixed Metal Oxide Thin Film Deposited by Spray Pyrolysis
Navid Khatami 1 Olusegun Ilegbusi 1
1University of Central Florida Orlando United States
Show AbstractIn this paper, mixed metal oxide films are deposited using Spray Pyrolysis Technique (SPT). The experimental structural characteristics are investigated of nanoheterogeneous films. The morphology of the synthesized films is studied by scanning electron microscopy as well as the dependence of morphology on the synthesis conditions, specifically the temperature of the aerosol precipitation and the concentration of the precursors in solutions. The composition ratio of mixed oxide is also considered as a dominant parameter on film morphology. These processing parameters are considered in a comprehensive mathematical model. This model is developed to predict thin film characteristics including particle size and film thickness. The predicted modeling results are compared with the experimental data and show a good agreement with the measurements when the temperature is high enough for the chemical reaction to proceed.
9:00 AM - TT5.07
Investigating Sm2O3 Thin Films In Terms Of Sputtering Power And Substrate Temperature
Ali Osman Cetinkaya 1 Senol Kaya 1 Huseyin Karacali 1 Aliekber Aktag 1 Ercan Yilmaz 1
1ABANT IZZET BAYSAL UNIVERSITY Bolu Turkey
Show AbstractRecent studies on rare earth oxides like Sm2O3 attract attention due to their wide gate dielectric applications of computer memory, optoelectronic and complementary metal-oxide-semiconductor (CMOS) devices. In this work sputtering power and substrate temperature influences on Sm2O3 thin films were investigated relatively. Depositions of Sm2O3 were performed using different sputtering powers 100W, 150W, 200W and 250W. After determining the optimum power for deposition, the substrate temperature effect on the film was investigated. XRD pattern of the film grown at 200W was in good agreement with d-spacing ICDD database. Raising the sputtering power may cause an increase in the kinetic energy of the target atoms, and as a result of that, the energetic target atoms may have higher surface diffusions. In addition, the substrate temperaturesaffect the crystal structures of the films. The films deposited in 200 W were subjected to 50 °C, 125 °C, and 200 °C temperatures respectively. The composition of the films was found to be accomplished by both sputtering power and substrate temperature increase. The grain boundaries and surface roughness of the films were also found to be significantly affected by change in substrate temperatures. The crystallization of the films increases continuously with increasing the sputtering temperatures. Investigations show that the Sm2O3 films deposited at 200 W and 200 °C indicate the best structural and morphological desirability. In the light of these type investigations, it is easy to say that Sm2O3 films can be useful for applications in technology such as in MOS based technology.
9:00 AM - TT5.08
Development of Bicontinuous Macroporous Carbon Foam/ Metal Oxide (Sulfide) Composites as Free-Standing Electrodes for Lithium Ion Storage
Yue Ma 1 Kristina EDSTROuml;M 1
1Uppsala University Uppsala Sweden
Show AbstractWe designed and fabricated a series of bicontinuous macroporous carbon foam/metal oxide (sulfide) composites as a high performance free-standing anode electrode for lithium ion batteries. The synthetic method involves the scalable nanocasting process of size-tunable metal oxides MxO or layered structured MxS (M=Fe, Sn) using the high internal phase emulsion polymer (polyHIPE) as the structural support as well as the electronic conducting network. The as-developed C/MxO (MxS) electrodes is highlighted by several innovative design concepts: firstly, macroporous carbon foam derived from the pyrolysis of polyHIPE provides the anchoring sites and the structural support for the in-situ growth of MxO (MxS); secondly, the bicontinuous network not only provides facile electronic transport and electrolyte percolation, but remove the necessity of usage of binder, carbon additive and massy metal current collector; thirdly, the iron precursor also exerts the catalytic effect for graphitization of carbon at low temperatures. The electrochemical measurements of these C/ MxO (MxS) electrodes were therefore conducted to demonstrate the simultaneous high specific capacity (500 ~ 700 mA h g-1), excellent rate capability and long cycle life, which presents the one of the best cycling performance among metal oxide (sulfide)-based free-standing electrodes to date and thus the superior design of electrodes. Finally, the post-mortem studies were conducted to prove the structural robustness of this bicontinuous electrode configuration.
9:00 AM - TT5.09
Size-Dependence Hydrophobicity in Nanocrystalline Talc Produced by High-Intensity Planetary Ball Milling
Rebeca Caban-Nevarez 1 Oscar Perales-Perez 2
1University of Puerto Rico-Mayaguez Mayaguez United States2University of Puerto Rico-Mayaguez Mayaguez United States
Show AbstractFood packaging involves a great demand of non-degradable plastics. There is potential for biopolymers to substitute petroleum-derived plastics to help reduce environmental impacts. However, biopolymeric materials present several disadvantages, e.g. poor mechanical and barrier and thermal properties and strong water sensitivity. The use of nanoclay fillers in biopolymeric matrixes has the potential to minimize some of these shortcomings under a cost-efficient basis. Talc has potential as suitable filler for food packaging biopolymers due to its high aspect ratio and chemical inertness. Besides, the hydrophobic nature of talc would also decrease the water sensitivity in the resulting polymeric nanocomposite. In this regard, the dependence of talc hydrophobicity on particle size has not been studied systematically yet. Accordingly, the present research focuses on the systematic investigation of the effect of talc particle size on the corresponding hydrophobicity. For this purpose, micron-size talc samples were ground using a high-intensity planetary ball mill at different milling times as an attempt to reduce particle size. XRD results confirmed the decrease in the powder crystallinity (i.e. crystallite size) by prolonging the milling time; the crystallite size varied from starting 10um sample down to the nanoscale when the milling time was prolonged up to 5 hours. Fourier Transform - Infrared spectroscopy (FTIR) analyses revealed the talc characteristic bands centered on 3677 cm-1and 669 cm-1 for the O-H bonds and 1018 cm-1 for the Si-O bonds. Broadening of the peaks was also observed in the FTIR spectra, which coincides with XRD results that particle size reduction was achieved. The influence of the milling time on the BET Specific Surface Area of the ground powders and the corresponding results from contact angle measurements will be presented and discussed.
9:00 AM - TT5.10
Monoolein Templated Phase Pure Dy2Ti2O7 for Neutron Absorbing Applications
Natasha Bennett 1 2 Annela M Seddon 1 4 Winfried Kockelmann 3 Simon R Hall 2
1University of Bristol Bristol United Kingdom2University of Bristol Bristol United Kingdom3Rutherford Appleton Laboratory, ISIS Facility Oxford United Kingdom4University of Bristol Bristol United Kingdom
Show AbstractDysprosium titanate (Dy2Ti2O7) is a pyrochlore material of particular interest, owing to its behaviour as a spin ice material, and as a potential nuclear control rod due to its neutron absorbing properties. Synthesis of phase-pure Dy2Ti2O7 and its associate phase Dy2TiO5, demonstrated previously, involves complicated methods often resulting in a mixed-phase product. Here we report a facile sol-gel synthesis using the lipid monoolein as a structure-directing template, resulting in phase pure, nanoporous, Dy2Ti2O7. By judicious control of the lipid concentration, the sponge phase of monoolein can be directly represented in the Dy2Ti2O7 phase, with pore sizes of 10 nm, commensurate to that observed in the lipid. To our knowledge this is the first synthesis of any metal oxide using a type II surfactant template. Powder X-ray diffraction patterns show high levels of purity within the porous samples. Data from the neutron ISIS facility (Oxford, UK) has shown the neutron absorption of the nanoporous samples is high under both low and high intensity neutron beam irradiation.
9:00 AM - TT5.11
Different Shades of Oxide: How Growth of Gallium Oxide Shell Controls Wetting Dynamics and Micro-Fabrication of Gallium-Based Liquid Metals
Kyle Doudrick 3 Shanliangzi Liu 1 Eva Mutunga 4 Kate Klein 4 Viraj Damle 1 Kripa K. Varanasi 2 Konrad Rykaczewski 1
1Arizona State University Tempe United States2MIT Cambridge United States3University of Notre Dame Notre Dame United States4University of the District of Columbia DC United States
Show AbstractGallium-based liquid metals are of interest for a number of applications including soft robotics, biomedical devices, and flexible electronics. Yet, fabrication of micro-devices using these materials is challenging because of their strong adhesion to majority of common substrates. Here we discuss how this unusually high adhesion of the liquid metals is dominated by formation of a thin gallium oxide shell. We use novel nanoscale tools to demonstrate that dependent on formation process and resulting morphology of the substrate-liquid metal interface, GaInSn adhesion can occur in two modes. The first mode occurs when the oxide skin is not fractured as it comes in contact with a substrate. Because of the nanoscale texture of the oxide, this mode results in minimal adhesion between the liquid metal and most solids, regardless of solid&’s surface energy or texture. In the second mode, the formation of the GaInSn-substrate interface involves fracturing of the original oxide shell and formation of a composite interface that includes contact between the substrate and pieces of old oxide, bare liquid metal, and new oxide. We show that in this mode GaInSn adhesion is dominated by the new oxide-substrate contact around the perimeter of the droplets. We also discuss how these two adhesion modes limit micro-contact printing of GaInSn but can also be exploited for its nano-contact printing, potentially opening up new route to nanoscale hyperelastic strain sensor fabrication.
9:00 AM - TT5.12
Synthetic Hollandite Supergroup Functional Nanocrystals
Shuangyi Liu 2 Limin Huang 3 Stephen O'Brien 1
1CUNY New York United States2South University of Science and Technology of China Shenzhen China3South University of Science and Technology of China Shenzhen China
Show AbstractNew nanoscale functional complex oxides prepared by generalized flexible synthesis methods are always paid attentions by the researchers and electronic industry due to promised outstanding properties. Oxides of purely Ba, Mn, and Ti are not apparent in nature. We invented a green and efficient chemical synthesis method, Gel Collection, that enables exploration of this region of phase space, and invites further the notion of a chemical tool-kit for oxide design, based on selective incorporation of elements aimed at generating a multifunctional lattice. BMnT-134 prepared by gel-collection, is a ferrimagnet < 42K, possesses a giant dielectric constant, and is a room temperature ferroelectric, based on an electron correlation resulted charge ordering between Mn3+-Mn4+. Gel Collection presents a wealth of possibility for impacting oxide structure-property discovery.
9:00 AM - TT5.13
Effects of Synthesis Conditions on Structure and Gas Adsorption Properties of Mn-mullite: AMn2O5 (A = Y, Gd, Sm, Pr, Bi)
Sampreetha Thampy 1 Yixin Ren 2 Luis E. Reyes 2 Yun-Ju Lee 1 Julia Y. Chan 2 Julia W. P. Hsu 1
1University of Texas at Dallas Richardson United States2University of Texas at Dallas Richardson United States
Show AbstractRecently, mixed phase oxides based on Mn-mullite AMn2O5 (A = Sm, Gd) prepared by coprecipitation method followed by calcination were shown to have superior catalytic activities than commercially available platinum-based catalysts for oxidation and elimination of nitric oxide (NO),1 a major pollutant from combustion of fossil fuels. Here, we report a study aiming to understand the effect of synthesis conditions such as precursor stoichiometry, coprecipitation pH, calcination temperature, and A site element on the phase, crystallinity, specific surface area (SSA), and NO adsorption properties of Mn-mullite. The phases, crystallinity, and mullite phase purity of the calcined samples were determined by X-ray diffraction. We found that the precursor stoichiometry controlled the resulting oxide phase (mullite versus perovskite). Formation of crystalline SmMn2O5 was found to be weakly dependent on pH whereas SSA measured by N2 physisorption monotonically increased with increasing pH. On the other hand, the calcination temperature was found to have a pronounced effect on the crystallinity and SSA of SmMn2O5: a tradeoff was observed with higher calcination temperature resulting in higher mullite phase purity but lower SSA. Replacement of Sm with Y, Gd, Pr, and Bi have shown lattice constant changes consistent with the ionic radii (Y3+ < Gd3+ < Sm3+ < Pr3+ < Bi3+) but minimal effect on mullite phase purity and SSA with the exception of BiMn2O5. The gas adsorption properties were studied using vaccum volumetric chemisorption technique. A strong positive correlation between NO uptake volume and SSA was found. These results suggest that the SSA is the key contributing factor to the catalytic performance of Mn-mullite irrespective of mullite phase purity. An improved understanding of the relationship between synthesis and materials properties should pave the way towards developing Mn-mullite as efficient oxidation catalysts.
Reference:
(1) Wang, W.; McCool, G.; Kapur, N.; Yuan, G.; Shan, B.; Nguyen, M.; Graham, U. M.; Davis, B. H.; Jacobs, G.; Cho, K.; Hao, X. Science2012, 337, 832-835.
This research was funded in part by a grant (AT-1843) from the Welch Foundation.
9:00 AM - TT5.14
Preparation of Highly Crystallined YAG:Ce Phosphor with Small Size by a Molten Salt Repairation Method
Li Song 1 Yan Dong 1 Qiyue Shao 1 Jianqing Jiang 1
1Southeast University Nanjing China
Show AbstractAs an excellent host material, yttrium aluminum garnet (Y3Al5O12, YAG) has been extensively studied due to its promising optical properties and good chemical and thermal stability. Ce3+-doped YAG, abbreviated as YAG: Ce3+, can effectively convert blue light to yellowish-green light, and has been used for white solid-state lighting when combined with a blue light-emitting diode (LED). Generally, commercial YAG: Ce3+ phosphor is prepared by high temperature solid state method, and the high crystallinity of the as-synthesized YAG: Ce3+ phosphor is beneficial for its further application. However, this method also results in a large particle size and uneven size distribution, seriously affecting the uniformity and efficiency of white LED light. One strategy to reduce the particle size is the use of grinding process. However, their luminescent properties would significantly decrease because of the deterioration of the surface crystallinity. Therefore, it is still a great challenge to synthesize high crystallinity and small-sized YAG: Ce3+ phosphor. In this work, YAG: Ce3+ phosphor with a high crystalline were obtained via high temperature solid phase method, and their particle sizes were reduced by air-current mill. The surface defects caused by grinding were repaired with molten salt. The effects of molten salt composition, repair temperature and time on the crystallinity were systematically investigated. It was found that the luminescent properties of YAG: Ce3+ can be recovered to the original value in the compound salt of KCl-BCl2-BaF2 at 1400#8451; for 2h, and the particle size is about 6-7 mu;m. This method provides a convenient way to prepare high crystalline and small-sized YAG: Ce3+ phosphor, and may be suggestive for the synthesis of other types of phosphor.
9:00 AM - TT5.15
Preparation of Optimal Thin Film YSZ Electrolyte via PEALD for TF-SOFCs
Gu Young Cho 1 Seungtak Noh 1 Yoon Ho Lee 1 Taehyun Park 1 Suk Won Cha 2
1Seoul National University Seoul Korea (the Republic of)2Seoul National University Seoul Korea (the Republic of)
Show AbstractThin film solid oxide fuel cells (TF-SOFCs) are considered as promising candidates for future energy conversion devices due to many strong points such as high efficiency, fuel flexibility, and low operation temperature. TF-SOFCs are operated at low temperature by applying vacuum thin film techniques, and then, solve inherent critical problems of SOFCs - loss due to ohmic resistance, material problems, and thermal degradation. However, instead of ohmic loss, polarization losses of TF-SOFCs caused by sluggish oxygen reduction reactions at cathode-electrolyte interface at low temperature are emerged as a most crucial problem of TF-SOFCs. Therefore, many researches such as high performance cathode materials or cathode functional materials have been conducted to enhance ORRs at low temperature to improve performance TF-SOFCs.
Plasma enhance atomic layer deposition (PEALD) is a kind of energy enhanced ALD method to deposit high quality thin films. In addition to advantages of ALD such as superior step coverage, uniformity, dense and pin-hole free film, PEALDs show higher growth rate, higher density, improved stoichiometry and wide ALD window. Moreover, PEALD can easily control chemical composition of thin films by changing deposition conditions - changing deposition ratio of components. Therefore, PEALD is appropriate for characterization of thin film YSZ and preparation of thin film YSZ electrolyte for TF-SOFCs.
In this study, we fabricate and evaluate TF-SOFCs with thin film YSZ electrolyte. By using PEALD, we prepare optimal thin film YSZ electrolyte for TF-SOFCs to improve performance of TF-SOFCs. Physical, chemical and electrochemical characteristics of thin film YSZ electrolyte are investigated to deposit optimal thin film YSZ electrolyte.
9:00 AM - TT5.16
Selective Dissociation of Water and Methanol on SrO Surface
Fang Yu Chiu 1 Wen-Dung Hsu 1
1National Cheng Kung University Tainan Taiwan
Show AbstractProducing biodiesel from waste food oil is an excellent idea to preserve nature petroleum. The procedure to fulfill the idea is through transesterfication reaction, which converts triglyceride (main ingredient in food oil) to long-chain alkyl esters (biodiesel) by reaction with alcohol, usually methanol. The reaction usually needs catalyst to have high conversion efficiency in low temperature (less than 100oC). Experiments have shown that SrO nanoparticle is a very good catalyst among all the alkaline earth metal oxide. SrO is also nontoxic and has long cycle life. The waste food oil, however, contain very few amount of water inside. The water tend to adsorb on SrO nanoparticle surface and erode the surface by forming Sr(OH)2. The erosion causes losing of SrO catalyst resulting in degrade the conversion rate. Thus it is important to avoid adsorption or dissociation of water meanwhile to improve the adsorption or dissociation probability of methanol on SrO surface. Changing surface topography is one of the methods to achieve the demand. In this work, various adsorption structures of water and methanol molecules onto SrO surfaces were tested by ab-initio calculations to understand the mechanisms of dissociation of methanol and water molecules. The adsorption structures include pure water adsorption, pure methanol adsorption as well as water-methanol co-adsorption. The results reveal the reason that specific adsorption structure with particular SrO surface orientation can achieve the demand.
9:00 AM - TT5.17
Highly Porous Macrocellular Bi2O3 Synthesized by Foam Stabilized Glycine-Nitrate Combustion (FSGNC)
Albert Voskanyan 1 Chi Ying Vanessa Li 2 Kwong Yu Chan 2
1The University of Hong Kong Hong Kong Hong Kong2The University of Hong Kong Hong Kong Hong Kong
Show AbstractMacroporous metal oxide structures (foams, aerogels) with high porosity (of over 80 %) are of great interest to various applications in catalysis, adsorption, electrochemistry, and bioengineering. There are three conventional fabrication methods namely the replica technique, the sacrificial template method, and the direct foaming technique.1,2 The first two methods suffered from structure cracking after the pyrolysis of organic components. The direct foaming technique is based on wet foam formation due to the incorporation of air into a liquid media with subsequent stabilization either with surfactant or solid particles. The final consolidation of porous material is mainly achieved by thermosetting condensation or by polymerization reaction.
In contrast with other solution methods, solution combustion synthesis (SCS) is an energy- and time-efficient method for the synthesis of industrially important materials at a large scale.3 The self-generated heat by redox-based combustion reaction (exothermicity) provides the energy requirements for synthesis without requiring a high temperature energy source. Production of different metal oxides typically employs metal nitrates as oxidizers and glycine as the “fuel”.
In this work, we report for the first time precombustion zone controlled by foam stabilization using a cationic surfactant. Upon heating, water vaporization lead to voluminous foam formation as surfactant adsorbed at the air-liquid interface reduces the foam overall free energy. In our method, combustion will start from the foam state, instead of starting from viscous gel as in general SCS method. After combustion, the product will rapidly cool down due to the fast cooling rate of combustion process with ~95% porous crystalline β-Bi2O3 formed. This is a one-step method without requiring subsequent pyrolysis, sintering and calcination. The production efficiency of this developed method is high and able to fulfill the increasing demands of the industry. Currently, about 1 kg of highly porous oxide powder can be synthesized in the single step within 2 hours.
References
[1] A.R. Studart, U.T. Gonzenbach, E. Tervoort, L.J. Gauckler, J. Am. Ceram. Soc., 2006, 89[6], 1771-1789.
[2] U.T. Gonzenbach, A.R. Studart, E. Tervoort, L.J. Gauckler, J. Am. Ceram. Soc., 2007, 90[1], 16-22.
[3] A. Merzhanov, J. Mater. Chem. 2004, 14, 1779-1786.
9:00 AM - TT5.18
Robust Nanoporous Alumina Monoliths by Atomic Layer Deposition on Low-Density Carbon-Nanotube Scaffolds
Swanee J. Shin 1 Ich C. Tran 1 Trevor M. Willey 1 Tony van Buuren 1 Jan Ilavsky 2 Monika M. Biener 1 Marcus A. Worsley 1 Alex V. Hamza 1 Sergei O. Kucheyev 1
1Lawrence Livermore National Laboratory Livermore United States2Advanced Photon Source, Argonne National Laboratory Argonne United States
Show AbstractSynthesis of nanoporous alumina monoliths with controlled morphology and density is a challenge. Here, we demonstrate mechanically robust alumina monoliths synthesized by conformal overcoating of graphitic nanoligaments of low-density carbon-nanotube-based aerogels (CNT-CAs) by using atomic layer deposition. Young&’s modulus of resultant monoliths increases superlinearly with the monolith density with an exponent of ~2.4, defined by the morphology and connectivity of the CNT-CA scaffold. As a result, for a given monolith density, alumina-carbon composites have moduli comparable to those of CNT-CAs and significantly superior to those of pure alumina aerogels reported previously.
9:00 AM - TT5.19
Chemical and Structural Evolution of ZnO Sol-Gel Thin Films under Rapid Thermal Processing
Chiali Anisse 1 2 Nasr Eddine Chabane Sari 2 Nassera Ghellai 2 Abdelhalim Benmansour 2
1EPST Tlemcen Tlemcen Algeria2University of Tlemcen Tlemcen Algeria
Show AbstractChemical and structural evolution of ZnO thin films produced by sol-gel synthesis is characterized by spectrophotomety analysis, MEB analysis, and Fourier transform infrared spectroscopy. Formation of the ZnO structure began at 200°C; no other phases were observed at higher temperatures. Elimination of residual organics was observed in the form of the disappearance of excess oxygen, hydrogen and carbon. Crystal size increases with increasing anneal temperature; spectroscopy indicates the formation of highly crystalline films. The analytical methods chosen provide insight into subtle chemical and structural changes which occur in films produced by this synthetic route.
9:00 AM - TT5.20
Effective Photonic Sintering for Highly Conductive Copper Nano-Ink Film Using Flash Light Sintering Method Combined with Deep UV and NIR Light Irradiations
Hyung-Jun Hwang 1 Gyunghwan Oh 2 Hak-Sung Kim 1
1Hanyang University Seoul Korea (the Republic of)2No Institution Seoul Korea (the Republic of)
Show AbstractRecently, a tremendous interest in printed electronics had become evident. Printed electronics refers to the application of printing technologies for the fabrication of electronic circuits and devices. Printed electronics require manufacturing techniques that are faster, cheaper and eco-friendlier compared to traditional production methods such as photolithography process. Furthermore, printed electronics can be combined with inkjet printing or the roll-to-roll (R2R) technique, which makes the process economically feasible.
For commercialization of printed electronics, copper nano-inks have been developed as a low-cost alternative to silver or gold nano-inks for printed electronics. However, most copper nanoparticles are covered with an oxide shell, and cannot be sintered by thermal sintering under ambient conditions.
To solve these problems, we previously developed a flash light sintering method combined with poly (N-vinylpyrrolidone) (PVP) functionalization of copper nanoparticles. Flash light sintering method can instantly reduce the copper oxide shell and sinter copper nanoparticles at room temperature and under ambient condition in a few milliseconds without damaging the substrate.
In this work, the effect of drying methods on the flash light sintering of copper nano ink was investigated using near infrared (NIR) heater and hot plate. Also, deep UV-assisted flash light sintering technique for Cu nano-ink was demonstrated to enhance the sintering characteristics. In order to increase the efficiency of drying process, the effect of drying temperature in near infrared (NIR) irradiation method or hot plate heating method was studied. Several microscopic and spectroscopic characterization techniques such as SEM, XRD, and FT-IR were used to characterize the photonic dried and sintered Cu nano films. This work revealed that the optimal drying method for photonic sintering is near infrared (NIR), and the optimally sintered Cu nano-ink films by deep UV-assisted flash light sintering technique have the lowest resistivity (11.49 mu;#8486;bull;cm), which is 6.8 times higher than that of bulk Cu films (1.68 mu;#8486;bull;cm).
9:00 AM - TT5.21
ALCHEMI Studies on Cr, Fe and Ni Substitution in Manganese Cobaltite Spinels
Louis Victor Gambino 1 Neal J Magdefrau 2 Mark Aindow 1
1University of Connecticut Storrs United States2United Technologies Research Center East Hartford United States
Show AbstractManganese cobaltite spinels with the general formula (Mn,Co)3O4 are small-polaron conductors whereby electrical conduction occurs by charge-exchange between Mn2+ and Mn3+ cations in the lattice. This has led to great interest in these materials as high-temperature conducting coatings for metallic interconnects in solid oxide fuel cells (SOFCs). The optimum composition has been identified as Mn1.5Co1.5O4, which exhibits a conductivity of > 60 S cm-1 at typical SOFC operating temperatures of 800#730;C. In our recent work we have shown that intermediate spinel reaction layers containing Cr, Fe and/or Ni are formed between Mn1.5Co1.5O4 coatings and the underlying interconnect alloy during high-temperature oxidation.
To understand the way in which these spinel reaction layers form, and the influence that they may have on subsequent oxidation kinetics, it is important to know the local site occupancies for the various cations. Moreover, the distributions of the cations between the octahedral and tetrahedral sites can have a profound influence on the average oxidation state for Mn, and this in turn will affect the polaronic conductivity of the spinel. There have been extensive calorimetric and x-ray/neutron diffraction studies on site occupancies in simple two-cation spinels, which have demonstrated the overall tendencies of particular ions to adopt particular sites, but the extension of these approaches to multi-component systems is challenging. Furthermore, all of these approaches provide measurements of mean site occupancies in much larger volumes than the reaction layers considered in our work.
An alternate approach that gives a local measurement of site occupancy is the transmission electron microscopy-based Atom Location by CHanneling Enhanced MIcroanalysis (ALCHEMI) method. This approach uses the Bormann effect, in which there is a variation in ionization cross section on the different sub-lattices at channeling orientations, to reveal the distribution of different atomic species on these sub-lattices from the characteristic X-ray signals. There have been several published examples of ALCHEMI studies on oxides with the spinel structures, but none of these studies have included (Mn,Co)3O4-based compositions. Here we report an X-ray ALCHEMI study on Mn1.5Co1.5O4 spinels with various levels of Cr, Fe and Ni additions, and on reaction layers formed in Mn1.5Co1.5O4-coated Crofer 22APU. The ceramic samples were produced by combustion synthesis from nitrate precursors, followed by cold pressing and sintering into pellets. TEM specimens were produced from the pellets by dimpling and ion milling and from the coated alloy coupons by focused ion beam sectioning. The ALCHEMI measurements obtained from these samples revealed a profound effect of the transition metal additions to the Mn site occupancies, particularly for the high Cr compositions that correspond most closely those of the reaction layers.
9:00 AM - TT5.22
Low Temperature Surfactant (CTAB) Assisted Synthesis of Multiferroic BiFeO3
Dipesh Neupane 1 Lijia Wang 1 S R Mishra 1
1Department of Physics, University of Memphis Memphis United States
Show AbstractMultiferroics are the materials in which two or more than two ferroic orders occur in the same phase. Among these compounds, BiFeO3 deserve much attention because of their multiferroic possibilities, where spontaneous magnetic order-ascribed to the transition metal - and ferroelectric polarization - due to the lone-pair carried by bismuth - might be combined in a single phase material. These materials offer wide potential applications in information storage, such as spintronic devices and sensors where both electric and magnetic polarizations can be coupled. It has been already reported that during the synthesis of stoichiometric BiFeO3, appearance of the Bi25FeO39 and Bi2Fe4O9 impurity phases occurs. Thus many strategies have been attempted to prepare pure BiFeO3 phase by a careful temperature and time treatment to avoid formation of secondary phases.
The present work details surfactant assisted (cetyltrimethyl ammonium bromide (CTAB)) low temperature synthesis of BiFeO3 using co-precipitation method which results in pure phase BiFeO3 powder without any of the aforementioned impurity phases. A detailed structural and property evolution of BiFeO3 was studied as a function of annealing temperature.
Single particle multiferroic BiFeO3 were synthesized via co-precipitation method using (CTAB) as a surfactant, and different heat treated in air (at 550°C, 6000C and 6500C) for 2 hrs. The effects of CTAB contents (6 wt.%) on formation, structure, morphology and magnetic property of the BiFeO3 particles were investigated. XRD results show that the pure phase multiferroic BiFeO3 powder was obtained at 550 and 600O C, whereas secondary phase Bi25FeO39 was detected in the samples for 650O C. The FWHM analysis of XRD peaks show increases in grain size, 42, 51, and 60 nm corresponding to three annealing temperatures.
Room temperature magnetic measurement using (VSM) show that saturation magnetic (Ms) response in BiFeO3 increases with the grain size reaching a maximum value of 1.27 emu/g for 51 nm particles. A corresponding increase in coercivity (maximum Hc ~ -409 Oe for 51nm) was also observed with the increase in the grain size resulting from the uniaxial crystalline anisotropy. The Ms decrease for samples prepared at 650O C due to the emergence of secondary phases.
The fitting of RT 57Fe Mossbauer spectra show that the hyperfine fine filed values change from 471.9 kOe (for 40nm) to 494.7 kOe (51 nm) particles. This increase in hyperfine field value may be associated to the increased magnetic ordering in the BiFeO3 crystallite. The observed hyperfine values are much higher than samples obtained via conventional ceramic technique. Both isomer quadrupole shift values do not show any dependence on the calcination temperature. The isomer shift and quadruopole values for all samples was ~0.36 mm/s and 0.08 mm/s, respectively. These results implie that CTAB may act as a crystallization master, controlling the nucleation and growth of pure phase of BiFeO3
9:00 AM - TT5.23
Pulsed Laser Deposition of High Quality Tungsten Oxide Layer as Photoanodes for Water Splitting
Cristian Fabrega 1 Teresa Andreu 1 Sebastian Murcia-Lopez 1 Carles Ros 1 Damian Monllor-Satoca 1 Maria D. Hernandez-Alonso 2 German Penelas 2 Juan Ramon Morante 1 3
1Catalonia Institute for Energy Research (IREC) Sant Adria del Besos Spain2Repsol Technology Center Madrid Spain3University of Barcelona Barcelona Spain
Show AbstractTungsten Oxide is considered one of the metal oxides suitable as photoanode for PEC water splitting. However, photocurrent densities obtained up to now are still far away from the theoretical values according to its band gap (2.7 eV). Due to the short diffusion length of the charge carriers, the thickness of the WO3 films are not large and then the overall optical absorbance becomes limited. In this work, we present high crystal quality WO3 films deposited by Pulse Laser Deposition (PLD) which reduces bulk recombinations through grain boundaries and increase diffusion lengths. It IPCE and APCE corroborate the achieved improvement justifying the high level of photocurrent, higher than 2 mA/cm2 (1.23V vs RHE). Finally, the use of a layer of Al2O3 deposited by Atomic Layer Deposition allows us to obtain a better surface passivation that diminishes the recombination through surface states and also the formation of peroxo-species contributing to enhance its photostability.
9:00 AM - TT5.24
Green Electrochemical Synthesis of Zinc Oxide Nanoparticles and Their Bioanalytical Applications
Rosaria Anna Picca 1 Maria Chiara Sportelli 1 Antonio Luciano 1 Nicola Cioffi 1
1Universita degli Studi di Bari Aldo Moro Bari Italy
Show AbstractThe development of nanomaterials for bioanalytical applications is receiving continuous attention thanks to their well-known unconventional and size-dependent properties. ZnO nanostructures are stable towards several applications and handling conditions, show high electron mobility and good electron transport features [1], which make this nanomaterial an appealing candidate for chem- and bio-sensors [2]. Interestingly, ZnO semiconducting properties also make this material suitable in laser desorption/ionization mass spectrometry (LDI-MS) as alternative matrix to organic molecules, which are conventionally employed. In fact, its large bandgap supports its use as efficient LDI substrate, under N2 laser pulsed irradiation [2]. Such approach was already successfully applied either in small molecule analysis (e.g. verapamil hydrochloride, testosterone) [2] and microorganism detection [3,4].
Here we present an environmentally friendly synthesis to prepare ZnO nanoparticles (ZnO-NPs) in high yield starting from aqueous electrolytes and low-cost chemicals [5], improving a previously proposed approach [6]. A first electrochemical step is performed to obtain colloidal nanoparticles using a sacrificial zinc anode in sodium bicarbonate solution and in the presence of poly(sodium 4-styrenesulfonate) as dispersing agent. In a second thermal step, the electrosynthesized colloids are treated at temperatures between 300°C and 900°C, to modify ZnO stoichiometry, as well as surface chemical and crystalline statuses.
The systematic assessment of the main electrosynthesis parameters and the optimization of the thermal annealing step are discussed in details, based on cyclic voltammetry, electron microscopy (TEM, SEM), bulk and surface spectroscopies (FTIR, UV-Vis, XPS, etc.).
Results of the morphological and spectroscopic characterization of ZnO-NPs are finally used to interpret their performance level, when used in two selected bioanalytical applications: (i) as modifiers of ultrasensitive Field Effect Transistor Biosensors [7], (ii) as promoters of desorption ionization phenomena in the LDI-MS analysis of low molecular weight molecules.
[1] S. K. Arya, et al., Analytica chimica acta 2012, 737, 1.
[2] T. Watanabe, et al., J. Mass Spectrom. 2008, 43, 1063.
[3] H.-F. Wu, et al., J. Mass. Spectrom. 2012, 47, 355.
[4] J. Gopal, et al., J. Mass Spectrom. 2013, 48, 119.
[5] M.C. Sportelli, et al., MRS Symp. Proc. 2014, 1675, 6 pp.
[6] K. Chandrappa, et al., J. Nanoparticle Research 2010, 12, 2667.
[7] M. Magliulo, et al., Anal. Chem. 2013, 85, 3849.
9:00 AM - TT5.25
Open Air Atmospheric Plasma Deposition of TaOx/SiOy Anti-Reflection Bilayers on Plastics and Silicon
Michael Quinlan Hovish 2 Reinhold H. Dauskardt 1
1Stanford Univ Stanford United States2Stanford University Stanford United States
Show AbstractFor many modern energy and sensing applications, multilayer optical coatings are an effective way to dramatically improve light collection. Traditionally, such multilayer coatings are deposited on hard substrates using vacuum depositions, which can cause substrate heating and are not suitable for thermally sensitive substrates. Furthermore, traditional vacuum techniques are not easily scalable, due to high costs and poor integration into the manufacturing scheme. Non-thermal plasmas in open air have received attention in materials processing for treatment and coating of plastic substrates due to low operation costs, high throughput production, and near room temperature operation. We have recently reported on a number of materials which are amenable to such deposition, including silicon dioxide, titanium dioxide, and zinc oxide, making open air atmospheric plasma deposition a viable candidate for next-generation optical coatings.
Here we demonstrate the feasibility of using open air atmospheric plasma to deposit thin TaOx/SiOy antireflective bilayer films on both silicon and plastics. A helium carrier gas was used to transport tantalum ethoxide vapor into the afterglow of a helium-nitrogen plasma. Within the afterglow, the precursor undergoes molecular fragmentation and redistribution onto the substrate. Following deposition of the tantalum oxide layer, a thin layer of SiOy was deposited using TEOS as a precursor. Deposition rates, chemical composition, transmittance in the visible, refractive index, and adhesion to the substrate were investigated as a function of plasma power and gas composition. Chemical composition of the films was determined by x-ray photoelectron spectroscopy. Optical properties were investigated using both UV-VIS spectroscopy and ellipsometry. Reflectance measurements were made to determine the effectiveness of the bilayer as an antireflective coating. Adhesion energy of the bilayer to the substrate was quantified. X-ray photoelectron spectroscopy was used to characterize the debond path. Finally, comparisons are made with traditional fabrication techniques in order to assess the viability of a TaOx/SiOy anti-reflection bilayer deposited by open air atmospheric plasma.
9:00 AM - TT5.26
General Approach for Nanoscale Electroless Plating of Metal Oxides
Min Gee Cho 1 2 Myoung Hwan Oh 1 2 Taeghwan Hyeon 1 2
1Center for Nanoparticle Research, Institute for Basic Science Seoul Korea (the Republic of)2School of Chemical and Biological Engineering Seoul National University Seoul Korea (the Republic of)
Show AbstractElectroless plating provides an essential route for atomic scale controlling of the metal shell thickness and shape on the substrate metal nanomaterials. However, nanoscale electroless plating of metal oxides has rarely been addressed, and thus it is necessary to study and theorize the process systemically. The most critical challenges in plating are the prevention of homogeneous precipitation and the construction of proper deposits for applications. We extended the method for electroless plating of metal to metal oxide by rationally controlling the displacement in nanoscale with primary amine salt solutions and demonstrated that the plating can be general in oxide systems. When manganese oxide (Mn3O4) nanocrystals were reacted with iron(II) precursor in primary amine salt solution, only heterogeneous precipitation were occurred to produce Mn3O4/γ-Fe2O3 nanocrystals. Due to its weak binding affinity, the cation of the primary amine salt did not disturb the dissolutions and depositions of the metal oxide nanocrystals. Meanwhile, the counter anions controlled the reaction rates of the dissolution and deposition processes. The generality of this approach was confirmed with other metal pairs, including cobalt oxide (Co3O4) nanocrystals as substrate material and other transition metal as secondary metal precursors. With our approach, we can precisely control over the composition of metal species in heterostructured multimetallic oxide nanocrystals with various shapes like nanocage, nanobox, multipod, and core/shell structure. Furthermore, multimetallic oxide nanocrystals provide oxygen reduction reaction (ORR) catalytic active sites at the interface of two different components, they showed high catalytic ORR activity.
9:00 AM - TT5.27
High Temperature Atomic Layer Deposition of Flake-Shaped Ruthenium Oxide Particles for Electrochemical Capacitors
Sanghoon Ji 1 Seungbum Ha 1 Jinsu Ha 2 Sangkyun Kang 3 Yoon Ho Lee 1 Gu Young Cho 1 Taehyun Park 1 Seunghee Woo 1 Yuanzhe Piao 1 Wonyoung Lee 4 Suk Won Cha 1
1Seoul National University Seoul Korea (the Republic of)2Samsung Advanced Institute of Technology Suwon Korea (the Republic of)3Hanchang Ind. Co., Ltd. Hwasung Korea (the Republic of)4Sungkyunkwan Univ Suwon Korea (the Republic of)
Show AbstractSupercapacitors are typically divided into electrochemical and double-layer capacitors, based on the type of charge transfer reaction. Because electrochemical capacitors utilizing faradaic reaction do not need separators, their internal structure is simpler than that of double-layer capacitors. The volumetric energy density of electrochemical capacitors can be attained by the use of large area current collectors with a complex micro-structure. When using complex micro-structured current collectors, uniform distribution and surface area are core factors for high performance electrode materials. To satisfy these requirements, in this study, atomic layer deposition (ALD) formatting conformal thin films was chosen and its deposition (or substrate) temperature was controlled to adjust the size (or surface area) of electrode materials. We employed ALD to deposit ruthenium oxide particles which are widely known as highly capacitive electrode materials. Bis(ethylcyclopentadienyl)ruthenium and high-purity oxygen gas were selected as precursors to form ruthenium oxide particles. The ALD cycle number was fixed to 400. To adjust the size of ruthenium oxide particles, the substrate temperature varied from 300 degree Celsius to 400 degree Celsius. In particularly, ruthenium oxide nanoparticles deposited at 350 degree Celsius had a flake shape and showed relatively high electrical conductivity. Consequently, it is expected that flake-shaped ruthenium oxide particles can be used for high performance electrochemical capacitors with complex micro-structured current collectors.
9:00 AM - TT5.28
The Hypoxia Study of Porous Oxide Coating Incorporating Strontium by Micro-Arc Oxidation
Kai-Ting Huang 1 Tzer-Min Lee 2
1BioMedical Engineering Taipei Taiwan2Oral Medicine Tainan Taiwan
Show AbstractIn this study, cell culture study performed under 1%, 5%, and 10% hypoxic stress simulating the condition in the human body. To compare with that under normal atmospheric oxygen tension of 21%. First, the Ti discs were prepared to increase and control roughness by different pressure of sandblasting (SB). Combined acid-etching (SLA) to obtain both micron and sub-micron structure on the surface. Then, the micro-arc oxidation (MAO) technique was used to improve the characteristics on Ti surface. MAO coating formed in the electrolytes with different strontium (Sr) content. Pre-osteoblastic cells MC3T3-E1 would be chosen for cell behavior evaluation in vitro.
From the observation of scanning electron microscope (SEM) images, all modified surfaces were uniform porous structure, including SLA, MAO, and SrMAO. Surface analyzer showed that SB pressure can control the roughness of SLA well. Used energy dispersive X-ray spectrometer (EDS) for chemical composition and different Sr content was detected in the oxide coating of MAO. Thin film X-ray diffraction (TF-XRD) results indicated the phase of MAO coating was anatase and rutile. Cell culture experiments demonstrated that surface modification and Sr content will improve the growth of MC3T3-E1, especially in hypoxia condition with significant differences.
9:00 AM - TT5.29
TCO-SnO2 Thin Films Prepared by Spay Pyrolysis Technique
Hector Sabas Miranda 1 Amanda Watson 1 Ildeman Abrego 1 Jose Ardisson 2 Carlos Samudio 3 Eleicer Ching-Prado 1
1Technological University of Panama Panama City Panama2Federal University of Minas Gerais Bello Horizonte Brazil3University of Passo Fundo Passo Fundo Brazil
Show AbstractSpray pyrolysis technique was used to deposit thin film of Tin oxide (SnO2) on glass substrates using as precursor SnCl2.2H2O solution. The samples were prepared for different thickness at annealing temperature of 500 oC for 10 minutes. The film thickness was controlled with different numbers of sprayed. The surface of the films was morphologically characterized by Scanning Electron Microscopy (SEM). The characterization by X-Ray Diffraction showed well defined bands that indicate a SnO2 rutile phase.
Optical properties studies were made by comparing UV-Visible transmittance spectra of the different films and the four-point Van der Pauw technique was used for the electrical measurements. The optical and electrical parameters permitted to calculate: the band gap, film resistance and figure of merit, in order to evaluated Transparent Conductive Oxide (TCO) properties. Film thicknesses were obtained from fringes features in the transmittance spectra.
9:00 AM - TT5.30
GOx LbL Based Film Growth over Porous Alumina (PA) Followed by Diffuse Reflectance Spectroscopy
Leticia Marie Minatogau Ferro 1 Marystela F. Ferreira 1 Francisco Trivinho-Strixino 1
1Universidade Federal de Sao Carlos - Campus Sorocaba Sorocaba Brazil
Show AbstractDue to the optical properties of the porous anodic alumina (AAP) and the possibility of manipulating the pore geometry such as diameter and length according to the parameters anodization, it is possible to study this substrate in the preparation of LbL films in order to improve the fabrication of specific optical sensors. Using the polyelectrolyte polyallylamine (PAH) and polyvinyl sulfate (PVS) and a solution of the enzyme glucose oxidase (GOx) is possible to prepare self-assembled films aimed at manufacturing a biosensor to measure the glucose level.
Aluminum was electropolished in a mixture of perchloric acid and ethanol (1:4 v/v) at 0°C and a potential of 15V and then was subjected to two-step anodization process in oxalic acid 0.3M, 20°C and 40V for 2 hours in the first stage and 30 minutes in the second. Between these two steps, AAP film was selectively dissolved by wet chemical etching in a mixture of phosphoric acid 0.4M and chromic acid 0.2M at 60°C for 1 hour. After the second stage of anodization, the widening pore was realized in oxalic acid 0.3M for 30 minutes. In the manufacture of films, the technique used is the LbL following an order of PAH/PVS/PAH/GOx until 10 bilayers of PAH/GOx, noting that the PVS is deposited only once to protect the enzymatic activity of GOx. The film growth analyses are performed using total reflectance.
Analyzing the results, it was found that total reflectance intensity decreased as the bilayers were deposited, indicating the absorption of polyelectrolyte over the substrate. Also it was revealed that there was a change in 280 nm which is characteristic of GOx region due to trypsin amino acids which absorb radiation in this wavelength. Furthermore, there was a decrease of amplitude of oscillations with the deposition of the bilayers until the total disappearance of the fringes. In the future, the film LbL will be tested as a biosensor adding aliquots of glucose, and then changes will be checked in the reflectance curve.
9:00 AM - TT5.31
PEI/GOx LbL Film Growth over Porous Alumina (PA) Followed by Fluorescence Spectroscopy
Leticia Francine Mendes 1 Marystela Ferreira 1 Francisco Trivinho-Strixino 1
1Universidade Federal de Sao Carlos - Campus Sorocaba Sorocaba Brazil
Show AbstractPA films obtained by electrochemical anodization of aluminum have regular and controlled nanoporous formation attracting great attention because of their optical properties, which may lead to a high range of applications, including, for example, the development of optical sensors. Moreover, recently, ultrathin films of organic materials have also been studied and developed to be used in optical and electronic devices such as biosensors. These ultrathin films can be aimed to provide biological selectivity to various surfaces such as PA films, and can be easily obtained from simple technique called "Layer-by-Layer" (LbL). In this work, this methodology was used in PA films to study PEI (Poly (ethyleneimine)) and glucose oxidase (GOx) LbL film growth using fluorescence spectroscopy in order to secure the surface modification to provide greater specificity in PA films for the future development of a glucose biosensor. In samples preparation, the electrochemical pretreatment (electropolishing) was done previously anodization in high purity aluminum samples (99.997%) using a mixture of perchloric acid and ethanol (1: 4 v / v), applying 15 V, 180 s and 0 ° C. After that, the anodization of these samples was performed in two steps using H2C2O4 0.3 mol/L, 40 V and 20 ° C, during 7200 s in the first anodization and 1800 s in the second anodization, where between these procedures a removal of the oxide was performed in a solution of H3PO4 (0.4 M) / CrO3 (0.2 M) at 60 ° C. After the second anodization a chemical etching was performed in H2C2O4 0.3 mol/L at 20 ° C for 1800 s. Then, the layers of ultrathin films were grown on these substrates from the LbL technique using primarily a cationic solution of PEI (Poly (ethyleneimine)) at 1.0 g/L, for 180 s, followed by an anionic solution of glucose oxidase (GOx) at 0.50 g/L, for 300 s, where 10-bilayers of PEI/GOx LbL film were produced followed by photoluminescence emission spectrums at GOx exciting wavelength (280 nm) and recording the emission spectra between 300-500 nm. Thus, the luminescence spectrum was obtained for each deposited bilayer, where the characteristic emission band of GOx, with a maximum at 346 nm was not identified due to a possible internal energy transfer mechanism between the emission band of GOx and absorption edge band of the PA, which also emits with characteristics fringes and with a maximum at 445 nm when excited at 325 nm. Nevertheless, the LbL film growth was followed by increasing intensity in the emission band of PA after the deposition of each bilayer. The observed fringes become less intense every deposition until they disappear completely with increased number of bilayers. Thereafter, it was then found a linear growth relationship of the LbL film over the surface of PA, showing the deposition of successive layers with the same amount of material.
9:00 AM - TT5.32
Properties of Metallic Nanomeshes Fabricated from Long-Range Ordered AAO Nanopores
Woori Ko 1 Seong-Il Kim 2 Min Hyung Lee 1
1Kyung Hee University Yongin Korea (the Republic of)2Korea Institute of Science and Technology Seoul Korea (the Republic of)
Show AbstractThere has been steady research on nano-template like anodic aluminum oxide(AAO), and it has been actively utilized for enabling new functionalities as template of various materials like metals, ceramics and polymers. Using of AAO is definitely manageable and economical technique to make a nano-pattern as compared with the other lithographic masks. However, the conventional method with self-ordering has limits of pore size, distance and shape. Here, we overcome that limits by combination of nano-imprinting of Al and we continue to making long-range ordered AAO membrane as a template to fabricate metal nano-mesh and nanoparticle arrays. We monitored optical properties and surface resistance changes of metal meshes with different pitches and pores, and optimized meshes with high transmittance and low resistance can be a potential transparent electrodes for PVs. Furthermore, hierarchical nanopore structures to excite unique surface plasmon resonances will be discussed.
9:00 AM - TT5.33
Synthesis and Catalytic Properties of Pt/Silica Hybrid Nanocatalysts Encapsulated with Ultrathin Oxide
Song Yi Moon 1 2 Brundabana Naik 1 2 Chan Ho Jung 1 2 Kamran Qadir 1 2 Jeong Young Park 1 2
1KAIST Daejeon Korea (the Republic of)2IBS Daejeon Korea (the Republic of)
Show AbstractSurfactants, organic capping agents, and polymers are widely used in colloidal chemistry to stabilize nanoparticles at mild conditions, however, they decompose at high temperatures, thus leaving the uncapped nanoparticles unprotected against sintering and deactivation. To prevent sintering, much effort has been devoted to design thermally stable hybrid nanocatalysts. Here, we prepared metal oxide hybrid nanocatalysts with oxide encapsulation (Pt/SiO2/Metal Oxide, Metal Oxide= TiO2, Nb2O5, Ta2O5, CeO2) using a simple surface modification chemical process. Briefly, Pt nanoparticles capped with PVP were successfully assembled on functionalized SiO2 via electrostatic interaction, and then an ultrathin layer of various kinds of metal-oxide was coated on the surface. Transmission electron microscopy (TEM) studies confirmed that the metal nanoparticles were uniformly dispersed and distributed throughout the surface of SiO2 with a thin layer of metal-oxide. In particular, to characterize the presence of the thin layer of metal oxide as well as the uniformity of the coating, we used energy-dispersive X-ray spectroscopy (EDS) line mapping for the constituent elements were used. The metal nanoparticles were still well exposed to the outer surface, enabling surface characterization, including chemisorption and XPS. Even after calcination at 600 °C, the structure and morphology of the hybrid nanocatalysts remained intact, confirming high thermal stability. The catalytic activity was evaluated for high -temperature CO oxidation, better exposure of the metal active sites are critical parameters for high catalytic activity. Furthermore, we investigated the impact of different types of metal oxide coating, (i.e., as an active support material) on the performance of catalytic activity for CO oxidation. Designing metal oxide hybrid structures with oxide encapsulation can be of key importance for investigating the metal-support interaction.
9:00 AM - TT5.34
ZnO Films Applied as pH Sensor in FET Devices
Marcelo Mulato 1 Jessica Colnaghi Fernandes 1
1University of Sao Paulo - USP Ribeirao Preto-SP Brazil
Show AbstractOxide thin films have been extremely studied and due to their characteristics they have been recently applied as part of specific sensing devices. Zinc oxide (ZnO) is a promising material to be used in gas sensors, solar cells, optical devices, and biosensors. It has been deeply studied during the past 20 years [1]. It presents a large response as a function of pH, so it can be easily applied in pH sensors to be used in medical fields. ZnO present a high isoelectric point, about pH 9.5, what facilitates the immobilization of enzymes that normally present acid isoelectric point. Due to this characteristic, ZnO is widely applied in biosensors for the detection of specific targets such as urease, glucose, and uric acid, among others. The FET (field effect transistor) devices are used to achieve fast response and miniaturization [2]. The EGFET (extended gate field effect transistor) device offers many advantages related to regular ISFETs (ion sensitive field effect transistor), such as low cost and the possibility to reuse the entire device with just the replacement of the sensitive layer. For this contribution, a deposition technique similar to spray pyrolysis was used for films fabrication and the substrates used were FTO and ITO. The main goals of this study were: i) to fabricate and characterize ZnO thin films; ii) to optimize a ZnO sol-gel routine for the thin film deposition in different substrates and iii) to use the optimized films as the sensitive layer in a pH sensor-EGFET device. The ZnO was doped with different aluminum percentages, with a range from 3 to 10%. In general, devices fabricated on FTO substrates presented a linear response in a pH range from 2 to 8. The sensitivities varied from 35 mV/pH for routines with 3% of aluminum until 40 mV/pH for 8% of aluminum. For films with 10% aluminum the sensor does not respond for any pH. Considering the samples fabricated on ITO substrate, a linear response was observed only in a pH range from 2 to 4, with a sensitivity of 30 mV/pH. But when the dopant percentage increased to 7% the sensitivity remained constant at about 26 mV/pH but with a linear response in a pH range from 2 to 8. The relationship between sensor performance with the used substrate and fabrication technique will be discussed. The possible usage of the best films in a urea biosensor will also be presented. This work was funded by CNPq, CAPES and FAPESP Brazilian agencies.
References:
[1] Yuan, H., Xu, M., and Huang, Q. Z, J. of All. and Comp., vol. 616, pp. 401-407 (2014).
[2] Maiolo, L, et. al., Applied Physics Letter, vol. 105, 093501 (2014).
9:00 AM - TT5.35
Tuning W-Doped BiVO4 Structure via Humidity Control to Maximize the Active Regions for Photoelectrochemical Water Splitting
Pongkarn Chakthranont 2 Thomas F. Jaramillo 1
1Stanford Univ Stanford United States2Stanford University Stanford United States
Show AbstractOne of the most promising photoanode candidates for photoelectrochemical water splitting (PEC) is bismuth vanadate (BiVO4). BiVO4 is an n-type semiconductor with a 2.4 eV band gap. It has a high conduction band position close to H2/H+ redox potential, leading to an impressive photocurrent onset as early as 0.2 V vs. RHE when decorated with metal oxide catalysts.1 Highly active BiVO4 films can be synthesized using various methods but the most common one is metal organic decomposition (MOD). In this technique, organometallic species containing Bi, V, and W or Mo dopant are dissolved in a solvent, and the solution is deposited onto substrates with sol-gel processing techniques such as spray pyrolysis,2 drop casting,3 and spin coating.4 However, these reported facile sol-gel techniques disregard the environmental factors, resulting in inhomogeneity and the reproducibility across laboratories. Previous works has shown that qualities of metal oxide films grown by sol-gel processes highly depended on humidity5 of the environments but the effect of humidity has not been investigated in BiVO4 sol-gel synthesis system.
In this work, a facile sol-gel technique for synthesizing highly active W-doped BiVO4 electrodes in a humidity controlled environment was demonstrated. The effects of relative humidity on the macroscopic structures, optical properties, crystallography, chemical compositions, and photoelectrochemical performances of W-doped BiVO4 were investigated. The relative humidity greatly affected the macroscopic structures of the films, leading to different photoelectrochemical performances. The photoanode synthesized at RH~30% yielded the highest performance with the maximum APCE of 74.8% at 420 nm at 1.23 V vs. RHE. Using the sintering of Au nanoparticles as a high spatial resolution oxidation activity indicator, the correlation between macroscopic structure and the photoelectrochemical activity of W-doped BiVO4 were elucidated.
1. T. W. Kim and K. S. Choi, Science, 2014, 343, 990-994.
2. F. F. Abdi and R. van de Krol, The Journal of Physical Chemistry C, 2012, 116, 9398-9404.
3. K. Zhang, X. J. Shi, J. K. Kim and J. H. Park, Physical Chemistry Chemical Physics, 2012, 14, 11119-11124.
4. W. Luo, Z. Yang, Z. Li, J. Zhang, J. Liu, Z. Zhao, Z. Wang, S. Yan, T. Yu and Z. Zou, in Energ Environ Sci, Royal Society of Chemistry, 2011, vol. 4, pp. 4046-4051.
5. M. Deepa, P. Singh, S. N. Sharma and S. A. Agnihotry, Solar Energy Materials and Solar Cells, 2006, 90, 2665-2682.
9:00 AM - TT5.36
Growth of beta;-Ga2O3 Crystals from Own Melt in Sapphire Crucible
Aleksandr Golovatenko 3 1 Vladimir I. Nikolaev 2 3 1 Aleksey Pechnikov 2 3 Viktor Maslov 1
1Ioffe Institute Saint Petersburg Russian Federation2ITMO University Saint Petersburg Russian Federation3Perfect Crystals LLC Saint Petersburg Russian Federation
Show AbstractCrystals of β-Ga2O3 were grown from own melt in sapphire crucible. In order to find alternative to iridium crucible, which usually used for growth of β-Ga2O3 single crystals, we have studied reaction at high temperature between Ga2O3 and sapphire, BN,molybdenum. Among these materials only sapphire was stable and didn&’t react with Ga2O3 at its melting temperature. The sapphire crucible was grown by EFG in 0001 and had form of one-end closed cylinder. The crucible with the starting material (β-Ga2O3 powder) was kept at high temperature in inert gas atmosphere to melt the powder. After melting the samples were slowly cooled to room temperature. In result multiple needle-like crystals of β-Ga2O3 were formed. The crystals were aligned from crucible walls to the center, having six-rayed orientation. Taking into account orientation of sapphire crucible, one can conclude that growth of β-Ga2O3 crystals starts on the crucible walls. Single crystals of β-Ga2O3 were used as substrate for HVPE growth of 5um-GaN.
The β-Ga2O3 crystals were studied by means of XRD, CL and microprobe analysis. The XRD analysis has revealed presence of (311), (002) and (400) peaks of β-Ga2O3 in the grown crystals. The CL study of the grown samples was carried out at 100K and 10.5kV acceleration voltage. Two typical for β-Ga2O3 spectral lines were observed: the strong sharp peak at 1.7-1.8 eV, which corresponds to quantum confinement effect in one dimensional β-Ga2O3 structures were observed; the wide low intensity UV-peak, which usually present in the high-temperature annealed β-Ga2O3 crystals. Microprobe analysis has shown that there is diffusion of Al into the volume of Ga2O3. The percentage ratio Al/Ga is about 10 in the whole volume of sample. The GaN layers were studied by means of XRD and PL. The PL spectra of the GaN layers were measured at 80K. There was observed peak at 3,460 eV with FWHM 50 meV. According to the XRD measurements the GaN layers have (0001) orientation with the FWHM about 15 arcmin.
TT1: Synthesis amp; Structure I
Session Chairs
Tuesday AM, April 07, 2015
Marriott Marquis, Golden Gate Level, Ballroom B
9:30 AM - TT1.02
Characterizing Cation Intermixing and Stoichiometry in LaCrO3-SrTiO3 Superlattices via Atom-Probe Tomography and X-Ray Photoelectron Spectroscopy
Ryan B. Comes 1 Daniel Perea 1 Mark E. Bowden 1 Mark Engelhard 1 Scott A. Chambers 1
1Pacific Northwest National Laboratory Richland United States
Show AbstractSuperlattice perovskite oxide thin films have been the subject of a good deal of research in recent years for the novel properties that can be harnessed at interfaces in these materials that are not observed in the bulk. Interfacial ferromagnetism, charge transfer, and other behaviors have been observed and studied in great detail. However, characterization of intermixing and stoichiometry in these materials is a significant challenge. Traditional ion scattering techniques for stoichiometry measurements are limited by the presence of a wide variety of cations with similar mass and the large number of interfaces that are difficult to model. Cross-sectional scanning transmission electron microscopy with electron energy loss spectroscopy is frequently used to characterize intermixing, but sensitivities to interdiffusion of a few atomic percent are limited in this approach, while stoichiometry characterization is extremely challenging. Here we use a combination of in situ angle-resolved and ex situ depth-profiling x-ray photoelectron spectroscopy (XPS), along with atom-probe tomography, to characterize intermixing and stoichiometry of SrTiO3 (STO)-LaCrO3 (LCO) superlattices with periodicity {STO 3 unit cells/LCO 3 unit cells/STO 3 unit cells} grown via molecular beam epitaxy. Angle-resolved XPS measurements at the surface show that there is a significant concentration of La and Cr in the surface STO layer, consistent with interdiffusion of at least 25% La and Cr 2 unit cells above the nominal interface. Depth-profiling XPS has been used to examine film stoichiometry below the surface to characterize stoichiometry drift during the growth process. Atom-probe tomography has also been employed to examine individual cation intermixing with sensitivities of better than 1 atomic percent. The results of these analyses will be presented and correlated with observed physical properties in the superlattices.
9:45 AM - TT1.03
Dying Crystals: Incorporation of Organic and Organometallic Dyes within Single-Crystal Semiconducting Materials
Bartosz Marzec 1 Daniel Walker 1 Marie-France Mathias 1 David Green 1 Yi-Yeoun Kim 2 Kulak Alex 1 Geoff Hyett 3 Alessandra Sanson 4 Fiona C. Meldrum 1
1Univ of Leeds Leeds United Kingdom2Univ of Leeds Leeds United Kingdom3University of Southamption Southampton United Kingdom4CNR - Institute of Science and Technology for Ceramics Faenza Italy
Show AbstractFor many centuries, crystals have been recognized as have well-defined structures and properties such as cleavage planes, hardness, melting temperature, conductivity and color.1 The field of biomineralization and bio-inspired crystallization, in contrast, provides many examples which show that inorganic single crystals can act as hosts for a wide range of additional species whose size range from about a nanometer (simple organic molecules) through tens of nanometers (gold nanoparticles) up to several hundreds of nanometers (self-assembled micelles or polystyrene beads).2-4 Our recent discoveries showed that the successful incorporation of amino acids within calcite crystals can significantly enhance the occlusion of other compounds, such as organic dyes, which would normally be excluded from the crystal lattice.
A bio-inspired synthetic methodology was applied here to precipitate coloured crystals of zinc oxide. While typical synthetic ZnO particles are white, crystals precipitated in the presence of organic dyes, such as Brilliant Blue R, displayed deep blue coloration. The synthetic procedure involved a reaction between solutions of zinc salts and hexamethylenetetramine in the presence of organic dyes. In order to remove the dye adsorbed on crystals surface, the obtained material was bleached with sodium hypochlorite. The coloured crystals were then analysed using UV-Vis, SEM and Synchrotron PXRD in order to examine their morphology and structural properties. CV and photocatalytic tests were further used to evaluate the electronic properties of the obtained material. In subsequent experiments the Ru(II)-containing N719 dye was utilized to stain ZnO and the precipitated red crystals were dispersed in a thin layer of a conductive polymer in order to construct a prototype DSSC device.
Our initial results indicate that the incorporation of dyes indeed changes the electronic properties of zinc oxide. We are currently investigating whether this change of electronic characteristics is caused by the lattice strain introduced by the organic molecules and the consequent change in the band gap or if it arises from the ability to absorb a wide range of visible light. As the dye molecules encapsulated within ZnO lattices are isolated from the external environment and shielded from solar UV radiation, they do not bleach for a prolonged period of time. This feature may be used to design a new range of weather resistant colorants. While dye molecules provide an excellent test-case as they are easy to visualise, the reported method is expected to be equally applicable to the encapsulation of biologically active chemicals, resulting in new generations of drug or fertiliser delivery systems.
[1] M. F. Perutz, Acta Cryst. A, 1970, 26(2), 183-185.
[2] L. Addadi, S. Weiner, Proc. Nat. Acad. Sci. USA, 1985, 82(12), 4110-4114.
[3] A. Kulak et al. Chem. Commun., 2014, 50(1), 67-69.
[4] Y.-Y. Kim et al., Nat. Mater., 2001, 10(11), 890-896
10:00 AM - TT1.04
Atomic Resolution Study of Local Strains in Doped VO2 Nanowires
Hasti Asayesh-Ardakani 3 4 Anmin Nie 3 4 Peter Marley 2 Yihan Zhu 5 Patrick Phillips 4 Sujay Kumar Singh 1 Farzad Mashayek 6 Ganapathy Sambandamurthy 1 Ke-bin Low 7 Robert Klie 4 Sarbajit Banerjee 2 Gregory Odegard 3 Reza Shahbazian-Yassar 3 4 6
1University at Buffalo, State University of New York Buffalo United States2University at Buffalo, State University of New York Buffalo United States3Michigan Technological University Houghton United States4University Of Illinois at Chicago Chicago United States5King Abdullah University of Science and Technology Thuwal Saudi Arabia6University Of Illinois at Chicago Chicago United States7University Of Illinois at Chicago Chicago United States
Show AbstractHasti Asayesh-Ardakani1, 2, Anmin Nie1, 2, Peter M. Marley3, Yihan Zhu4, Patrick J. Phillips2, Sujay Singh5, Farzad Mashayek6, Ganapathy Sambandamurthy5, Ke-bin Low7, Robert F. Klie2, Sarbajit Banerjee3, Gregory M. Odegard1 and Reza Shahbazian-Yassar1, 2,6
1Department of Mechanical Engineering-Engineering Mechanics, Michigan Technological University, Houghton, MI 49933-1295, USA
2Department of Physics, University of Illinois at Chicago, Chicago, IL60607-7059, USA
3Department of Chemistry, University at Buffalo, State University of New York, Buffalo, New York 14260-3000, USA
4 Advanced Membranes and Porous Materials Center, King Abdullah University of Science & Technology, Thuwal, 23955-6900, Kingdom of Saudi Arabia
5Department of Physics, University at Buffalo, State University of New York, Buffalo, New York 14260-3000, USA
6Department of Mechanical and Industrial Engineering, University of Illinois at Chicago, Chicago, IL60607-7059, USA
7Research Resource Center, University of Illinois at Chicago, IL60607-7059, USA
There has been long-standing interest in Metal-Insulator Transition (MIT) in VO2 because of their possible applications in data processing systems and memory devices. The MIT in VO2 is associated with a structural phase transition, sharp resistivity and optical transparency changes by several orders of magnitudes, which happens close to room temperature (at ~ 340 K). The phase transition occurs from a monoclinic (M) structure to a tetragonal rutile (R) structure. The present work investigates the effect of W dopants in MIT of individual single-crystalline VO2 nanowires by use of aberration corrected scanning transition electron microscopy and in situ TEM. WxV1-xO2 nanowires with different doping concentration of W (0.2, 0.5, 0.8 and 1.2 at. % W) were used in this study, which correspond to transition temperatures of 330, 314, 295, and 254 K, respectively. The atomic scale Z-contrast imaging of individual single-crystalline WxV1-xO2 nanowires indicates W dopant atoms in the structure. Peak pairs analysis (PPA) of high-resolution images reveals the effect of dopants in MIT of VO2. W dopants introduce anisotropic strain in the VO2 matrix, which is greater in perpendicular direction to (-1-22)lattice planes of monoclinic structure. This localized strain facilitates the phase transition form monoclinic structure to tetragonal structure. This experimental observation was verified by Density Functional Theory (DFT) calculations. The MIT is also investigated by electrical testing inside the chamber of a TEM. The in situ electrical characterization illustrates insulating monoclinic structure for low-doped nanowires and metallic tetragonal structure for high-doped nanowires at room temperature.
10:15 AM - TT1.05
Nanotailoring ZnO:X (X = Al, B) Films by Atomic Layer Deposition
Diana Garcia-Alonso 1 Yizhi Wu 1 Stephen Potts 1 Bart Macco 1 Fred Roozeboom 1 Marcel Verheijen 1 Erwin Kessels 1 2
1Eindhoven University of Technology Eindhoven Netherlands2Solliance Eindhoven Netherlands
Show AbstractTransparent conductive oxides (TCOs) have become ubiquitous in many of today&’s electronic devices and their importance will keep increasing with the growing role of solar energy in our society. However, TCOs will not just find more and wider applications but in many cases more precise control of their properties will also be required. In this contribution, we will focus on ZnO, which is an abundant material that can serve as a TCO when doped with group 13 elements. We will show that the method of atomic layer deposition (ALD) can be used to prepare high-quality ZnO:X (X = Al, B) films with a very precise control of their electronic properties even at the nanometer-level.
ZnO:Al and ZnO:B films were prepared by ALD using the process based on diethylzinc (DEZ, Zn(C2H5)2) and H2O dosing and with either trimethylaluminum (TMA, Al(CH3)3); dimethylaluminum isoproproxide (DMAI, Al(CH3)2(OiPr)) or triisopropyl borate (TIB, B(OiPr)3) as precursors for the dopants. The doping was obtained using so-called supercycles in which the ratio of the DEZ and dopant cycles controls the dopant density in the films. By varying this ratio the dopant density can be precisely tuned and even easily graded throughout the film, which are important merits of the ALD technique in addition to its key features such as a unparalleled uniformity over large areas, an excellent conformality on 3D surface topologies and a relatively low thermal budget (typical substrate temperatures are 150 - 250 °C). From a detailed study involving Rutherford backscattering spectroscopy and transmission electron microscopy, it will be shown that by using DMAI and TIB as precursors, the lateral spacing of the dopants can be better controlled than with TMA due to fact that DMAI and TIB are larger molecules, leading to more steric hindrance at the surface. This better control correlates directly with the electronic properties of the films and it will be shown that the doping efficiency obtained for DMAI and TIB (up to 40-60%) is much higher than for TMA (5-10%). ZnO:Al and ZnO:B films with resistivities <1 mOmega;cm and carrier concentrations and mobilities of 7x1020 cm-3 and 15 cm2/Vs, respectively, will be presented. Also, the structural and optical properties of the ZnO:X films will be reported.
10:30 AM - TT1.06
Advanced Textural Control in Atomic Layer Deposited Aluminum Oxide through Post-Growth Seeded Solid Phase Epitaxy
Hannah Maret 1 Nicholas C. Strandwitz 1
1Lehigh University Bethlehem United States
Show AbstractAtomic layer deposition (ALD) is a powerful deposition technique for conformal deposition of a wide variety of materials with precise thickness control. The self-limiting chemical reactions that result in ALD growth, however, are only possible within a specific temperature window, above which precursor decomposition results in uncontrolled deposition. These temperature constraints therefore limit the ability to realize crystalline material in as-deposited films. This is particularly true in the case of aluminum oxide, where as-deposited films which utilize the most common trimethylaluminum precursor are always amorphous.
Here we explore a post-deposition seeding strategy for the controlled crystallization of ALD thin films. Nano-sized crystalline seeds are dispersed on an ALD alumina film on amorphous SiO2 substrates and subject to thermal treatment. By varying the temperature, gas ambient, and other experimental conditions we have studied the lateral crystallization rate using electron backscatter diffraction (EBSD). EBSD analysis indicates that crystallization initiates from the seed crystal yielding monocrystalline regions of α-alumina. The shape of the crystallized regions was correlated with their crystallographic orientation. Specifically, the crystal shape anisotropy followed known growth rate anisotropies in α-alumina in which case the c-axis exhibits the slowest growth rates. These studies indicate that post-deposition crystallization at temperatures as low as 900 °C may allow for the fabrication of large-grained polycrystalline α-alumina thin films on Si and other substrates and are important for wear-resistance and semiconductor growth.
TT2: Electrical
Session Chairs
Tuesday AM, April 07, 2015
Marriott Marquis, Golden Gate Level, Ballroom B
11:00 AM - *TT2.01
Charge Carriers Redistribution at Interfaces: Effects on Electronically and Ionically Conducting Oxides
Giuliano Gregori 1
1Max Planck Institute for Solid State Research Stuttgart Germany
Show AbstractIt is well established that interfaces and boundaries (grain boundaries as well as interfaces between neighboring layers in heterostructures and even film/substrate interfaces) can locally give rise to drastic modifications of the bulk (single crystal) properties of the constituting materials: A paramount example in this sense is the formation of a 2 dimensional electron gas at the LaAlO3/SrTiO3 interface.
Quite remarkably, in many cases, the local redistribution of ionic charge carriers (i.e. vacancies, interstitials or even dopants) plays a pivotal role in defining the mobile charge carriers (electronic and ionic) at the interfaces and hence the functional properties, that rely on them. Of course, it goes without saying that such effects are particularly exciting in nanostructured systems, in which the boundaries become predominant over the bulk and thus fully dominate the properties of the whole material.
In the present contribution, a selection of relevant examples dealing with ionic and electronic conducting oxides (pulsed laser deposited CeO2 thin films), thermoelectric properties (spark plasma sintered SrTiO3) and even superconductivity (molecular beam epitaxy grown La2CuO4 heterostructures) are presented. In particular, it is shown that in all of them the presence of strong interface effects (which can be quantitatively explained within the framework of the general space charge theory) result in the occurrence of local properties, which massively differ from the single crystal case.
11:30 AM - TT2.02
Accelerated Design and Discovery of Solid Electrolyte Materials Using First Principles Computation
Xingfeng He 1 Yifei Mo 1
1University of Maryland College Park United States
Show AbstractThere are significant progresses and interests in developing novel ion-conducting oxides materials as solid electrolytes in fuel cells and batteries. Computational techniques based on first principles are emerging as a new toolset for the accelerated discovery and development for new materials. In this talk, we will present a first-principles study of designing novel solid-state electrolyte materials. Using the recently identified Na0.5Bi0.5TiO3 perovskite oxygen ionic conductor as an example, we will demonstrate the computation capability to evaluate the phase stability, chemical stability, and ionic diffusion of the ionic conductor materials. We will further leverage our computation methods to evaluate a large number of potential dopants for this new materials system. We will present the pros and cons of new dopants for the host materials. New materials compositions and doping strategies to improve the ionic conductivity will be discussed.
11:45 AM - TT2.03
Low-Temperature Protonic Conductivity in Densified Nanometric TiO2 Obtained by HP-SPS
Alessandro Senocrate 1 Ilenia G. Tredici 1 Umberto Anselmi-Tamburini 1
1University of Pavia Pavia Italy
Show AbstractIn the last few years it has been observed a rapid growth of interest towards low temperature protonic conductors for application in energy generation and alternative to perfluorinated polymers. High values of low temperature protonic conductivity has been recently reported in bulk oxides presenting a nanometric grain size. This protonic conductivity has been observed in oxides not otherwise presenting bulk protonic conductivity, such as zirconia, ceria and titania, at temperatures between r.t. and 150-400°C and for grain size for grain sizes <50-80 nm. We investigated the conduction mechanism in bulk nanometric TiO2 obtained by High Pressure Field Assisted Sintering (HP-FAST) using impedance spectroscopy, solid-state NMR, BET and DSC measurements. The results suggest a conduction mechanism related with the presence of nanometric percolative path of liquid water even in the case of almost fully dense samples.
12:00 PM - TT2.04
Using Atom Probe Tomography to Understand Schottky Barrier Height Pinning at the Silicon / SiO2 / ZnO:Al Interface
Amanda Youssef 1 Rafael Jaramillo 1 Austin Akey 1 Shriram Ramanathan 2 Anthony Buonassisi 1
1Massachusetts Institute of Technology Cambridge United States2Harvard University Cambridge United States
Show AbstractControl over band alignment at oxide-semiconductor interfaces is useful for engineering devices such as solar cells and field effect transistors. However, control of band alignment remains as much art as science with any particular oxide-semiconductor pairing. Here we investigate the interface between Si and ZnO:Al using electrical measurements and atom probe tomography. Electrical measurements on Si / SiO2 / ZnO:Al devices show that introducing Al changes the barrier heights on n- and p-type substrates compared to undoped ZnO, but further changes to the composition of the ZnO:Al film have no effect on the junction transport properties: the Schottky barrier height becomes pinned1. Electrical measurements alone are not sufficient to distinguish between the various mechanisms (Bardeen, Schottky, metal-induced gap states, etc.) proposed to explain barrier height pinning on silicon.
We present a study of the chemistry at the Si / SiO2 / ZnO:Al interface using atom probe tomography (APT). APT is capable of measuring the composition of buried interfaces with atomic-scale resolution. APT is therefore capable of answering questions about electronic heterojunctions that measurements of blanket films (such as resistivity and work function) cannot. In this case, the results show Al point defect segregation near the interface. This indicates that the observed Fermi level pinning is the result of a conserved chemical environment at the heterojunction. These results highlight the importance of point defect chemistry and segregation at buried interfaces, and suggest an experimental path to changing barrier heights by oxide point defect control during synthesis.
[1] R. Jaramillo & Shriram Ramanathan, 2013 Spring MRS, XX4.09.
12:15 PM - TT2.05
Pyro-Paraelectricity: A Flexoelectricity-Mediated Thermo-Electrical Response
Huai-An Chin 2 Sheng Mao 3 Chiao-Ti Huang 2 Kwaku Ohemeng 1 Prashant Purohit 3 Sigurd Wagner 2 Michael McAlpine 4
1Princeton University Princeton United States2Princeton University Princeton United States3University of Pennsylvania Philadelphia United States4Princeton University Princeton United States
Show AbstractThe electrical responses of materials and devices to thermal inputs, such as the Seebeck effect and pyroelectricity, are of great interest in thermal-electric energy conversion devices. Of particular interest are methods which exploit heterogeneities in the mechanics of heterostructure materials and systems for novel and unexplored thermo-electrical responses. Here we introduce a new, innovative mechanism for converting thermal stimuli into electricity via structural heterogeneities, which we term “pyro-paraelectricty.” Specifically, when a paraelectric material is grown on a substrate with a different lattice constant, the paraelectric layer undergoes inhomogeneous strain due to the lattice mismatch, establishing a strain gradient along the axis of the layer thickness. This strain gradient induced via the lattice mismatch can be multiple orders of magnitude higher than those in bulk materials induced by mechanical bending (0.1 m-1). As a result, bound charges are induced in the paraelectric layer via flexoelectricity, leading to a polarization in proportion to its dielectric constant. In turn, the dielectric constant, and thus the polarization, changes with temperature. Therefore, when a Metal-Insulator-Metal (MIM) heterostructure built from this system is subjected to a thermal input, changes in permittivity lead to a generated electrical response. Specifically, we demonstrate this concept of “pyro-paraelectricity” by employing a MIM heterostructure with a high-permittivity (relative permittivity ~ 200) sputtered barium strontium titanate (BaxSr1-xTiO3, BST) film as the insulating layer in a platinum sandwich. The resulting strain gradient of ~7000 m-1 due to the structural heterogeneity was verified by an X-ray diffraction scan. Paraelectricity in the BST was confirmed from permittivity-temperature and hysteresis measurements. Finally, to demonstrate “pyro-paraelectricity,” the MIM heterostructure was subjected to a thermal input, and current was generated which was highly correlated to the thermal input. A theoretical model was found to be remarkably consistent with the experimental data. These results provide a proof of concept investigation into this new effect, both experimentally and theoretically.
Symposium Organizers
Jan Augustynski, Warsaw University
Clara Santato, Ecole Polytechnique-Montreal
Xiao Wei Sun, Nanyang Technological University
Alberto Vomiero, Lulea University of Technology
Symposium Support
Los Alamos National Laboratory
TT8: Electrical Properties
Session Chairs
Wednesday PM, April 08, 2015
Marriott Marquis, Golden Gate Level, Ballroom B
2:30 AM - *TT8.01
Going Nano to Convert Energy: Ionic Conductivity of Oxide Thin Films and Superlattices
Enrico Traversa 1
1King Abdullah University of Science and Technology Thuwal Saudi Arabia
Show AbstractThe development of micro-solid oxide fuel cells (SOFCs) open new scenarios for portable unit power supply. Micro-SOFCs are likely to yield energy densities per volume and specific energy per weight significantly larger than state-of-the-art batteries. The challenge for the deployment of micro-SOFCs is reducing their operating temperature, and this can be achieved using nanostructured materials, like thin films as electrolytes and nanoporous materials for the electrodes. In the last years significant attention has been given to the study of the ionic conducting properties of oxide thin films and heterostructures. Interfacial phenomena at hetero-phase interfaces, including film-substrate, give rise to faster ionic conduction pathways than in bulk or at homo-phase interfaces. Interfacial strains and/or the build up of space charge regions have been claimed to explain the experimental evidences, though controversial results have been also reported showing for instance that strain did not enhance ionic conductivity. This talk will present the efforts performed in our labs towards the fabrication by pulsed laser deposition (PLD) of ionic (both proton or oxygen-ion) conducting oxide thin films and superlattices, and their electrochemical characterization to elucidate the causes for enhaced ionic conductivity at oxide hetero-interfaces, emphasizing the role of defects in addition to that of strains.
3:00 AM - TT8.02
All-Solution-Processed Quantum Dot Light-Emitting Diodes Employing Metal Oxide Nanoparticles as Charge Transport Layers
Xiao Wei Sun 1 Tao Ding 1 Xuyong Yang 1
1Nanyang Technological University Singapore Singapore
Show AbstractOwing to their tunable emission wavelength over the whole visible range, extraordinary narrow linewidth and cost-efficient manufacturing technique by facile solution-processed deposition, quantum-dot based light-emitting diodes (QD-LEDs) have become a promising candidate for the next generation solid-state lightings and displays. Since the first report two decades ago1, numerous achievements and breakthroughs of QD-LEDs have been demonstrated, proving them to be competitive in the area of lighting and displays.
In a typical structure of QD-LED, quantum dots act as the emission layer, sandwiched by a hole transport layer (HTL) and an electron transport layer (ETL)2. Generally, polyethylene dioxythiophene:polystyrene sulfonate (PEDOT:PSS) is applied as the HTL and 1,3,5-tris( N-phenylbenzimidazol-2-yl)benzene (TPBi) is acted as ETL, making it an all-organic device. However, the aqueous PEDOT:PSS layer would damage the lower indium tin oxide layer by acid corrosion. Also, because of the thermal instability issue, these QD-LEDs degrades significantly with time. Therefore, using inorganic metal oxides to replace organic counterparts has drawn attentions in the last few years. Among them, WO3 and CuxO are promising candidates for efficient hole-injection into organic materials3. Also, ZnO has also been proved to be a superior ETL because of its excellent electron transport property and the interfacial phase compatibility. In addition, compared with the thermal evaporation or sputtering techniques, spin-coating process for metal oxide nanoparticles (NPs) has several advantages such as cost-effectiveness, controllable film morphologies, etc.
Here we use CuxO or WO3 NPs as HTL and ZnO NPs as ETL, respectively. The resulting QD-LEDs with WO3 exhibit excellent device performance with a peak luminance over 20000 cd/m2 , a low turn-on voltage and an extraordinary operation lifetime of 95 h4. The enhanced device performance can be mainly attributed to the use of inorganic metal oxide (CuxO or WO3) NPs as CTLs and the compatibility of these metal oxide layers with QDs, facilitating the charge carrier injection as well as improving the stability. These results indicate that the solution-processed metal oxide NPs can serve as excellent HTL and ETL and offer a potential application for the realization of low-cost and high-performance lighting and displays.
1 VL Colvin, MC Schlamp, and AP Alivisatos, Nature 370 (6488), 354 (1994).
2 Y. Shirasaki, G. J. Supran, M. G. Bawendi, and V. Bulovic, Nat Photonics 7 (1), 13 (2013).
3 Xuyong Yang, Evren Mutlugun, Yongbiao Zhao, Yuan Gao, Kheng Swee Leck, Yanyan Ma, Lin Ke, Swee Tiam Tan, Hilmi Volkan Demir, and Xiao Wei Sun, Small 10 (2), 247 (2014).
4 Xuyong Yang, Yanyan Ma, Evren Mutlugun, Yongbiao Zhao, Kheng Swee Leck, Swee Tiam Tan, Hilmi Volkan Demir, Qinyuan Zhang, Hejun Du, and Xiao Wei Sun, ACS applied materials & interfaces 6 (1), 495 (2013).
3:15 AM - TT8.03
Reliability of Poly-Crystalline Indium-Gallium-Zinc-Oxide Thin Film Transistors under Bias and Light Illumination Stress
Kyung Park 1 Hyun Soo Shin 2 Jonguk Bae 2 Jang-Yeon Kwon 1 3
1Yonsei University Incheon Korea (the Republic of)2LG Display Paju Korea (the Republic of)3Yonsei University Incheon Korea (the Republic of)
Show AbstractAmorphous-IGZO (a-IGZO) TFTs have significantly attracted attention for high-end and large area displays, due to their high field-effect mobility, low process temperature, and high transparency to visible light. However, a-IGZO TFTs have instability issues suffered from electrical stress and environment conditions during the driving devices, resulting in large shift of threshold voltage (Vth). Recently, to resolve these instability issues, IGZO thin films having a c-axis aligned crystal (CAAC) structure were proposed by semiconductor energy laboratory (SEL) and CAAC-IGZO TFTs exhibited the excellent device performance and reliability because CAAC-IGZO structure has lower defect levels than conventional a-IGZO. Here, we had a one question whether this only specific structure having c-axis aligned crystal was available to improve the device reliability. In other words, is it possible that IGZO with crystal structure, even if IGZO do not have a CAAC structure, can be used as a channel material to enhance the device reliability? In addition, a systematic study on effects of IGZO thin films as a function of crystal structure still remains insufficient. Thus, studying the device stability against various stress conditions with respect to crystal structure of IGZO thin films can be considered with much attention.
In this presentation, we studied the effects of crystalline IGZO (c-IGZO) on the device performance, focused specially on the device reliability. Before measuring the electrical properties of c-IGZO TFTs, in order to confirm the film structure according to the annealing temperature, crystal structure and microstructure of IGZO thin films were preferentially investigated. After that, the electrical properties of c-IGZO TFTs as a function of annealing temperature were measured compared to conventional a-IGZO TFTs. Finally, effects of the c-IGZO on the device reliability were analyzed under positive/negative bias stress with/without light illumination having a constant wavelength and intensity. To this end, we propose that the clear relationship between the device reliability and c-IGZO and also crystal structure itself play an important role in device reliability rather than the structure of crystal, such as a CAAC structure.
3:30 AM - TT8.04
All-Perovskite Oxide SrRuO3 Metal-Base Hot-Electron Transistor
Brian Sae Yoon Kim 1 Takeaki Yajima 2 3 Yasuyuki Hikita 2 Christopher Bell 2 4 Harold Y Hwang 2 5
1Stanford University Stanford United States2SLAC National Accelerator Laboratory Menlo Park United States3The University of Tokyo Tokyo Japan4University of Bristol Bristol United Kingdom5Stanford University Stanford United States
Show AbstractPerovskite oxide heterostructure is a rapidly emerging field notable for its versatile electronic and magnetic phases which enable novel device functionalities, including nonvolatile memory and sensing [1]. Three-terminal device applications of perovskites so far focused mostly on tuning in-plane electronic transport using the field-effect geometry. However, the out-of-plane three-terminal device geometry, though often neglected due to its complexity, is also useful in many aspects. In the hot-electron transistor (HET), for instance, ballistic transport of hot-electrons injected across a Schottky diode emitter can be used to probe electronic properties of the base metal, providing information on scattering mechanisms, electron confinement effects, and intervalley transfer [2]. Recently, a room-temperature transistor operation of all-perovskite oxide La0.7Sr0.3MnO3 (LSMO) metal-base HET has been demonstrated [3], but with limited device yield possibly owing to intrinsic properties of LSMO, such as mesoscopic phase disorder. As an alternative, SrRuO3 (SRO) can be used as the metal-base layer due to its advantageous physical properties [4]. Up to now, SRO has been mostly used as an epitaxial electrode because of its high conductivity and perfect registry with many perovskite oxide substrates, rather than as an active device layer. Here we present an all-perovskite oxide heteroepitaxial HET using SRO as an active metal-base layer. Successful room-temperature HET operation for various metal-base layer thicknesses was achieved, with a high device yield. These results may prove useful for expanding the application of the out-of-plane three-terminal device geometry using perovskite oxides.
[1] H. Takagi et al., Science 327, 1601 (2010).
[2] M. Heiblum et al., IBM J. Res. Develop. 34, 530 (1990).
[3] T. Yajima et al., Nat. Mater. 10, 198 (2011).
[4] G. Koster et al., Rev. Mod. Phys. 84, 253 (2012).
3:45 AM - TT8.05
Metal Oxide/Electrolyte Interfaces: Unprecedented Insight by Electrochemistry and nanoIR
Xiang Meng 1 Frederic Venne 1 Francis Quenneville 1 Jonathan Sayago 1 Antonella Badia 2 Clara Santato 1
1Ecole Polytechnique de Montreal Montreal Canada2Universite de Montreal Montreal Canada
Show AbstractElectrolyte-gated (EG) thin film transistors make use of electrolytes, such as ionic liquids and ion gels, to replace conventional dielectrics, such as SiO2. The low driving voltage (< 2 V) and printable nature of EG-transistors render them interesting for flexible, large-area applications [1-2]. The working principle of EG transistors can be explained by, at least, two mechanisms: (i) field-effect, where the channel conductivity is controlled by the electrostatic doping of active layer and (ii) electrochemical, where the channel conductivity is modulated by ions insertion/removal in/from the film. Apart from their technological potential, EG transistors are valuable platforms to investigate fundamental processes at electrolyte/semiconductor interfaces. Indeed, their planar architecture gives direct access to optical, chemical, and morphological characterizations. However, despite significant advancements achieved in the past few years in the field of electrolyte gating, the fundamental chemicophysical processes governing the doping of metal oxide materials of primary importance for energy conversion, energy saving, and display applications are yet to be fully understood. This is particularly true for EG transistors using, as the electrolyte, ionic liquids and ion gels due to their mechanical properties. A few examples of the questions not yet answered are: how the size of the ions constituting the ionic liquid (ion gel) affects the mechanism of doping (electrostatic vs electrochemical)? Is it possible to electrostatically (without ion insertion) induce an optical density change in electrochromic films? Here, using a combination of cyclic voltammetry (CV), electrochemical impedance spectroscopy (EIS), transistor, and nanoIR (Atomic Force Microscopy (AFM) coupled to spatially resolved Infrared (IR) spectroscopy) measurements we studied doping/dedoping processes in EG transistors based on sol-gel synthesized WO3 and TiO2 thin films and ionic liquid or ion gel as the electrolyte. EIS measurements provided key insight on the interfacial capacitance of electrolyte (ionic liquid)/thin film (WO3 or TiO2), in turn permitting the calculation of the charge accumulated in the films. Our EG metal oxide transistors can be operated at gate-source biases as low as 1-1.5 V, have onset voltages of ca 0.5 V, and charge density of ca 1014-1015 charge carriers cm-2. The nanoIR technique, combining AFM imaging with chemical characterization by infrared spectroscopy resolved at the nanoscale, gives unprecedented insight on the evolution of the film morphology and chemical composition as a function of the advancement of the degree of doping.
1. Hong, K., et al., Printed, sub#8208;2V ZnO Electrolyte Gated Transistors and Inverters on Plastic. Advanced Materials, 2013. 25(25): p. 3413-3418.
2. Tarabella, G., et al., New opportunities for organic electronics and bioelectronics: ions in action. Chemical Science, 2013. 4(4): p. 1395-1409.
TT9: Synthesis amp; Structure II
Session Chairs
Wednesday PM, April 08, 2015
Marriott Marquis, Golden Gate Level, Ballroom B
4:30 AM - *TT9.01
Metal Oxide Nanoparticles: Building Blocks for Aerogels, Films and Composites
Markus Niederberger 1
1ETH Zurich Zurich Switzerland
Show AbstractMetal oxide nanoparticles are the ideal building blocks for the bottom-up fabrication of functional materials [1]. They offer an immense variety of interesting properties, which not only depend on the composition, but also on the crystal structure, the particle size and shape and on the surface chemistry. Accordingly, potential synthesis routes have to provide full control over all these parameters. For most applications, the nanoparticles have to be assembled and processed into useful geometries, architectures and bodies, and for this purpose, the surface properties of the nanoparticles have to be tailored carefully. The talk will cover many of these aspects. It will introduce the synthesis of a great variety of metal oxide nanocrystals by nonaqueous sol-gel chemistry [2], followed by discussing various strategies to fine-tune the surface chemistry, which is essential for the assembly of the nanoparticles into macroscopic aerogel monoliths, for their processing into films and for their incorporation into polymer matrices. Finally, selected applications in the field of gas sensing, photoelectrochemical water splitting, lithium ion batteries and luminescent nanocomposites will briefly be addressed.
[1] D. Koziej, A. Lauria, M. Niederberger, 25th Anniversary Article: Metal Oxide Particles in Materials Science: Addressing All Length Scales, Adv. Mater. 2014, 26, 235-257
[2] M. Niederberger, N. Pinna, Metal Oxide Nanoparticles in Organic Solvents, Springer-Verlag London Limited 2009
5:00 AM - TT9.02
Study on the Thickness Effect of cCpper Oxide Shell on Copper Nanoparticles in Flash Light Sintering Process
Gyunghwan Oh 1 Hyung-Jun Hwang 1 Hak-Sung Kim 1
1Hanyang Univ Seoul Korea (the Republic of)
Show AbstractPrinted electronics techniques present a low-cost solutions to the production of electronic devices. Currently, novel nanotechnology-based inks made with metals such as Au and Ag have excellent conductivity, stability and sintering efficiency. However, these noble metals are too expensive. For this reason, copper nanoinks have considerable attention as a low-cost alternative to silver or gold nanoinks for printed electronics. However, most copper nanoparticles are covered with an oxide shell and cannot be sintered by thermal sintering under ambient conditions.
For these reasons, we previously developed a flash light sintering method combined with poly (N-vinylpyrrolidone) (PVP) functionalization of copper nanoparticles. Flash light sintering method can instantly reduce the copper oxide shell and sinter copper nanoparticles at room temperature and under ambient condition in a few milliseconds without damaging the substrate. In addition, it is possible to sinter a large area of Cu nanofilm using flashes from a xenon lamp.
In this work, the thickness effect of copper oxide-shell on copper nanoparticles in the flash-light sintering process was investigated. The thickness of copper oxide-shell was measured using transmission electron microscopy (TEM) and the microstructures of the sintered Cu film were observed by scanning electron microscopy (SEM). Also, crystal phase analysis using x-ray diffraction was performed to study the crystal structure of the copper nanoparticles. To investigate in-situ reduction and sintering phenomena of the copper nanoparticles with respect to the copper oxide shell thickness, the sheet resistance and the temperature of the Cu film were measured using in-house temperature and resistance measuring system. From the study, it was found that the appropriate copper oxide shell on the copper nanoparticles exist for their effective sintering and finer necking formations among the copper nanoparticles.
5:15 AM - TT9.03
Nanocellulose-Assisted Nanostructuring of Porous Metal Oxide Thin Films
Alesja Ivanova 1 Ksenia Fominykh 1 Dina Fattakhova-Rohlfing 1 Jiri Rathousky 3 Bugra Eymer Kayaalp 1 Maria Fravventura 2 Patrick Zeller 1 Markus Doeblinger 1 Liana Movsesyan 1 Pirmin Ganter 1 Thomas Bein 1
1University of Munich (LMU) Munich Germany2Delft University of Technology Delft Netherlands3J. Herovsky Institute of Physical Chemistry Prague Czech Republic
Show AbstractTunable porosity of metal oxide thin films often is a key factor for the successful design of nanosystems in the field of photovoltaics, sensing and photocatalysis. We report on a novel biotemplating approach using nanocrystalline cellulose (NCC), offering broad perspectives for the efficient sol-gel synthesis of porous thin films. We have developed a synthesis strategy for generating highly porous anisotropic scaffolds with well-defined nanosized pores, arising from direct replication of shape-persistent cellulose nanocrystals extracted from cotton. Specifically, we will discuss the unique NCC templating ability for morphology tailoring of titania and iron oxide (hematite).
By varying the concentrations of titania precursor and cellulose nanocrystals in the precursor solution we are able to tune the surface area, pore size, pore anisotropy and dimensions of crystallites in the NCC templated titania networks.[1] Photocatalytic studies on NO conversion and degradation of 4-chlorophenol reveal the high activity of biotemplated porous titania films. Furthermore, we show that the addition of preformed titania crystalline nanoparticles to the NCC-assisted synthesis enhances the functionality of the biotemplated scaffolds, including their electronic conductivity and photocatalytic performance.
Moreover, we report the synthesis of porous hematite nanostructures via sol-gel transformations of molecular precursors in the confined space of self-organized cellulose nanocrystals (NCC). We found that the nature of the α-Fe2O3 porosity depends on the iron salt used as precursor and on the thermal treatment. A post-synthetic hydrothermal treatment of the NCC/iron salt composites strongly affects the crystal growth as well as the porous nanomorphology of the obtained hematite scaffolds. During the humidity treatment, the conversion of the molecular iron precursors proceeds via the formation of anisotropic iron oxyhydroxide species, which profoundly alters the morphology of the hematite networks obtained after calcination.
We demonstrate that the nanocellulose-based biotemplating approach enables the efficient fabrication of a variety of mesoporous crystalline metal oxide scaffolds with defined porous structure, and that it is particularly attractive for the processing of highly porous thin films on different substrates.
[1] Ivanova, A.; Fattakhova-Rohlfing, D.; Kayaalp, B. E.; Rathouský, J.; Bein, T. J. Am. Chem. Soc.2014, 136, 5930-5937.
5:30 AM - TT9.04
Chemical Solution Deposition of Transparent Conducting Oxides from Novel Metalorganic Precursors
Anjana Devi 1 Alexander Sadlo 1 Daniel Peeters 1 Huaizhi Zhu 1 Harish Parala 2
1Ruhr University Bochum Germany2Ruhr-University Bochum Bochum Germany
Show AbstractAlternative to vacuum based deposition techniques are sought after to develop functional materials in order to make the processing costs cheaper and make them viable for industrial scale up. In this context solution based processing of materials is very appealing and many research efforts have been applied to solution processing of metal oxides. In this context, the demands of materials processing in terms of low temperature deposition, control of homogeneity, morphology, orientation, composition etc has motivated inorganic synthetic chemists to develop new precursors chemistries. Among the various metal oxides, transparent conducting oxides (TCOs) have recently received significant attention for several applications, most importantly for many current optoelectronic devices due to their unique combination of electronic conductivity and transparency in the visible region. Herein we report on the synthesis and evaluation of new precursors for Zn, In and Ga for the formation of ZnO, Ga2O3 and In2O3 films and mixed oxides namely GaZnO, InZnO. The effect of solvent choice and viscosity on the film quality was investigated in detail. In addition UV light curing was performed for densification of the films, which enables low temperature processing and this could prevent the interface mixing with the substrate. The films were grown on different substrates the functional properties of the TCO&’s grown using the new precursors were investigated.
5:45 AM - TT9.05
Low Temperature Deposition of Functional Complex Oxides by Atomic Layer Deposition
Mariona Coll 1 Jaume Gazquez 1 Josep M. Montero Moreno 2 Ignasi Fina 3 Kornelius Nielsch 2 Xavier Obradors 1 Teresa Puig 1
1ICMAB-CSIC Bellaterra Spain2Univ of Hamburg Hamburg Germany3University of Warwick Coventry United Kingdom
Show AbstractALD is a very appealing chemical gas-phase deposition method to prepare functional metal oxides which is unique because the film growth proceeds through self-limiting surface reaction achieved through alternate pulsing of the precursors. Thus, it ensures excellent large-area uniformity, conformity, and enables simple and atomic layer control of film thickness and composition. ALD is usually performed at lower deposition temperatures (<3000C) than other vacuum deposition techniques such as PLD or CVD which guarantee low thermal budget and the possibility to use organic or biological substrates, though in many occasions higher temperature post-treatments have been used to obtain the required properties. Here we will discuss the remarkable advantages of using ALD to grow a wide variety of functional (complex) oxide, yet barely explored by ALD. Particularly, we include CeO2, very attractive for its use in catalysis, energy storage systems and gas sensing and here grown epitaxially at 300 0C [1]; the magnetic Co2FeO4 which is a metastable phase never stabilized with other standard methods [2]; and the multiferroic BiFeO3, which we demonstrate it can present ferroelectricity in a low crystalline structure[3]. We will show that taking advantage of the low deposition temperature and low kinetics we can generate different microstructural scenarios ranging from highly epitaxial films to nanosized crystals embedded in an amorphous matrix. Advanced x-ray diffraction, scanning transmission electron microscopy and x-ray photoelectron spectroscopy have been used to characterize the ALD films and nanostructures. Piezoelectric force microscopy and macroscopic magnetic measurements have been used to characterize the ferroelectric beahvior of BiFeO3, and the magnetic properties of Co2FeO4, respectively. This low cost and low temperature process is a major step forward to develop new metal-oxide functional materials with unique properties and shorten the paths to their use for industrial applications.
[1] M. Coll, J. Gazquez, A. Palau, M. Varela, et al., Chemistry of Materials2012, 24, 3732-3737.
[2] M. Coll, J. M. Montero Moreno, J. Gazquez, K. Nielsch, et al., Advanced Functional Materials2014, 24, 5368-5374.
[3] M. Coll, J. Gazquez, I. Fina, X. Obradors, T. Puig et al., in preparation 2014
TT6: Photoelectrochemistry
Session Chairs
Wednesday AM, April 08, 2015
Marriott Marquis, Golden Gate Level, Ballroom B
9:00 AM - TT6.01
Enhanced Water Oxidation at Thin Film WO3 Photo-Anodes in the Presence of Keggin-Type Polyoxometalates
Renata Solarska 1 Marta Sarnowska 1 Krzysztof Bienkowski 1 Jan Augustynski 1
1University of Warsaw Warsaw Poland
Show AbstractSluggish kinetics of oxygen evolution reaction induces large energy losses either in the “dark” electrolysis of water or in the photocatalylic water splitting. While in the former case, these kinetic limitations manifest themselves in significant overvoltages, in the photo-electrochemical oxidation of water at n-type semiconducting photo-anodes they result in charge recombination losses, becoming visible through a positive shift of the photocurrent onset potential and a slow subsequent rise of the photocurrent. Although the introduction of nanostructured semiconductor photo-electrodes contributes generally to decrease the bulk charge recombination by reducing the minority charge carriers pathway to reach the solution species, at the same time, it tends to enhance the recombination of charges at the surface due to the increased contact area with the electrolyte. Common approach to reduce recombination losses involves deposition at the photo-electrode surface of electrocatalysts.1 Unlike BiVO4 and α-Fe2O3 photomaterials that preferentially operate in neutral, respectively, alkaline electrolytes, another visible-light active photo-anode WO3 is only stable in acidic solutions of pH lower than 4. There are only few, precious metal (Pt, IrO2), oxygen-evolution electrocatalysts that can be used under such conditions. In this presentation, we describe a large increase of water photo-oxidation currents at WO3 photo-anodes, caused by addition to the acidic electrolyte of polyoxometalates (POMs), such as silicotungstate (SiW12O404-) or phosphomolybdate (PMoO403-) Keggin type ions. These observations are of particular interest in view of a series of recent reports on a tetra-ruthenium silico-tungstate polyoxometalate-complex acting as efficient molecular O2 evolution catalysts in homogeneous photon-driven water-oxidation system.2
1.F.E. Osterloh, Chem. Soc. Rev. 2013, 42, 2294-2320.
2. A. Sartorel, M. Bronchio, S. Campagna, F. Scandola, Chem. Soc .Rev. 2013, 42, 2262-2280.
TT10: Poster Session: Functional Properties
Session Chairs
Wednesday PM, April 08, 2015
Marriott Marquis, Yerba Buena Level, Salon 7/8/9
9:00 AM - TT10.01
Enhancement of Photovoltaic Performance Using Modified SnO2 as Photoanode for Dye Sensitized Solar Cells
Kaustubh Basu 1 Daniele Benetti 1 Haiguang Zhao 1 2 Alberto Vomiero 2 1 Fiorenzo Vetrone 1 Federico Rosei 1 3
1Univ du Quebec Varennes Canada2CNR IDASC SENSOR Lab, Universita degli Studi di Brescia Brescia Italy3McGill University Montreal Canada
Show AbstractOver the last two decades, Dye Sensitized Solar Cell (DSSC) has been emerging as an alternative to conventional silicon photovoltaic devices because of its low cost, abundant raw material, facile fabrication process, efficient photovoltaic performance and stability [1,2].
For becoming a potential candidate to replace traditional solar cells, DSSC has to undergo a lot of challenges in enhancing the device performance, one of the key role, for which, is being played by the photoanode material.TiO2 (Titanium dioxide) nanoparticle #64257;lms have been used widely for DSSC since the electron injection rates from the excited dye into the TiO2 nanoparticles are ultra-fast, in the order of femto seconds, but due to low electron mobility, the electron recombination rates are high [3].
SnO2 (Tin dioxide) on the other hand is a promising oxide material because of its higher electronic mobility and large band gap (3.8 eV). Mobility reported in both single crystal SnO2 [4] as well as nanostructures [5] are orders of magnitude higher than in ita competitor TiO2 [3]. Furthermore, SnO2 has a low sensitivity to UV degradation due to its larger band gap, and hence has better long term stability [6].
We prepare photoanodes made from self-prepared paste using commercial nanoparticles of Average Particle Size~20nm.We investigate the performance of DSSC fabricated with pre-treatment with TiCl4 and TiOx precursor solution separately to form a blocking layer between the FTO layer and electrolyte to inhibit electron recombination from FTO to the elctrolyte.We also post treat our SnO2 photoanodes with both TiCl4 and TiOx precursor solution separately to passivate the SnO2 anode layer with titania layer and reduce recombination from the photoanode to the electrolyte.The pre-treatment and post treatment of SnO2 as a photoanode can improve the Voc, which is necessary since the conduction band minima of SnO2 is located below that of TiO2 and in turn decides a low Voc in SnO2 anode DSSC. We furthermore study the performance of the cells with the introduction of graphene and Multi Walled Carbon NanoTubes (MWCNT) which provide a directed path for electron transport within the photoanode. The crystallinity of the nanoparticles, pre and post treatment of photoanode and the presence of graphene and MWCNT influence the functional properties of the solar cells, and call for optimization of the photoanode to maximize the photo conversion efficiency.
References
[1] M. Gratzel, Nature, 2001, 414, 338.
[2] A. Hagfeldt, G. Boschloo, L. C. Sun, L. Kloo and H. Pettersson,Chem. Rev., 2010, 110, 6595.
[3] E. Hendry, M. Koeberg, B. O&’Regan, M. Bonn,Nano Lett.2006,6, 755
[4] Z. M. Jarzebski, J. P. Marton, J. Electrochem. Soc. 1976, 123, 299C.
[5] M. S. Arnold, P. Avouris, Z. W. Pan, Z. L. Wang, J. Phys. Chem.B 2003, 107, 659.
[6] N. G. Park, M. G. Kang, K. S. Ryu, K. M. Kim, S. H. Chang, J. Photochem. Photobiol. A 2004, 161, 105.
9:00 AM - TT10.02
Photoactivated Gas Chromatograph Detector Base on ZnO Nanostructures Array for Diverse Chemical Compounds Sensings at Room Temperature
Li ko Yeh 3 Jie-Chun Luo 1 Min-Chun Chen 2 Chih-Hung Wu 2 Jian-Zhang Chen 2 I-Chun Cheng 3 Cheng-Che Hsu 4 Wei-Cheng Tian 1 3
1National Taiwan University Taipei Taiwan2National Taiwan University Taipei Taiwan3National Taiwan University Taipei Taiwan4National Taiwan University Taipei Taiwan
Show AbstractIn this letter, we report a photoactivated gas detector operated at room temperature is microfabricated with ZnO nanostructures array synthesized by the hydrothermal method. ZnO nanostructures array is demonstrated as an effective interface layer for photoactivated gas detector. Past ultraviolet (UV) illumination, water-soluble compounds are dissociated in the thin water film on the ZnO surface and are detected. However, less water-soluble compounds were not detected due to these interface water layers. Taking advantage of the favorable geometry nanostructures with the increasing of the photoinduced oxygen ions by UV illumination, we reported that less water-soluble organic compounds (e.g., ethyl acetate) are detected with the photoactivated gas detector. Giant sensitivity enhancement of the sensors increases the absorption of gas due to the nanostructures high surface area/volume ratio. The proposed detector greatly extends the detection range of metal-oxide-based sensors for gas chromatographs applications.
9:00 AM - TT10.03
Label Free Prostate-Specific Antigen Detection Using Electrolyte-Insulator-Semiconductor Device
Narendra Kumar 1 2 Satyendra Kumar 3 Jitendra Kumar 1 Siddhartha Panda 1 2 3
1Indian Institute of Technology, Kanpur Kanpur India2Indian Institute of Technology, Kanpur Kanpur India3Indian Institute of Technology, Kanpur Kanpur India
Show AbstractThe field effect and impedimetric based immunosensors are the focus of recent research due to their low cost, ease of miniaturization, point of care diagnosis, and label free detection. Efforts have been made to develop field effect (ISFETs) based immunosensors for prostate-specific antigen (PSA) detection by measuring the change in threshold voltage and channel conductance. Electrolyte-insulator-semiconductor (EIS) device, a gate structure of ion sensitive field effect transistor (ISFET), is easy to fabricate and can be used to study the detection mechanisms by measuring the change in the flatband voltage and impedance. The detection sensitivity and specificity are crucial parameters for label free immunosensors. The sensitivity of such devices depends on the change in surface potential of electrolyte/insulator interface upon attachment of biomolecules. Prostate-specific antigen (PSA) possesses the isoelectric point of ~ 6.9, which becomes negatively charged at physiological pH 7.4. In this work, the capacitance-voltage (C-V) and impedance based methods were employed. C-V and impedance measurements were carried out before and after antigen binding in 10mM phosphate buffer saline (PBS) having pH 7.4. The antigen detection was done with free-PSA concentration ranging from 1pg/ml to 10ng/ml. A maximum of 45 mV reference voltage shift was observed at PSA concentration of 10ng/ml and 34mV/pH for 1pg/ml. For detection of different concentrations unbinding of PSA was done by treating the device with 100mM Glycine-HCl for 5 minutes. Reusability of the immunosensor has been tested using a PSA concentration of 10ng/ml and a decrease in voltage shift from 45 mV to 32 mV was seen after three cycles. The results obtained with C-V measurements were well supported when measured using the impedance method. The impedance change was associated with a change in the depletion width of the semiconductor with varying surface charge at the electrolyte/insulator interface. In order to enhance the sensitivity of PSA detection, a nanotextured dielectric obtained by electrostatic attachment of silica nanoparticles (70nm diameter) on SiO2/Si surface was used in the EIS device.
9:00 AM - TT10.04
In Situ Electrical Characterization of MnO2 Nanowires
Mya Le 1 Rajen Kumar Dutta 1 Wenbo Yan 1 Reginald Penner 1
1University of California, Irvine Irvine United States
Show AbstractManganese oxide, MnO2, excels as a hybrid electrical energy storage material that can intercalate lithium ions as a secondary battery electrode and instantaneously store energy as an electrical double layer capacitor, yet the lithium ion doping effect into this promising cathode material has not been well studied. The focus of this study is to further investigate the structural and electrochemical changes on delta-phase MnO2 nanowires through in situ conductivity measurements performed during the lithium ion insertion process. With the lithographically patterned nanowire electrodeposition (LPNE) method, which provides a full control of nanowire dimensions, and creates a definite number of nanowires in each array, a detailed study of MnO2 conductivity in nanoscale as well as the electrochemical and structural changes is able to be accomplished. In this work, the effects of the lithium ion insertion process are studied on arrays of 200 MnO2 nanowires that are 40-60 nm in height, 275-870 nm in width and span a 10 mm gap between two Au contacts. After using chronoamperometry to dope lithium ion at different potentials for 60 seconds in 1M LiClO4 - ultra dry acetonitrile solution, resistances were measured between 2 contacts using cyclic voltammetry. As the lithium ion doping potential decreases to more negative voltages, the resistance of each MnO2 nanowire array decreases. The change in resistance also depends on the width of nanowires. After doping at -0.8 V vs. MSE, the resistance decreases by 30% for a 870 nm wide nanowire array and 88% for a 275 nm wide nanowire array. TEM studies show that the difference in porosity between the different width wires contributes to this variation in resistance response.
9:00 AM - TT10.05
Adsorption Properties of Linear and Cyclic Alkanes for Selective Separations: Neutron Scattering, Thermodynamics, and Modeling Studies
Nicholas A Strange 1 Tom Arnold 3 David Fernandez Canoto 6 Tilo Seydel 5 Mark Telling 4 John Z. Larese 2
1University of Tennessee Knoxville United States2Univ of Tennessee Knoxville United States3Diamond Light Source Los Angeles United States4ISIS Didcot United Kingdom5Institut Laue Langevin Grenoble France6Oak Ridge National Laboratory Oak Ridge United States
Show AbstractMolecular adsorption at interfaces is of fundamental and practical importance. Both the symmetry and composition of the surface play a significant role in determining the relative importance of the molecule-molecule (MM) versus molecule-substrate (MS) interactions. The petroleum industry has a substantial interest in improving selective separation efficiency for various hydrocarbons (linear, branched, and cyclic). As the carbon number in the homologous series of alkanes increases past the rigid molecules group (~C1 to C6), the interaction becomes significant e.g. in bio-materials such as fatty acids present in lipid bilayers of living organisms. Understanding the role thermodynamics, structure, and diffusion play in adsorption on surfaces with varying surface potentials and symmetries can ultimately provide us with a deeper understanding of the interaction potential and how it might contribute to competitive adsorption and selectivity. Ultimately, these studies aims to provide a foundation of fundamental studies in selective alkane adsorption common to more complex metal organic framework (MOF) (Long et al., Chem. Mat. 2014, 26, 323; Science 335, 2012) and porphyrin (Ma et al., Sci. Rep. 3, 2013) systems. In this presentation thermodynamic, computer modeling, neutron spectroscopy, and synchrotron diffraction data are presented to illustrate the interactions of small linear and cyclic alkanes on MgO, graphite, and hexagonal boron nitride solid surfaces. By expanding this work to include competitive adsorption of linear alkanes on these surfaces the potential for use of these pure or doped materials for gas separation is explored.
9:00 AM - TT10.06
All-Metal-Oxide Nanostructured Tandem Cells for Overall Solar Water Splitting
Alireza Kargar 1 Jeanne Khamwannah 2 Cihan Kuru 2 Sungho Jin 2
1University of California-San Diego La Jolla United States2University of California-San Diego La Jolla United States
Show AbstractPhotoelectrochemical (PEC) hydrogen production through solar water splitting is one of the promising clean routes to renewable energy sources to minimize the dependency on polluting energy sources. Achieving a full PEC system/device for overall solar water splitting requires photocathodes and photoanodes with low onset potentials and high photoactivity at zero bias. On the other hand, low-cost materials, facile and cost-effective fabrication techniques are required for practical solar hydrogen generation at large scales. Furthermore, using neutral or near-neutral pH water is highly desirable as the natural water resources such as seawater are usually in a neutral condition and employing a neutral electrolyte prevents the undesirable use of strong acids or bases, which can lead to environmental and handling issues. In this talk, we show solution/hydrothermal growth of metal oxide nanostructured heterojunctions grown on high-surface-area mesh substrates for both photocathode and photoanode materials. The surface-modified Cu2O nanorod mesh photocathodes with a low onset potential and a high photocurrent at zero bias were coupled with the surface-modified TiO2 nanotube/nanowire mesh photoanodes with a low onset potential to achieve overall spontaneous water splitting. The overall water splitting of fabricated tandem cells was investigated in neutral and near-neutral solutions for achieving highest solar-to-hydrogen (STH) efficiency and electrode stability. The fabricated tandem cells show a promising model for cheap and scalable solar hydrogen generation.
9:00 AM - TT10.07
Efficient Spin-to-Charge Conversion at LaAlO3/SrTiO3 Interfaces
Edouard Lesne 1 2 Juan Carlos Rojas-Sanchez 1 2 Simon Oyarzun 3 4 Fu Yu 3 4 Laurent Vila 3 4 Mathieu Jamet 3 4 Gerard Desfonds 3 4 Serge Gambarelli 3 4 Nicolas Reyren 1 2 Eric Jacquet 1 2 Vincent Cros 1 2 Jean-Marie George 1 2 Agnes Barthelemy 1 2 Albert Fert 1 2 Henri Jaffres 1 2 5 Manuel Bibes 1 2
1Unite Mixte de Physique CNRS/Thales Palaiseau France2Universite Paris-Sud Orsay France3Institut Nanosciences et Cryogenie, CEA Grenoble France4Universite Joseph Fourier Grenoble France5Peter Gruuml;nberg Institute Julich Germany
Show AbstractThe Rashba effect is a manifestation of spin-orbit interaction (SOI) in solids, where the spin degeneracy associated with the spatial inversion symmetry is lifted due to a symmetry-breaking electric #64257;eld normal to the heterointerface [1]. Eldelstein was the first to realize that in a Rashba two-dimensional electron system, the flow of a charge current is accompanied by a non-zero spin accumulation [2] coming from uncompensated spin textured Fermi surfaces. Recently, the converse effect, corresponding to a spin-to-charge conversion through SOI (inverse Edelstein effect or IEE), was demonstrated at Ag/Bi(111) interfaces [3].
Here we report the observation of a giant IEE in NiFe/LaAlO3/SrTiO3 heterostructures, with spin-to-charge conversion one order of magnitude more efficient than in previous systems. We interpret the results in terms of the large SOI in LaAlO3/SrTiO3, discuss the electrostatic modulation of the IEE by a back gate voltage and give perspectives for oxide-based spintronics without ferromagnetism.
[1] Y.A. Bychkov & E.I. Rashba, J. Phys. C17, 6039 (1984).
[2] V.M. Edelstein, Solid State Commun. 73, 233 (1990).
[3] J.C. Rojas-Sánchez et al., Nat. Commun. 4, 2944 (2013).
9:00 AM - TT10.08
Facile Fabrication of Highly Porous Nickel Oxide and Its Application in Amperometric Urea Biosensor
Hien Mai-Duy 1 Gun Yong Sung 2 Hyojong Yoo 1
1Hallym University Chuncheon-si Korea (the Republic of)2Hallym University Chuncheon-si Korea (the Republic of)
Show AbstractNickel oxide (NiO) has been reported to be a promising candidate for the development of biosensors due to its high electrocatalytic properties, biocompatibility, nontoxicity, and fast electron shuttling. More essentially, the high isoelectric point (IEP=10.7) of NiO greatly enhance the immobilization of biomolecules possessing low IEP via electrostatic interaction. Therefore NiO thin films and their composites have been efficiently used for detection of various biomolecules including hemoglobin, glucose, metformin, uric acid and sulfide in desired test specimens.[1]
Here, we present a facile method for the fabrication of Ni-based coordination polymer nanoparticles using modulators (e.g. acetic acid, benzoic acid, or pyridine) to control their size. The resultant coordination polymer nanoparticles were then calcinated at a controlled temperature to produce highly porous nickel oxide (NiO) nanoparticle. The SEM and TEM show NiO nanoparticles with regular shape while powder X-ray diffraction (PXRD) data shows a high degree of crystallinity. Moreover, BET data of nickel oxide nanoparticles exhibit relatively high specific surface area (112 m2/g) with well-defined pore size (10 nm), showing great potentials in a variety of applications. We successfully deposited NiO nanoparticles onto an indium tin oxide (ITO) serving as an efficient matrix for the immobilization of urease (Ur) for urea detection. The prepared bioelectrode (Ur/NiO/ITO/glass) was employed for urea sensing using cyclic voltammetry (CV), and showed a high sensitivity and linear relationship between current and urea concentrations with short response time. We also present how the sensitivity and precision of urea biosensors can be affected by interferants (e.g. glucose, uric acid, cholesterol, lactic acid, ascorbic acid) simultaneously existing in human serum.
[1] Gupta, V. et. al. Biosensors and Bioelectronics2013, 41, 110.
9:00 AM - TT10.09
Oxygen Vacancies in Tb-CeO2 Mixed Oxides for Air Separation Applications
Anita D'Angelo 1 Amelia Liu 2 Alan Chaffee 1
1Monash University Clayton Australia2Monash University Clayton Australia
Show AbstractOxygen absorbents are one promising alternative to conventional cryogenic air separation as, from an environmental perspective, they require a lower energy penalty. Cerium based oxides can be utilised due to their oxygen deficient structure and ability to reversibly uptake oxygen without structural loss. The uptake of these materials can be further enhanced through the addition of the rare earths cations and the creation and maximisation of stable surface vacant sites. In this paper we discuss the effect of terbium (Tb) on the concentration of oxygen vacancies and their association with oxygen uptake for air separation applications.
A series of Tb-CeO2 mixed oxides were synthesised by precipitation with powder x-ray diffraction (PXRD) indicating the formation of a solid solution. Using transmission electron microscopy (TEM) particles were found to have a cubic morphology. Scanning transmission electron microscopy-energy dispersive X-ray (STEM-EDX) analysis provided a deeper insight into the structure of these synthesised particles revealing the uneven distribution of Tb. Furthermore for these materials to function as oxygen absorbents they need to possess a high number of oxygen vacancies of which have been linked with high oxygen storage capacities [1]. Through Raman and electron energy loss (EELS) spectroscopy we determined the change in vacancy concentration as a function of Tb content and, in gas switching experiments conducted using thermal gravimetric (TG) analysis, correlated this to oxygen uptake.
The reversible oxygen adsorption-desorption behaviour of these Tb-CeO2 based materials makes them interesting as prospective alternatives for air separation.
[1] E. Mamontov, T. Egami, R. Brezny, M. Koranne and S. Tyagi, The Journal of Physical Chemistry B, 2000, 104, 11110-11116.
9:00 AM - TT10.10
Comparison of the Electrical Performance of Three Terminal Zinc Oxide Based Sensor Device on Different Flexible Substrates
Rujuta Deepak Munje 2 Sriram Muthukumar 1 Anjan Panneer Selvam 2 Shalini Prasad 2
1University of Texas at Dallas Richardson United States2University of Texas at Dallas Richardson United States
Show AbstractWearable Biosensors are gaining significant interest in recent times and they promise to be one of the key developments in the area of wearable health technology. Wearable biosensors have versatile applicability ranging from healthcare applications to sports, military applications. Rapid growth of these devices is on the way which will help in providing performance capabilities such as ease of use, low cost and collecting real time information. Developments in wearable health technology and WBS have evolved to the point that they can be considered ready for all clinical purposes.
Detection of stress biomarkers from sweat through a metabolic panel is one such focus area. However, the ultrasensitive and label-free detection of the target metabolites through electrical transduction using flexible substrates has been challenging. A novel three terminal device was developed by using nano-textured semiconductor zinc oxide (ZnO) for the detection of stress biomarkers in human sweat. Understanding the substrate properties for biosensor contributes to the stability of electrical bio-immunoassay which helps in improving sensor performance. Hence, the performance of the sensor in terms of sensitivity, limit of detection and dynamic range was tested on two types of porous flexible polymer substrates having unique morphology and hydrophobicity. The effect of the pore dimensions, porosity and pore density on sensor performance was studied. Additionally, the influence of substrate material and its surface charge on the detection capabilities of sensor was studied. In order to understand the influence of different substrates electrically, COMSOL multiphysics trade; was used to model the pore structure of flexible substrate along with the three terminal and its influence on device characteristics. The sensor was tested for detecting cortisol from sweat using semiconductor characterization methods and electrical spectroscopic methods. The sensor was tested for the detection of cortisol in synthetic sweat for 1pg/mL to100 ng/mL which includes the physiologically relevant range. Calibration of the sensor was achieved for each type of substrate by fixing the dynamic range in terms of modulated current for the corresponding variations in antigen concentrations.
9:00 AM - TT10.11
Characterization of Stabilized Zirconia Based Electrolyte Materials for Intermediate Temperature-Hybrid Fuel Cell Applications
Waqas Hassan Tanveer 1 Sanghoon Ji 1 Wonjong Yu 1 Gu Young Cho 1 Suk Won Cha 2
1Seoul National University Seoul Korea (the Republic of)2Seoul National University Seoul Korea (the Republic of)
Show AbstractFuel cells that employ oxygen-ion conducting electrolyte materials offer several advantages over polymer electrolyte membrane fuel cells, including improved tolerance to fuel impurities. However they offer several challenges when it comes to development of suitable materials for various fuel cell components, due to the requirement of high operating temperatures inherent to successful operation of these oxygen ion conductors. Intermediate temperature solid oxide fuel cells are currently being developed to relegate high-temperature material requirements, which will extend useful lifetime, improve durability and reduce cost, while maintaining good fuel flexibility. Stabilized zirconia solid electrolyte materials exhibit sufficiently high oxygen-ion conductivity and consequently maintain acceptably low ohmic losses at intermediate temperatures during operation. Yttria and Scandia materials to stabilize zirconia are analyzed and compared in this paper. The main focus is on the conductivity, but other issues such as compatibility with electrode, fuel flexibility for both materials, are also discussed.
9:00 AM - TT10.12
Oxidized Activated Carbon/Fe3O4 Composites for Supercapacitor Electrode
Jooheon Kim 1 Ilkeun Oh 1 Myeongjin Kim 1
1Chung-Ang University Seoul Korea (the Republic of)
Show AbstractOxidized activated carbon/Fe3O4 (OAC/Fe3O4) composites for supercapacitor electrodes were synthesized by a reduction method. Poly(vinylpyrrolidone) was added as a dispersing agent for homogeneous deposition of Fe3O4 on OAC. The obtained products were identified as AC /Fe3O4 by X-ray diffraction (XRD) and X-ray photoelectron spectroscopy (XPS) analysis. Morphological characterization of AC/Fe3O4 was carried out by field emission scanning electron microscopy (FE-SEM); the results clearly showed the formation of Fe3O4 nanoparticles about 30 nm in diameter on OAC. Moreover, by using N2 adsorption/desorption isotherm analysis, we confirmed that surface areas and pore volumes decreased with increasing Fe3O4 content. We also carried out electrochemical characterization of OAC and OAC/Fe3O4 composites. Remarkably, we found that the value of specific capacitance increased significantly from 76.5 F/g of raw OAC to 172.2 F/g of OAC/Fe3O4 composites at 10 mV/s of scan rate. This result can be ascribed to a synergistic effect of the combination of electrical double-layer capacitance and pseudocapacitance properties. This research represents a valuable contribution to the application of supercapacitor electrodes in regard to cost effectiveness and simple fabrication.
9:00 AM - TT10.13
Nanoscale Hydrophobic Coating by Atomic Layer Deposition Rare Earth Oxides
Il-Kwon Oh 1 Kangsik Kim 2 Zonghoon Lee 2 Kyung Yong Ko 1 Su Jeong Lee 3 Jae-Min Myoung 3 Clement Lansalot-Matras 4 Wontae Noh 4 Hyungjun Kim 1 Han-Bo-Ram Lee 5
1School of Electrical and Electronic Engineering, Yonsei Univ Seoul Korea (the Republic of)2Ulsan National Institute of Science and Technology Ulsan Korea (the Republic of)3Yonsei University Seoul Korea (the Republic of)4Air Liquide Laboratories Korea Seoul Korea (the Republic of)5Incheon National University Incheon Korea (the Republic of)
Show AbstractHydrophobic coatings are widely used in various applications from industrial components to housewares, such as in condenser parts for generators, gas turbines, automobile parts, oil/water separators in oil purifiers, cooking wares, optical windows and eyeglasses. In many applications, organic material coatings have been used for hydrophobic coating due to their low material cost, simple coating process, and chemical stability. However, organic coatings have several disadvantages in practical applications, chiefly their inherently poor mechanical durability and thermal stability. Recently, inorganic rare earth oxide (REO) materials showed thermally stable hydrophobicity up to 1000 °C. Thin film deposition processes for hydrophobic coating have many advantages over bulk shape for practical applications that require complex micro- and nanoscale structures. However, there is no report on thin film deposition process of hydrophobic REOs except sputtering.
Atomic layer deposition (ALD) is suitable for this purpose because it provides excellent conformality and precise thickness control at the atomic scale. In this work, we developed Y2O3, La2O3, CeO2, Dy2O3 and Er2O3 ALD processes by using new rare earth precursors and explored their hydrophobic properties. The precursors for each REOs ALD show typical ALD growth characteristics with no nucleation incubation, indicating that they are suitable ALD precursors. All the ALD-grown REOs show high hydrophobicity. ALD Er2O3, Y2O3, La2O3, Dy2O3 and CeO2 showed the water contact angles of 100 °, 102 °, 106 °, 108 ° and 97 °, respectively, which were comparable with previous results fabricated by bulk material processes. After annealing at 500 °C in air for 2 h, the hydrophobicity of all samples is reduced and the reductions were different. An increase in hydroxyl groups on the surface, which changes surface properties to hydrophilic, was detected by X-ray photoelectron spectroscopy (XPS) and fourier transform infrared spectroscopy (FT-IR) analysis. The generations of hydroxyl groups and different reduction of hydrophobicity in REOs were explained with the hygroscopy of REOs. In addition, the fabrication of a superhydrophobic surface by depositing highly conformal ALD REO films on 3D Si nanowire nanostructures was demonstrated. The Si NWs conformally coated with ALD Y2O3 showed very high water contact angle as high as 158°. The ALD REOs can be extended to many applications requiring uniform and conformal hydrophobic surfaces.
9:00 AM - TT10.14
Optical and Photoluminescence Properties of Europium and Terbium Doped Zinc Oxide Nanowalls
Jung-Soo Kang 1 Yong-Kwang Jeong 2 A-Ri Ham 2 Jun-Gill Kang 2 Joseph Palathinkal Thomas 1 Liyan Zhao 1 Kam Tong Leung 1
1University of Waterloo Waterloo Canada2Chungnam National University Daejeon Korea (the Republic of)
Show AbstractEmbedding rare earth metal (REM) ions into a host material such as semiconductors and transparent conducting oxides has been extensively studied to improve performance in optoelectrical applications. Zinc oxide (ZnO) is one of most studied transparent conducting oxides and is a good candidate to host REM because of its wide direct energy band gap of 3.37 eV, good thermal and chemical stability, non-toxicity and environmental friendliness. In this work, ZnO nanowall structures doped with REM (Eu, Tb) have been achieved on Indium Tin Oxide coated glass by a facile electrochemical deposition method. The REM-doped ZnO nanowalls were found to become thicker and stacked upon REM doping. X-ray photoemission spectroscopy with depth profiling revealed that Zn(OH)2 was more dominant than ZnO near the surface, and it confirmed that REM(III) was uniformly distributed in the ZnO-Zn(OH)2 core-shell structure. We also measured the photoluminescence (PL) spectra of as-grown Tb-doped ZnO Nanowalls and heat-treated Eu-doped ZnO nanowalls. For Tb-doped ZnO nanowalls, plasmonic effect by metallic nanoparticles was investigated. For Eu-doped ZnO, our PL results led us to propose a unique cascade energy transfer model between ZnO, 1,10-Phenanthroline and Eu3+ ion.
9:00 AM - TT10.15
Resistive Switching of Individual, Chemically Synthesized TiO2 Nanoparticles
Dirk Oliver Schmidt 1 4 Hehe Zhang 2 4 Camilla La Torre 3 4 Michael Noyong 1 4 Susanne Hoffmann-Eifert 2 4 Rainer Waser 2 3 4 Ulrich Simon 1 4
1RWTH Aachen University Aachen Germany2Forschungszentrum Juelich GmbH Juelich Germany3RWTH Aachen University Aachen Germany4JARA - Fundamentals of Future Information Technologies Juelich Aachen Germany
Show AbstractRedox-based resistive random-access memory (ReRAM) is considered promising for the next generation of non-volatile storage devices. While current research concentrates on thin films deposited from the gas phase and sandwiched between two electrodes, here we follow a ‘bottom-up&’ approach investigating the resistive switching of individual TiO2 nanoparticles (NPs), obtained by wet chemical synthesis.
We synthesized spherical, amorphous TiOx NPs with a size of about 300 to 400 nm by sol-gel synthesis. To determine the influence of crystallinity and annealing atmosphere on the resistive switching, one batch of amorphous NPs was divided into different parts and calcined or vacuum annealed, respectively. We electrically characterized these NPs by means of a nanomanipulator system in situ in a scanning electron microscope, where the NPs were deposited on a Pt/Ir bottom electrode and individual NPs were addressed with a Pt/Ir probe as top electrode.
For vacuum annealed crystalline TiO2 NPs we report I-V curves exhibiting forming-free bipolar (BS) as well as complementary resistive switching (CS). In contrast, I-V curves of amorphous NPs and calcined NPs showed no I-V hysteresis within the studied voltage and current range. We explain this by the formation of a core-shell structure for the vacuum annealed TiO2 NPs, with a re-oxidized, less conductive outer shell (TiO2) and a higher conductive inner core (TiO2-x). Based on the core-shell NP structure we propose possible switching scenarios for the BS and CS characteristics.
The behavior of individual NPs was compared with thin films obtained from annealing of 31 nm thick amorphous TiOx thin films grown by atomic layer deposition on a Pt/Ir surface. Similar to NPs, I-V curves of amorphous films and of the calcined TiO2 films did not show a hysteretic behavior in the given voltage and current regime. In contrast, the vacuum annealed TiO2-xshy; thin film showed forming-free BS-type behavior in a high yield measured with the nanomanipulator system at different positions on the film. Hence, for comparably treated thin films and NPs similar resistive switching behavior was observed. The thin films could be described by cells of Pt/ TiO2-x/ TiO2/tip showing BS-type switching while for the NPs showing CS-type behavior double cells of Pt/ TiO2/TiO2-x/ TiO2/tip were proposed.
In summary, we demonstrate the resistive switching of individual TiO2 NPs and compare our results with a TiO2 thin film.
9:00 AM - TT10.16
Synthesis and Characterization of Li3MnO4 Obtained from Freeze Dried (FD) Precursors for Li-ion Batteries
Yuri Surace 1 Mario Simoes 1 Lassi Karvonen 1 Songhak Yoon 1 Simone Pokrant 1 Corsin Battaglia 1 Anke Weidenkaff 2
1EMPA - Swiss Federal Laboratories for Material Science Dubendorf Switzerland2Univ of Stuttgart Stuttgart Germany
Show AbstractLi-ion rechargeable batteries are becoming more and more important in people&’s lives since they are one of the most popular power sources for portable applications. The development of electrochemical energy storage devices with high energy and high power densities is one of the major challenges for scientists all over the world. At present, cathode materials are a key limitation for the energy density delivered by Li-ion batteries.
Cathode materials typically contain a transition metal ion, whose change in oxidation state allows reversible lithium intercalation/extraction in the structure. Manganese is a transition metal widely used in battery materials due to its multiple oxidation states, low toxicity, low cost and high availability.
In 2007, Saint et al. [1] proposed the possibility to explore multiple manganese oxidation states in compounds containing oxyanions (MnO4)n- as Li3MnO4. In fact Li3MnO4 is a promising cathode material. Theoretical calculations show a total capacity of 698Ah/kg for the cycling of four Li equivalents. In reality, low electronic conductivity and structure instability limit the capacity to 200Ah/kg and the battery stability to few cycles.
In our work, we developed a novel synthesis route based on a freeze drying (FD) process to simplify the synthesis and improve the electrochemical properties of α-Li3MnO4. The material prepared by the new FD method was characterised by XRD, TGA, SEM, TEM, XPS and electrochemical techniques.
Comparing to the materials obtained by standard solid state reaction (SS- Li3MnO4), the FD product has a smaller average crystallite size (ca. 30 nm vs ca. 140 nm) and a lower degree of agglomeration allowing an easier and faster lithium intercalation. FD-Li3MnO4 allows obtaining capacities of 40 Ah/kg higher at all investigated current rates in comparison to SS- Li3MnO4.
This work was carried out under the framework of the FAMSADI research project, on the scope of the Swiss Competence Centre Energy and Mobility (CCEM).
[1] J.A. Saint, M.M. Doeff, J. Reed, J. Power Sources, 172 (2007) 189-197.
9:00 AM - TT10.17
Activation of Nano-Ca2MnO4 for Electrochemical Lithium Intercalation
Yuri Surace 1 Mario Simoes 1 Lassi Karvonen 1 Simone Pokrant 1 Corsin Battaglia 1 Anke Weidenkaff 2
1EMPA - Swiss Federal Laboratories for Material Science Dubendorf Switzerland2Univ of Stuttgart Stuttgart Germany
Show AbstractLi-ion batteries are one of the most commercialized solutions for electrochemical energy storage but until now their use is limited to low power applications. Better performances are needed to go beyond the state-of-the-art in traditional applications (i.e. small electronic devices) and to open up the way to a broader range of applications. The improvement of the cathode is an important challenge in current Li-ion battery research.
Ruddlesden-Popper calcium manganate Ca2MnO4 is an assembly of alternating rock salt (CaO) layers and perovskite (CaMnO3) blocks[1]. This layered material is very interesting because Ca and Mn are non-toxic and readily available elements. Also Mn is an easily reducible/oxidizable element, a key requirement for cathode materials. However, the extremely high resistivity[2] (>20MOmega;bull;cm) of Ca2MnO4 limits its use as potential battery material.
To minimize the effects of the high resistivity of the material and to create a good connection network between EAM, carbon and binder, nano-sized Ca2MnO4 was synthesized by a careful control of the synthesis conditions. The material was then activated by a method already designed for micro-sized Ca2MnO4 [3]. This treatment consists in a controlled calcium extraction using sulphuric acid giving raise to amorphous hydrated manganese oxide on the surface of the particles. A bi-functional crystalline-amorphous structure composed by a Ca2MnO4 bulk phase for stability and an amorphous MnO2middot;xH2O surface for lithium intercalation was created.
Nano-Ca2MnO4 and the acid treated compounds were characterized by XRD, SEM/EDX, TEM/EDS and electrochemical techniques. The results were compared with those of the micro-Ca2MnO4.
The specific charge measured upon Li+ intercalation depends on the amount of calcium extracted. Approximately 55Ah/kg are delivered for each 25at% Ca extracted. The smaller particle size (around 50-100nm) allows obtaining higher capacity in comparison to micro-Ca2MnO4. Moreover a stabilizing effect of the crystalline inner part of the particles was observed. It leads to substantially increased capacity retention by a factor of 5, in comparison to pure amorphous MnO2middot;xH2O.
This work was carried out under the framework of the FAMSADI research project, on the scope of the Swiss Competence Centre Energy and Mobility (CCEM).
[1] I.D. Fawcett, J.E. Sunstrom, M. Greenblatt, M. Croft, K.V. Ramanujachary, Chem. Mat., 10 (1998) 3643-3651.
[2] C.R. Michel, R. Amigo, N. Casan-Pastor, Chem. Mat., 11 (1999) 195-197.
[3] Y. Surace, M. Simotilde;es, J. Eilertsen, L. Karvonen, S. Pokrant, A. Weidenkaff, Solid State Ion., 266 (2014) 36-43.
9:00 AM - TT10.18
The Oxidation Effect of WO3 Amorphous Thin Films for Visible H2 Sensor
Young Ahn Lee 1 Shankara Kalanur 3 Hyungtak Seo 2
1Ajou Univiersity Suwon-si Korea (the Republic of)2Department of Energy Systems Research, Ajou University Suwon Korea (the Republic of)3Ajou University Suwon Korea (the Republic of)
Show AbstractTungsten trioxide (WO3) has been studied as versatile material such as smart windows, gas sensors and semi-conducting materials. The chemochromism of WO3 has been investigated and its physical origin was assigned to the oxygen deficiency and ion insertion such as Li and H ions. Recently, the colorization of nanostructure of WO3 is reported based on plasmonic effect and it increases absorption at near 700 nm which generates blue color of nanostructure of WO3. Here, we investigated the trend of the colorization of WO3 thin films depending on the O2 concentration. In order to confirm results from variant O2, we fabricate visible sensor to detect leak of H2 gas from color change of WO3 thin films and the chemochromic sensors show the color change from transparent gray to dark blue when exposed on H2. Thin films of WO3 are prepared using RF magnetron sputtering using WO3 target (99.99 %) at room temperature. Optical properties of WO3 films is controlled on oxygen concentration which is varied range 0 to 5 sccm as a reactive gas. Pd nano-particle catalyst is deposited on WO3 thin films using e-beam evaporator in room temperature. The thickness of Pd is set up to 4 nm with 0.1 A/s. The crystal structure of WO3 thin films was confirmed by XRD pattern and it exhibits amorphous thin films. From UV-vis spectrum, it shows decreasing absorption region of WO3 at 700 nm with higher O2 concentration. The chemical composition of WO3 thin films is confirmed from XPS measurement. The trend of the oxidation agrees with amount of oxygen concentration in the film deposition, which also confirms that oxidation state of W moves from W(5+) to W(6+) increasing with O2 concentration. Additionally, Raman and PL measurements were performed to observe the bond ordering and presence of defect inside WO3. From the results, we fabricated optimized visible sensor to enhance colorization of WO3-Pd hybrid. So transmittance spectrum for quantitating color change of the visible sensor exhibits difference of 50 % with 1 % H2 gas exposure for 5 min. Additionally color difference, delta E, is obtained to be 35 with same condition in 5 min.
9:00 AM - TT10.19
Naked Eye Readable Chemochromic and Plasmon Resonance Quenching Optical Hydrogen Sensor Based on CuS-Pd Nanohybrid
Shankara Kalanur 1 Young Ahn Lee 1 Hyungtak Seo 2
1Ajou University Suwon Korea (the Republic of)2Department of Energy Systems Research, Ajou University Suwon Korea (the Republic of)
Show AbstractHydrogen gas sensing is an important issue in various hydrogen related areas due to its explosive nature. In this work, we report an efficient and cost effective chemochromic and optical hydrogen sensor based on CuS-Pd nanohybrids. CuS thin films on glass were fabricated by chemical bath deposition method and a thin layer (4nm) of Pd was deposited using electron beam deposition. Overall thickness of the CuS-Pd film was measured to be ~50nm. When CuS-Pd films were exposed to hydrogen environment, the film changes color from dark green to brown creating eye-visible effects, making it new chemochromic material in this class. This makes the proposed CuS-Pd nanohybrid an chemochromic sensor that circumvents the need for electronics, optic fiber and transducer or electrical readouts. In addition, quenching in surface plasmon resonance is observed in IR region upon exposure to hydrogen gas. The change in color and the quenching of localized surface plasmon resonance in Infrared region of chemochromic material is ascribed to the reduction in free carrier density (hole density) at copper sulfide valence band. Based on our experimental results it was concluded that hydrogen gas interacts and binds with sulfur in CuS-Pd system. In CuS, valence band get its contribution from S therefore decrease the hole density at CuS valence band is observed which in turn effects its LSPR property. This mechanism was further supported by spectroscopic and XPS measurement which confirms the decrease in hole density and change in sulfur oxidation states. CuS-Pd optical sensor can efficiently detect the presence of upto 0.8% H2 in air. Therefore, CuS-Pd can be integrated into small size fiber optic devices as emerging new class of high-performance hydrogen gas sensors. The proposed deposition method can be successfully applied to deposit chemochromic material CuS on flexible plastic materials which can be provided with adhesive layers which can adhesively attached to different structure and thus commercially made as decals, adhesive strips, or the like. The present work also opens new possibilities of using copper sulfide based materials for hydrogen sensing applications.
9:00 AM - TT10.20
Uniform and Nonuniform p-type Doping of Organic Charge Transport Materials with Tungsten Oxide
Xiaomeng Li 1 Xian-an Cao 1
1West Virginia Univ Morgantown United States
Show AbstractTungsten trioxide (WO3) is a transparent metal oxide with a deep-lying conduction band and a work function ~6.7 eV. It is thus well suited for p-type doping in wide bandgap organic materials. When doped into organic materials, WO3 can form charge transfer complexes with the organic molecules, extracting electrons from their HOMO level. This would give rise to an increased hole concentration and enhanced p-type conductivity. WO3 doping of organic hole transport layers is therefore an effective means for reducing the operational voltage of organic light-emitting diodes (OLEDs).
In this work, we studied the effects of uniform and nonunform WO3 doping in 4,4&’-bis-9-carbozyl biphenyl (CBP) through detailed electrical device characterization. Hole-only devices have been fabricated, where the doping level was varied from 10-40mol% and the doped CBP thickness was varied from 5-40 nm. It was found that, to achieve effective doping for improved hole injection and transport, the doping level should be greater than 20mol% and the doped layer should be at least 10 nm thick. It was also found that an energy barrier exists at the doped/undoped CBP interface, resulting in an additional ~1.4 V voltage drop at 100 mA/cm2. This finding was explained by a large downward shift of the Fermi level in WO3-doped CBP, which causes band bending and depletion at the interface. This interesting finding inspired our further work on metal oxide doping of different hole transport materials with a nonuniform profile, which requires a less strict control of the deposition process but resulted in a greater voltage reduction. Finally, simplified green phosphorescent OLEDs with CBP as the hole transport and host material were fabricated. With a WO3-doped hole transport layer, the OLEDs attained brightness of 11163 cd/m2 at 20 mA/cm2, and exhibited an improved reliability under constant-current stressing as compared to undoped OLEDs.
9:00 AM - TT10.21
Realization of Photo-Patterned Oxide Thin Film Transistors with Printed Ion-Gel Gate Dielectrics: Improving Performance with Enhanced Interfacial Properties
Yong Jin Jeong 2 Seonuk Park 1 Yebyeol Kim 2 Keun Hyung Lee 4 Se Hyun Kim 3 Chan Eon Park 1
1POSTECH Pohang Korea (the Republic of)2POSTECH; Pohang University of Science and Technology Pohang-si Korea (the Republic of)3Yeungnam University Gyeongsan Korea (the Republic of)4Inha University Incheon Korea (the Republic of)
Show AbstractMetal oxide thin film transistors (TFTs) have attracted considerable attention as an alternatives to silicon-based devices for use as the various electronic applications such as display backplane, integrated sensor, and flexible devices because of the advantage of favorable electrical performance, uniformity, and high optical transparency. Solution-processes including spin casting and bar coating are more promising techniques to prepare oxide semiconductor films compared to vacuum deposition methods because of low manufacturing costs. However, the solution-processed oxide semiconductor films have low electrical property such as low carrier mobility and carrier concentration, and it needs additional patterning processes including photolithography or direct-printing methods: they also had many problems such as size, uniformity, and so on.
In this study, we prepared a photo-patterned zinc oxide thin films by using a photosensitive organic compound as the zinc precursor of oxide semiconductors. This organic zinc precursor was effectively polymerized by UV exposure. Therefore it might be possible to develop a very simple and eco-friendly film patterning process. Furthermore, we also introduced ion gel as the solution-processed dielectric layers for the fabrication of high-performance photo-patterned metal oxide TFTs. Ion-gel dielectric effectively reduced interface trap in oxide semiconductor film, thereby exhibiting high electrical performances.
9:00 AM - TT10.22
Study of Various Dopants on the Microstructure and Magnetic Property of Mn-Zn Ferrites within Megahertz Frequency Range for Wireless Power Transfer Applications
Myong Jae Yoo 1
1Korea Electronics Technology Institute Seongnam Korea (the Republic of)
Show AbstractWireless power transfer(WPT) involves various technologies and one of the crucial elements is the use of magnetic materials. Various magnetic materials can be used for WPT or wireless power charging. Currently Fe based metallic materials are employed in various devices but regardless of the high permeability the use of Fe based metallic materials is limited to a few kHz due to eddy current losses. Thus in order to provide high permeability with use in higher frequency range such as megahertz(MHz) range the use of ferrites is necessary. In this study Mn-Zn ferrites with high permeability is fabricated and their properties investiaged in MHz range. Also the application of various dopants and the relation of microstructure of Mn-Zn ferrites is investigated and fabricated materials are applied to WPT applications and their effect is studied.
9:00 AM - TT10.24
Glucose Sensing on a Policrystaline FTO Substrate
Raphael Aparecido Sanches Nascimento 1 Marcelo Mulato 2
1University of Sao Paulo Ribeirao Preto Brazil2University of Sao Paulo - USP Ribeirao Preto-SP Brazil
Show AbstractGlucose sensing still drawing the attention of research community nowadays. Thanks to diabetes, glucose monitoring, specially on continuous mode, still a field that can better explored and improved. Commercial kinds of glucose biosensors are normally one time use only. These kinds of biosensors are inappropriate for continuous measurement of glucose that can vary dangerously in very small time on people with more severe kinds of diabetes disease. On the following work we will present a new glucose sensor for monitoring glucose continuously. Moreover, the biosensor is shown working within the human physiological window of glucose concentration. The sensor has as substrate the polycrystalline material Fluorine Tin Oxide (FTO). At the substrate is immobilized Glucose Oxidase (GOx). This enzyme is responsible to catalyze the oxidative reaction of D-glucose.
The Glucose Oxidase was immobilized in Fluorine Tin Oxide surface with a two steps only protocol. This immobilization became possible by the covalent bounds Glutaraldehyde does with Glucose Oxidase. It is interesting to point out Glutaraldehyde does not link covalently to Fluorine Tin Oxide grains. Moreover, the data collected for this kind of biosensor when the Glucose Oxidase is immobilized through Glutaraldehyde is compared with another type of immobilization this time done with chitosan. The Chitosan has the advantage to be a very cheap material besides its biocompatibility with human body and environment friendly.
Fluorine Tin Oxide substrate was already demonstrated to be a good pH sensor in many works of literature. Here, once more, this characteristic is explored in addition to the reaction of the D-glucose and Glucose Oxidase. By the end of the oxidative reaction Gluconic Acid is generated. This acid, once deprotonated, changes the solution&’s pH at the microenvironment near the Fluorine Tin Oxide surface which can sense this pH variance. The amount pH varies is proportional to the D-glucose concentration in solution. Thanks to the wide range of pH detection of Fluorine Tin Oxide and the wide range of pH variance Glucose Oxidase can work without being denaturalized, the sensor can be used in continuous measurements of sugar inside a buffer solution.
9:00 AM - TT10.25
Structural and Chemical Analysis of Nanoscale Resistive Switching Devices: Assessment on Nonlinear Properties
Kate J Norris 1 3 Jiaming Zhang 2 Max Zhang 2 Ning Ge 2 Kathryn Samuels 2 J Joshua Yang 2 Nobuhiko P Kobayashi 1 3
1University of California Santa Cruz Santa Cruz United States2Hewlett-Packard Laboratories Palo Alto United States3Advanced Studies Laboratories, Univ. of California Santa Cruz - NASA Ames Research Center Moffett Field United States
Show AbstractInvestigation into the phenomenon of resistive switching, a reversible change in electrical resistance by the application of a voltage potential, has given rise to the device fabrication, DC electrical testing, and cross sectional TEM/EELS characterization of nanoscale resistive switching devices. Typically, resistive switching devices are composed of a thin oxide layer between two conductive electrodes where applied potentials can alter the resistance states. In a crossbar array, nonlinearity is a highly desirable characteristic that helps to mitigate the sneak path current leakage issue, which disables the reading or writing operations in an crossbar array. Negative differential resistance (NDR) switching behavior has been observed in TaOx nanoscale devices utilizing certain electrode materials, leading to intrinsic high nonlinearity in the normally linear TaOx based devices. To investigate this phenomenon, nanodevices were fabricated and characterized both electrically and physically. The nanodevices were fabricated by sputtering TaOx onto TiN nanovias substrates and capped with e-beam evaporated V or Nb electrodes for a metal-dielectric-metal structure. Cross sectional TEM/EELS were performed to investigate the physical and chemical changes in these devices to explore possible origins of nonlinear behavior when certain top electrode materials are utilized with TaOx films. Here we will discuss the chemical/structural changes at the interface of metallic top electrodes and TaOx switching layer.
9:00 AM - TT10.26
Toward Electrolyte-Gated Electrochromic Transistors: Intercalation Doping Front with Ionic Liquid or Ion Gels
Francis Quenneville 1 Eduardo Di Mauro 1 Jonathan Sayago 1 Xiang Meng 1 Frederic Venne 1 Iryna Perepichka 2 Sunil K. Varshney 2 Clara Santato 1
1Ecole Polytechnique de Montreal Montreal Canada2Polymer Source, Inc. Dorval Canada
Show AbstractWe characterized thin tungsten trioxide films in electrolyte-gated transistor configuration using, as the electrolyte, ionic liquids ([BMIM]:[TFSI], [EMIM]:[TFSI], and [PYR14]:[TFSI]) and ion-gels (PS-PMMA-PS/[EMIM]:[TFSI] and PS-PEO-PS/[EMIM]:[TFSI]). Tungsten trioxide is a well-established electrochromic material [3]. Thin films of sol-gel tungsten trioxide were deposited by spin coating or using a thin film applicator, on pre-patterned ITO (by ITO chemical etching) or on Au-on-glass substrates.
We performed spectroelectrochemistry measurements on our transistors, coupled to optical imaging. To do so, a hyperspectral imaging system (Pariss) was used simultaneously with a semiconductor parameter analyser. From these measurements, we extracted the absorption spectra of the tungsten trioxide films at different locations within the transistor channel, both in the ON (upon application of an electrical bias to the gate electrode le; 2 V) and in the OFF state. This permits to give a quantitative description of the advancement of the doping, as a function of the electrolyte selected (in particular viscosity and ionic conductivity) and the geometry of the device (interelectrode distance and metal oxide thin film thickness). These same measurements also permitted to deduce the switching speed of the device.
For highest electrochromic performance, WO3 thin films has to be annealed at ca 400 °C. For a flexible device built, e.g. on ITO on polyethylene therephthalate (PET), thermal treatments must be done at lower temperatures. Efforts are underway to modify the synthetic approach accordingly. WO3 deposition on flexible substrates would permit to demonstrate flexible electrochromic transistors to reach new applications in the automotive industry, smart labeling and inventory tracking.
[1] J. Sayago, F. Soavi, Y. Sivalingam, F. Cicoira, and C. Santato, “Low voltage electrolyte-gated organic transistors making use of high surface area activated carbon gate electrodes dagger;,” pp. 5690-5694, 2014.
[2] E. Said, P. Andersson, I. Engquist, X. Crispin, and M. Berggren, “Electrochromic display cells driven by an electrolyte-gated organic field-effect transistor,” Org. Electron., vol. 10, no. 6, pp. 1195-1199, Sep. 2009.
[3] C. G. Granqvist, Handbook of Inorganic Electrochromic Materials. Elsevier, 1995, pp. 499-518.
9:00 AM - TT10.27
Float Zone Single Crystal Cu2O Photovoltaics
Stefan T. Omelchenko 1 Yulia Tolstova 1 Samantha S. Wilson 1 Harry A. Atwater 1 Nathan S. Lewis 1
1Caltech Pasadena United States
Show AbstractCuprous oxide (Cu2O) is a promising earth abundant photovoltaic material due to its long minority carrier diffusion length and high absorption coefficient in the visible spectrum and its 2.1 eV bandgap makes it an ideal top cell for a tandem structure with a crystalline Si bottom cell. The intrinsic p-type nature of Cu2O necessitates a heterojunction solar cell architecture. However, surface instability makes Cu2O susceptible to deleterious interfacial reactions that inhibit high device performance. While DFT calculations suggest that different crystal facets have different surface energies, thereby implying different oxidation and reaction dynamics, a thorough investigation of the directionality of properties of Cu2O has not yet been conducted. Thus, in this work we use the floating zone method to grow oriented Cu2O single crystals and demonstrate the first floating zone single crystal heterojunction devices.
The floating zone technique provides a means to grow large, single crystalline boules with controlled orientation and thus is an attractive growth method to study directionally dependent properties in Cu2O photovoltaics. While cupric oxide (CuO) inclusions are inherent to the floating zone growth process, we show that they can be removed by thermal post-processing, with phase-purity and crystallinity confirmed by x-ray diffraction (XRD) and x-ray photoelectron spectroscopy (XPS). We discuss the role CuO inclusions play on the electronic properties of single crystal Cu2O wafers. Finally, we demonstrate the first solar cell utilizing Cu2O grown by the floating zone method in a Au/Cu2O/buffer layer/AZO/IZO device. The photovoltaic&’s charge carrier dynamics are analyzed using spectral response and current-voltage measurements.
9:00 AM - TT10.28
Hierarchical Nanostructure Fabrication of Ag Nanoparticle on ZnO Nanowire for High-Efficiency SERS Based Microfluidic Sensing System
Hyun Wook Kang 1 Juyoung Leem 2
1Chonnam National University Gwangju Korea (the Republic of)2University of Illinois at Urbana-Champaign Urbana-Champaign United States
Show AbstractThe hierarchical structures of nanoparticles (NPs) embedded on nanowires (NWs) arrays combine the advantages of the anisotropic NWs, which have a high aspect ratio, and the large specific surface areas of NPs. The hierarchical nanostructures may be useful in many systems, for example, in photovoltaic, battery, catalytic, and photochemical device systems, due to its electric, chemical, optical, and mechanical properties. Futhermore, hierarchical nanostructures have been examined as a method for enhancing surface enhanced Raman specroscopy (SERS) signals. A SERS activity is resulted from localized surface plasmon resonance (LSPR) effects. LSPR intensity effects are governed by the shape of the metal nanostructures and the gap distance between the adjacent nanostructures. Typically, ~1 nanometer gaps between ~10 nanometer metal structures provide the best resonance conditions. In this study, a photoinduced synthesis method is developed for fabricating silver nanoparticles (Ag NPs) embedded on the surfaces of zinc oxide nanowires (ZnO NWs) to form a hierarchical nanostructure. The process consists of two steps: 1) ZnO NWs were prepared as a backbone structure by microcontact printing the ZnO NP seed materials, and a hydrothermal synthesis procedure was applied, and 2) the photoinduced synthesis of Ag NPs on the backbone structure. During the synthetic process, UV light is used to generate electron-hole pairs at the zinc oxide NW surface. The excited electrons successfully reduce Ag ions in solution to form Ag NPs (2~10 nm diameter) on the ZnO NWs surfaces without introducing contamination or side-reactions. The SERS properties of the hierarchical materials are investigated with various Ag nanostructure morphologies depending on the exposured UV energies. The SERS activity reveales an outstanding enhancement factor of 6.36 × 1011. Practical applications require the integration of a SERS substrate, here, the hierarchical Ag NP nanostructure, into a microfluidic system. The various glucose solution concentrations were analyzed using the SERS detection microfluidic system. The sequential analyte concentrations introduced into the system over time were successfully achieved. A various glucose solution concentrations are detected using the SERS integrated microfluidic system in conjunction with the hierarchical nanostructures. As a results, the photoinduced synthesis process used to fabricate hierarchical nanostructures was implemented at ambient temperatures and pressures to provide a simple, low-cost, and environmentally benign manufacturing environment.
9:00 AM - TT10.29
Synthesis and the Study of Magnetic Properties of DyAl Co-Doped SeFe12-x(DyAl)xO19 Hexaferrite
Dipesh Neupane 1 Lijia Wang 1 S R Mishra 2
1Department of Physics, University of Memphis Memphis United States2University of Memphis Memphis United States
Show AbstractStrontium ferrite (SrFe12O19) has been widely used as a permanent magnetic material because of their high magnetization (Ms), curie temperature (Tc) and coercivity (Hc), low manufacturing cost with highly stable properties. The magnetic properties of M-type ferrites have been greatly altered by doping magnetic and non-magnetic atoms in Ferrites. Study on only Al doped SrFe12-xAlxO19 have shown an incredible enhancement in the coercivity but with a concomitant reduction in Ms. The present effort is towards increasing magnetization,improving dielectric properties of Dy and Al doped ferrites SrFe12-X(DyAl)XO19 by gaining greater control over micro-structural properties.
Highly Dy3+ and Al3+ ions doped nanocrystalline SrFe12-x(DyAl)xO19 with X=0.0, 0.1, 0.3, 0.5, 0.7, 0.9 were prepared by the auto-combustion method and heat treated in air at 950oC for 10 h. The phase identification of the powders performed using x-ray diffraction show presence of high-purity hexaferrite for low concentration contain and presence of secondary phase of cubic Fe2O3 for xge;0.5. The VSM result shows that (DyAl)+3 substitution modifies saturation magnetization and coercivity. The room temperature MS values increases for low substitution (xle;0.5) and continuously reduced for higher concentration(x>0.5). This is due to the nonmagnetic (DyAl)3+ replaceing Fe3+ ion from the sites having spin upward direction and also reduces the super-exchange interaction between FeA3+-O-FeB3+. While HC value increased to a maximum of ~5,572 Oe at x=0.9, which is a lage increase ( ~33%) in the coercivity as compare to pure Sr-ferrite. This is because of magnetocrystaline anisotropy.
Room temperature 57Fe Mössbauer spectrum of SrFe12-x(DyAl)xO19 were collected in transmission geometry and were #64257;tted by a superposition of six magnetically split components (sextets) due to iron located in five different magnetic polyhedral coordinators corresponding to 12k, 4f1, 4f2, 2a and 2b respectively. The predominant sites 2a, 4f1 and 12k sublattice exhibits a remarkable decreasing in hyperfine field from 507.9 to 501.2 kOe for 2a, 509.26 to 503.6 KOe for 4f1 and for 498.51 to 491.3 KOe for 12k respectively which is in agreement with the decreasing magnetization. From Mossbauer spectral analysis it can be concluded that Dy3+ and Al3+ have affinity for 2a, 4f1 and 12k sites.
Resistivity vs temperature of the doped samples was measured and resistivity increases as X increased and reaches a maximum value at x=0.5 which can be explain due to the hopping of electrons between Fe3+ and Fe2+ at the octahedral sites. However, on increasing the substitution, x > 0.5, the resistivity decreases due to presence of nonmagnetic phases. The variation of dielectric constant at low frequency is measured which result in the dielectric properties of doped Strontium ferrite is improved and it is due to the electron exchange between Fe3+ and Fe2+ and due to substitution of DyAl on Fe2+ ions in octahedral site.
9:00 AM - TT10.30
Unipolar and Threshold Resistive Switching Behaviors in AlFeO3 Thin Films
Yefei Han 1 Yousuke Hamasaki 1 Shintaro Yasui 1 Tomoyasu Taniyama 1 Mitsuru Itoh 1
1Tokyo institute of technology Yokohama Japan
Show AbstractResistive switching (RS) effects have attracted extensive attention for application of the next generation non-volatile ReRAM. A variety of binary and ternary oxides with unipolar and bipolar RS characteristics have been reported. Valence change availability of constituent elements in materials is important for the change of resistances. Then, we focus on the Al-Fe-O ternary system because of the integration between valence change of Fe3+ and Fe2+ in Fe-O system and high resistivity in Al-O system. Controllable RS property can be expected in this ternary system. However, Al-Fe-O system is basically compatible corundum phase. Thus, we have prepared the solid solution Al-Fe-O phase with metastable GaFeO3-type structure [1]. In this study, repeatable unipolar RS characteristics were demonstrated in GaFeO3-type AlFeO3 thin films grown on (111)SrRuO3//SrTiO3 substrates by pulsed laser deposition method. Pt metal was chosen as a top electrode to form a sandwich structure. I-V characteristics were measured by a source-measure-unit with a probe station. Unipolar RS effects with on/off ratio up to ~104 were observed in AlFeO3 films with different thicknesses, which could be attributed to the forming and rupture of the conductive filaments in the films. The reset processes is generated around 2 V for the films with different thicknesses while the fluctuation of set processes increased with the increasing of film thickness. On the other hand, a selector-like threshold switching behavior was observed with a compliance current of 5 mA which was lower than that of the unipolar switching (7 mA) in films. When the voltage was swept in different directions, I-V curve showed hysteresis at both positive and negative sides while it stayed a single state around zero voltage. Threshold switching characteristic has been reported in NiO film [2], however, the mechanism of this behavior is still unclear yet. In our case, when the compliance current was set above 5 mA, the films were formed to low resistance state, after that unipolar RS behavior could be observed in the films. This result indicated that the threshold switching behavior in AlFeO3 film can be explained as a state in which conductive filament was not completely formed and a strong relationship between unipolar and threshold resistive switching behaviors. Thickness, composition stoichiometry and crystallinity dependences on the resistive and threshold switching properties will be also discussed. This is the first report in Al-Fe-O system on RS characteristics. Since Al and Fe are the most abundant metal elements in the earth and their oxides are representative RS materials extensively studied, this work will open a possibility for RS material selecting [1] Y. Hamasaki et al., Appl. Phys. Lett. 104, 082906 (2014); [2] S. H. Chang et al., Phys. Rev. Lett. 102, 026801 (2009);
9:00 AM - TT10.31
A Water Vapor Sensor Application of Sn1-xFexO2-delta; Fibers
Juan M Rodriguez 1 Amanda Watson 1 Ildeman Abrego 1 Carlos Samudio 2 Jose Ardisson 3 Eleicer Ching-Prado 1
1Technological University of Panama Panama City Panama2University of Passo Fundo Passo Fundo Brazil3Federal University of Minas Gerais Bello Horizonte Brazil
Show AbstractTin oxide is of great interest due to their potential technological applications, such as: gas sensors, energy conversion, catalysts and others. Appropriate doping can further enhance the conductivity of the SnO2 material with little loss of transparency. Isolated tin iron oxide fibers (Sn1-xFexO2-δ) with x(molar %)= 0, 2, 4, 6, 8 and 10 were prepared by the electrospinning technique. Anhydrous SnCl4, FeCl3middot;6H2O, different alcohols, chloroform and a polymer (PEO) were used as precursor materials. Appropriate mixture of these reagents defines the deposition solution. The samples were deposited on glass substrates and annealed at 500o C. The fibers are characterized by scanning electron microscopy (SEM), impedance spectroscopy and temperature dependence current-voltage measurements. The fibers with diameters between 2 to 10 microns were used for sensorial purpose. Thus, water vapor sensor responses were measured and the experimental results are tested using the Freundlich isotherms model
9:00 AM - TT10.32
Enhanced Thermoelectric Performance in K0.87RhO2 Thin Films Induced by Changing Ambient Gases during Pulsed Laser Deposition
Yingbang Yao 1
1KAUST Jeddah Saudi Arabia
Show AbstractK0.87RhO2 thin films were prepared by pulsed laser deposition on fused silica substrates in different ambient gases. Besides the usual forming gas for oxides (i.e. O2), N2, Ar, mixture of O2 + N2, mixture of O2 + Ar, and vacuum were used during deposition. Although the crystal structure was remained the same for all the films, their electrical and thermoelectric properties were modified significantly depending the ambient gas. Compared with the film deposited under O2, the film deposited under N2 exhibits electrical resistances one order of magnitude smaller, while the film prepared in N2+O2 shows 50% enhancement in Seebeck coefficients. Moreover, the thermoelectric power factor of the sample is enhanced more than 100% as the ambient gas changed to Ar. Our results prove that through engineering crystal defects, such as oxygen vacancy, the performance of thermoelectric materials can be considerably enhanced.
9:00 AM - TT10.33
Hydrogen Gas Sensor Using Flexible Ni-Zr/PDMS Hybrid Membrane
Deok-Hwan Yun 1 Dea ho Kim 1 Sungbum Park 2 Yong-il Park 1
1Kumoh National Institute of Technology Gumi Korea (the Republic of)2Dongguk University Gyeongju Korea (the Republic of)
Show AbstractPd-based alloys have been studied as hydrogen gas sensor. However, Pd is prohibitively expensive and gas sensitivity is degraded by oxygen and moisture in air. Furthermore, induced by hydrogen contact Pd phase transition(α to β) is accompanied by a lattice increase of about 5%, and this causes cracks in Pd and degrade its hydrogen sensing ability. In this study, the Pd was replaced by the Ni-Zr alloy and the PDMS (Poly-dimethylsiloxne) was used as a flexible substrate. A hydrogen gas sensor using the Ni-Zr/PDMS hybrid membrane was fabricated by spin coating and DC magnetron sputtering. The hydrogen sensing ability and response times of these sensors as a function of the thickness of the Ni-Zr layer were investigated.
9:00 AM - TT10.34
Development of New Gas Sensors Based on CuTCNQ Nanostructures
Mahnaz Shafiei 1 Anthony P. O'Mullane 1 Carlo Piloto 1 Aijun Du 1 Nunzio Motta 1
1Queensland University of Technology Brisbane Australia
Show AbstractOrganic semiconducting transition metal-TCNQ (TCNQ=7,7&’,8,8&’-tetracyanoquinodimethane) materials have received a great deal of attention over the past several years due to their interesting structural, electronic and optical properties [1]. Potential applications of these materials include optical and electrical media recording [2], energy and data storage [3], sensors [4] and catalysis [5]. To the best of authors&’ knowledge, the gas sensing properties of these materials have not yet been investigated. For the first time, we have fabricated and tested CuTCNQ nanostructured based conductometric devices towards NO2 and NH3 gases. The interaction between the target gases and the sensing layer, CuTCNQ has been modelled. This theoretical study, to understand the binding energy of gases at the CuTCNQ surface, will help to optimise the film in order to enhance the sensing performance.
A Cu thin film with thickness of 300nm was deposited on alumina substrates via electron beam evaporation and was then immersed in solution comprising of TCNQ dissolved in acetonitrile for 1hr at room temperature (RT). Surface morphology and composition of the developed films were investigated by scanning electron microscopy (SEM) and Raman spectroscopy. The SEM images revealed nanorods with surface dimensions ranging from 100 nm to 500 nm in width to tens of micrometres in length.
We investigated the electrical and gas sensing performance at room temperature of the as-deposited sensors and of the annealed films up to 200°C. The annealed sensors showed much higher sensitivity, achieving a detection limit of 3ppm for NO2 and 10ppm for NH3 at RT. This could be attributed to the conversion of the CuTCNQ films from phase I to the more stable phase II. The annealed sensors were then tested at higher operating temperatures, 50 and 100°C in order to improve the slow recovery time observed at RT. As the temperature increased, the recovery time improved but the sensitivity decreased. By using SEM, we found that the nanostructures decomposed after prolonged heat treatment. More experiments are in progress including in-situ temperature controlled Raman measurements of the films in order to optimise the structural phase of the film. In conclusion, CuTCNQ is a promising material for gas sensing applications. Other M-TCNQ materials (M= Zn, Ni, Ag) will be employed and tested for potential gas sensing applications.
1. A. Nafady et al. Journal of the American Chemical Society 2007, 129, 2369-2382.
2. X.L. Mo et al. Thin Solid Films 2003, 436, 259.
3. C. Ran et al. Journal of Physical Chemistry B 2005,109, 22486.
4. Z. Ji et al. Nano Research 2009, 2, 857.
5. A.P. O&’Mullane RSC Advances 2013, 3, 4440.
9:00 AM - TT10.35
Influence of Oxynitrogen Gas Addition on the Properties of ZnO Thin Films on a-Al2O3 Grown by Catalytic Reaction Assisted Chemical Vapor Deposition
Yuki Ishiduka 1 Yuki Oohashi 1 Naoya Yamaguchi 1 Yasuhiro Tamayama 1 Kanji Yasui 1
1Nagaoka University of Technology Nagaoka Japan
Show AbstractZinc oxide (ZnO) is a useful material for various applications such as surface acoustic wave devices, gas sensors, and transparent electrodes. Due to its large bandgap (3.37 eV at RT) and large exciton binding energy (60 meV)[1], its application to optoelectronic devices such as light emitting diodes and laser diodes operating in the ultraviolet region has been intensively investigated [2-6]. Although metal-organic chemical vapor deposition (MOCVD) has many advantages for industrial applications over methods such as molecular beam epitaxy [2,3] and pulsed laser deposition [4,5], ZnO films produced by MOCVD tend to be low quality, due to incomplete reaction between the metalorganic and oxygen source gases in the gas phase. We have developed a new CVD method for ZnO film growth using a reaction between an alkylzinc (DMZn) gas and high-temperature H2O generated by a Pt-catalyzed exothermic H2-O2 reaction. The resulting ZnO films grown on a-plane (11-20) sapphire (a-Al2O3) substrates exhibited excellent optical and electronic properties [7]. In this study, we have investigated the influence of the oxynitrogen (N2O) gas addition during the film growth in order to obtain p-type ZnO films.
The CVD apparatus and growth procedure employed in this study have been previously reported [7]. Epitaxial ZnO films (6-8 mu;m thick) were grown directly on a-plane sapphire substrates at a substrate temperature of 773 K for 60 min without a buffer layer. Although the N2O gas supply pressure to the reaction zone was varied from 3.2×10-3 to 9.7×10-2 Pa, all films exhibited n-type characteristics. FWHM value of omega;-rocking curve of ZnO (0002) diffraction of a N2O doped (3.2×10-3 Pa) film (6 mu;m thick), however, was 142 arcsec, while that of an undoped ZnO film (8 mu;m thick) was 205 arcsec. Photoluminescence (PL) spectra measured at 15 K yielded a strong emission peak at 3.360 eV, attributed to the neutral donor-bound exciton DoX. The FWHM of the peak for the N2O-doped (3.2×10-2 Pa) film was as low as 0.6 meV, while that of the undoped ZnO film was 0.9 meV. Nitrogen concentration in all N2O-doped samples, however, was lower than the detection limit (2×1017 cm-3) of secondary ion mass spectrometry. From the improvement of crystal orientation along c-axis and PL spectra, nitrogen precursors generated by the reaction between the high-temperature H2O and the N2O gas were speculated to play a role of surfactants on the growing-film surface.
[1] B. K. Meyer et al., Phys. Stat. Sol. B, 241 (2004) 231. [2] M. Sano et al., Jpn. J. Appl. Phys., 42 (2003) L1050.
[3] H. Tampo et al., Appl. Phys. Lett., 84 (2004) 4412. [4] A. Tsukazaki et al., Nat. Mater., 4 (2005) 42.
[5] E. M. Kaidashev et al., Appl. Phys. Lett., 82 (2003) 3901. [6] J. Dai et al., Appl. Phys. A, 89 (2007) 645.
[7] K. Yasui et al., MRS Symp. Proc., 1315 (2011) 21.
9:00 AM - TT10.36
Fabrication of Titanium Oxide Decorated Reduced-Graphene Oxide as a Potential Room Temperature Gas Sensor
Tsun-Jui Chen 1 2 Ming-Chih Tsai 2 3 Yu-Hsuan Ho 2 Kai-Yu Peng 2 Wei-Cheng Tian 3 Pei-Kuen Wei 1 2 Jie-Chun Luo 4
1Insititue of Optoelectronic Sciences Keelung Taiwan2Research Center for Applied Sciences Taipei Taiwan3Graduate Institute of Biomedical Electronicsamp; Bioinformatics Taipei Taiwan4National Taiwan University Taipei City Taiwan
Show AbstractA simple and efficient method for the fabrication of room temperature gas sensor based on amorphous titanic oxide (TiOx) and photo reduced graphene oxide (rGO) has been reported. Titanic oxide decorated graphene oxide (TiOx-GO) were deposited on interdigitated electrodes through layer-by-layer assembly technique. The TiOx-GO can be redced into titanic oxide decorated reduced-graphene oxide (TiOx-rGO) using photothermal and photocatalytic reduction. To evaluate the level of reduction, the changing of electrical conductance of TiOx-GO under Xe lamp illumination was measured at different time until the conductance to a steady value. The photo-reduced TiOx-rGO showed p-type semiconducting behavior and was responsive to volatile organic compounds (VOCs) at room temperature. Additionally, TiOx serve as a primary sensing transducer of these reduced graphene oxide-titanic oxide composite sensors, and the sensitivities were enhanced after nitrogen doping of TiOx.
9:00 AM - TT10.38
ZnO Nanorods Synthesized by Hydrothermal Method for UV-Activated Room Temperature Gas Sensor
Kai-Yu Peng 2 Tsu-Hung Lin 4 Yu-Hsuan Ho 3 Ming-Chih Tsai 1 Horn-Jiunn Horn-Jiunn Sheen 4 Wei-Cheng Tian 4 Da-Hua Wei 2 Pei-Kuen Wei 3
1Graduate Institute of Biomedical Electronicsamp; Bioinformatics Taipei Taiwan2National Taipei University of Technology Taipei Taiwan3Research Center for Applied Sciences, Academia Sinica Taipei Taiwan4National Taiwan University Taipei Taiwan
Show AbstractMetal oxide nanomaterials have been widely employed into gas sensor owing to their high sensitivity, lower operating temperature, and low cost. Among those metal oxide candidates, wurtzite zinc oxide (ZnO), as a functional n-type semiconductor, has attracted more and more focus of many studies for its potential use photodetectors, gas sensor, solar cell, and so forth. In this study, we fabricated ZnO nanorods by facile hydrothermal synthesis method as a gas-detecting material for sensing butanol (C4H9OH), which possesses high sensitivity after ultraviolet (UV) light treatment for 1hr. ZnO nanorod arrays were prepared by the following two steps. Firstly, the ZnO seed layers with 150-nm thickness were deposited onto a sensing chip contained an array of interdigitated Au electrodes by radio frequency (RF) magnetron sputtering system using a ceramic ZnO target (99.99% purity) at room temperature. The ZnO nanorods were then grown on the ZnO seed layers by the hydrothermal approach. ZnO nanorods have been synthesized in 300 ml of aqueous solution containing 25 mM Zn(CH3COO)2#65294;2H2O and 25 mM C6H12N4 (HMTA). The solution was preheated to a constant temperature of 95#8451;before the hydrothermal process. The seed-substrates were immersed into the aqueous solution upside-down in the beaker. The growth time was varied from 1 to 4 hr. After growth process, the substrates were removed from the solution, rinsed with deionized water and dried at 50 #8451; in air. The sensitivity of the ZnO nanorods is varied with the applied butanol concentrations. It can be seen that the best sensing response under the butanol concentration with 4000 ppm is ~40%.
9:00 AM - TT10.39
The Use of Metal Oxide Solid Solutions for the Detection of Lactate in Oxygen-Lean Environments
Aytekin Uzunoglu 1 Lia A Stanciu 1 2
1Purdue University West Lafayette United States2Purdue University West Lafayette United States
Show AbstractIn the last couple of decades, the number of publications on the development of enzyme based-lactate biosensors soared since the level of lactate can show some pathological states such as shock, heart and liver diseases, and metabolic disorders. Lactate oxidase is one of the most used enzymes in lactate amperometric biosensors. Since oxygen is used as an electron acceptor, fluctuations in the oxygen concentration in the medium results in detection errors. To address this challenge, we used ceria (CeO2) and ceria-based metal oxide solid solutions (CeO2-ZrO2, CeO2-CuO, and CeO2-TiO2) for lactate oxidase immobilization and as electrode material. The oxygen storage capacity (OSC) of these metal oxides has the potential to reduce the fluctuations of the oxygen concentration, and thus eliminate false results. Ceria and ceria based metal oxide systems with enhanced OSC were prepared. The lowest and the highest OSCs were obtained from CeO2 and CeO2-CuO metal oxides, respectively. The prepared metal oxides were then used for immobilization of the Lactate Oxidase enzyme and construction of lactate biosensors. The enzymatic activity of the metal oxide-lactate oxidase electrode materials were tested in oxygen-rich and oxygen-lean solutions. Our results showed that OSC of the electrode material has a big influence on the activity of the biosensors in oxygen-lean environments. While CeO2 containing biosensor showed an almost 50% decrease in the sensitivity in a deoxygenated solution (from 8.13 mu;A mM-1 to 4.08 mu;A mM-1), the CeO2-CuO containing electrode, with a higher OSC value, had an only 6% drop of sensitivity(from 4.57 mu;A mM-1 to 4.26 mu;A mM-1) when moving from oxygen rich to oxygen lean conditions.
9:00 AM - TT10.40
Optimization of TiO2 and CdSe Interface for Enhanced Photovoltaic Response in Nanostructured Solar Cell
Tapan Kumar Das 1 Pavana S.V. Mocherla 1 Sudakar C 1
1Indian Institute of Technology Madras Chennai India
Show AbstractSeveral methodologies are being explored to increase the efficiency of the nanostructured solar cell including preparation of mesoporous TiO2 nanostructures and manipulating the size and composition of qunatum dots (QDs) as sensitizer. TiO2 with several morphologies have been proposed and tested. For example ordered TiO2 nanotubes (TNTs) prepared by anodization provides vectorial charge transfer path to the carriers,1 whereas, hydrothermally prepared TiO2 nanostructure arrays (TNAs) and hierarchical TiO2 nanotubes (HTNTs) were shown to possess better light scattering ability and fast electron transport.2 Similarly TiO2 nanoporous (TNPOs) enhance the photo-conversion due to its high porosity and large surface area.3 Recently, meso-porous single crystal (MSC) TiO2 has shown its good photovoltaic response.4 Therefore, controlling the pore dimension and optimizing the diffusion of charge carrier path is important in addition to the size and composition-specific selection of sensitizer itself. A most important parameter that can influence the changes in photo-conversion efficiency of sensitized solar cell is the interface between the sensitizer and the TiO2.
In this study we will show the adsorption characteristics of CdSe QDs onto the various microstructures of TiO2 (TNTs, TNAs, HTNTs, TNPOs) mentioned above. A detailed study that is being carried out to understand the interface between TiO2 and QDs by high resolution transmission electron microscopy will be discussed. We will also present a specific study on ~ 6 nm sized CdSe quantum dot with a thin shell structure (CdS or ZnS) coated on TiO2. Optimized conditions for coating these QDs or QD-core shell structure on TiO2 and photovoltaic response of these structures will be presented.
1. C. A. Grimes, Journal of Materials Chemistry 17 (15), 1451-1457 (2007).
2. W. Q. Wu, H. S. Rao, Y. F. Xu, Y. F. Wang, C. Y. Su and D. B. Kuang, Scientific Reports 3 (2013).
3. D. Walsh, L. Arcelli, T. Ikoma, J. Tanaka and S. Mann, Nat Mater 2 (6), 386-390 (2003).
4. E. J. W. Crossland, N. Noel, V. Sivaram, T. Leijtens, J. A. Alexander-Webber and H. J. Snaith, Nature 495 (7440), 215-219 (2013).
9:00 AM - TT10.41
Polyoxometalate Species as Effective Oxygen Evolution Molecular Catalysts at WO3 Photoanodes
Marta Sarnowska 1 Renata Solarska 1 Krzysztof Bienkowski 1 Jan Augustynski 1
1University of Warsaw Warsaw Poland
Show AbstractN-type tungsten trioxide, WO3, is one of few stable semiconductor materials able to photo-electrochemically split water under solar light irradiation. In an attempt to enhance the water oxidation efficiency of the WO3 photoanode, we investigated addition to the acidic electrolyte of various polyoxometalate (POM) species. A substantial increase of anodic photocurrents was observed in the presence in the methane-sulfonic acid electrolyte of Keggin-type compounds, e.g., silico-tungstic H4SiW12O40 or phospho-molybdenic H3PMo12O40 acid. At the employed concentration levels, 10-4 M, the POMs are transparent to the visible wavelengths. The enhancement of anodic photocurrents was correlated with the measurements of the amounts of oxygen evolved at the WO3 photoanode.
9:00 AM - TT10.42
CO Gas Sensing of PdO Decorated SnO2 Thin Films
Kuang-Chung Li 1 Yu-Ju Chiang 1 Yi-Chieh Lin 1 Fu Ming Pan 2
1National Chiao Tung University Taoyuan Taiwan2National Chiao Tung Univ Hsinchu Taiwan
Show AbstractPd is widely used as a sensitizer to improve the gas sensing performance of SnO2. Because of the high operation temperature, oxidized Pd is usually believed to an active phase to improve the sensing performance. Therefore, understanding the sensitization mechanism of PdO is useful for the development of Pd-sensitized SnO2 sensors of better sensing performance. In this work, we study the CO gas sensing behavior of PdO-decorated SnO2 thin films at temperatures below 250#8451;. The SnO2 thin film was deposited on the SiO2 substrate followed by the deposition of PdO nanoparticles; both the SnO2 thin film and the PdO nanoparticles were prepared by reactive sputter deposition. The conductivity of the SnO2 thin film decreases by about an order of magnitude after the PdO decoration. PdO is a p-type oxide semiconductor; it forms a PN junction with SnO2 resulting in the formation of a depletion layer in the SnO2 thin film. The PdO decoration greatly increases the sensing response of the SnO2 thin film toward CO. The sensor signal of the PdO-decorated sensor reaches to about three times that of the bare SnO2 sensor at 150#8451; and above in the gas mixture of CO and dry air. The sensing behavior of the sensor at 100#8451; and below can be understood by the oxygen ionosorption model in combination with modification of the depletion zone in SnO2 due to CO adsorption on PdO nanoparticles. At temperatures above 100#8451;, PdO reduction and reoxidation in the CO gas mixture greatly influence the sensing behavior of the sensor. Upon the CO exposure at 150#8451; and above, the sensor demonstrates a strong sensing response as a result of PdO reduction, which is followed by a decay in the response due to Pd reoxidation. The observation is suggested to be a result of adsorption of electron-donating CO on metal Pd phases formed via PdO reduction in the initial sensing stage and the subsequent dissociative oxygen adsorption on growing Pd phases. The PdO decoration also significantly reduces the recovery time of the SnO2 sensor. The faster recovery time of the PdO-decorated sensor can be ascribed to the spillover effect, in which oxygen adatoms diffuse from PdO nanoparticles and/or metal Pd phases to the SnO2 support to enhance the oxidation rate of remaining CO adspecies and oxygen vacancies formed under the CO sensing condition. The study has revealed reaction mechanisms for reducing gas sensing at low temperatures for noble metal-decorated SnO2 sensor.
9:00 AM - TT10.43
GdBaCo2O5+delta; Bulk Thermoelectric Oxygen Sensor at High Temperature
Sukanti Behera 1 C Shivakumara 1 A.M Umarji 2
1Indian Institute of Science, Bangalore Bangalore India2Indian Institute of Science Bangalore India
Show Abstract
Thermoelectric oxygen sensor is a class of gas sensors which detect oxygen contents in gaseous and liquid form.Gas sensor are widely used in chemical industries, fermentation industry, automobile, health care, mines, etc. Mainly semiconductor metal oxides viz. TiO2, SnO2, ZnO are used as resistive gas sensor. In resistive sensor resistance is a measurand which depends on geometry, morphology of the samples but a small crack shown in the pellet,it gives erroneous result. So in order to overcome these problem, needs an alternative measurand viz. Seebeck coefficient (emf generated by maintaining temperature gradient) of sample. For p-type semiconducting oxide, S=k/e(ln Nv/p+ Ah)
S= seebeck coefficient,k = Boltzmann&’s constant, e = electron charge, Nv=effective density of states in the valence band, Ah=scattering factor (Rettig et al 2005).Many results were reported in respect to oxygen resistance sensor for double perovskites LnBaCo2O5+δ where Ln= Pr, Nd, Sm, Eu, Gd, Y(Taskin et al 2003, 2005, Hao, et al 2007, Zhang et al 2012). These materials contain a mixture of octahedral and square pyramidal configuration in Co-sites varying with oxygen stoichiometry and temperature. It possess a layered crystal structure of [CoO2]-[BaO]-[CoO2]-[ROx] stacked consecutively along c-axis, called “112”- type crystal structure (1). These compound adopts two crystal structures viz. Tetragonal( P4/m m m),orthorhombic (P m m m) depending on annealing temperature and δ values. Seebeck coefficient and resistivity values vary as it changes from O2 to N2 atmosphere.
We synthesized polycrystalline GdBaCo2O5+δ (0le;δle;1) by solid state method, made into a pellet. It is a orthorhombic structure with lattice parameter (ax2ax2a; 2ax2ax2a). Resistivity and seebeck coefficient are measured from room temperature to 6000C in respective in built apparatus by varying the partial pressure of oxygen . Response time, sensitivity of compounds in case of resistive sensor measured. in the presentation, we will explain how the seebeck values changes from p-type to n-type and resistive oxygen sensor with respect to oxygen partial pressures. In conclusion, GdBaCo2O5+δ materials can be used as thermoelectric oxygen sensor.
Reference:
(1) Martin et al ; Appl. Phys. Lett. 71, 1421(1997).
9:00 AM - TT10.44
Electrical Performance and Bandgap Engineering of SiZnSnO Thin Film Transistor for Next Generation Display Applications
Sang Yeol Lee 1 Hong-Joon Yoon 1
1Cheongju University Cheongju Korea (the Republic of)
Show AbstractAmorphous oxide semiconductors (AOSs) have been a subject of much attention interest, as candidate materials for next generation thin film transistor (TFT) during past decades due to their potential applications in flat panel display and flexible display. Recently, indium free materials, such as zinc-tin oxide (ZTO), have been significantly studied for active channel layer of TFTs. The oxide based multicomponent semiconductor process several advantage over conventional TFTs. For ZTO TFT, the electron concentration is easily controlled by the oxygen (O)-deficiency, however by which a lot of deep levels within band gap are generated, leading to the instability of the device operation, especially under light. For the stable device operation, the controls of the optimal O-deficiency and the deep state formation are demanding. The electron concentration in ZTO is easily controlled by addition of Si atoms. To use Si atoms as a doping materials, an enhanced electrical characteristics has to be secured. In order to clarify the role of Si addition on the device performance the work function of SZTO was measured using photoemission spectroscopy (PES) and Kelvin probe microscopy (KPM) in direct comparison. The work function of SZTO TFTs were changed with different Si ratio using KFM. To examine the effects of the addition of Si atoms on the electronic characteristics of SZTO TFTs, a series of thin-film transistors with varying Si ratio been fabricated. By carrying out the addition of various Si ratio on the ZTO TFTs, the effect of Si on the electrical performance has been investigated in terms of bandgap engineering.
9:00 AM - TT10.46
Threshold Switching Characteristics of Pt-Fe2O3 Core-Shell Nanoparticle Assembly for Resistive Memory and Thin-Film-Transistor
Yoon-Jae Baek 1 Young Jun Noh 1 Young Jin Choi 2 Chi Jung Kang 2 Hyun Ho Lee 3 Tae-Sik Yoon 1
1Myongji University Yongin-si Korea (the Republic of)2Myongji University Yongin-si Korea (the Republic of)3Myongji University Yongin-si Korea (the Republic of)
Show AbstractThe threshold switching characteristics of Pt-Fe2O3 core-shell nanoparticles (NPs) assembly were investigated in metal/assembly/metal structure as a switch for resistive memory. These characteristics were also employed in thin film transistor (TFT). Threshold switching, featured to have reversible, abrupt, and volatile transition from high to low resistance state at a high voltage and vice versa at a low voltage, can be used as a switch (selector device) in nonvolatile memory circuit controlling the access to each memory element, which is strongly required for the integration of passive crossbar array with low sneak path leakage. The Pt-Fe2O3 core-shell NPs consisting of Pt core and Fe2O3 shell, which were chemically synthesized as colloids, exhibited the threshold switching in Ti/NPs/Pt structure by charge transport through Pt cores surrounded by insulating Fe2O3 shells. These characteristics were employed in oxide-TFT by inserting NPs layers between source(drain) and indium-gallium-zinc-oxide (IGZO) channel. Different from the TFT without NPs layers, the TFT with NPs layers exhibited the threshold switching in output curves. It is featured to have a threshold drain voltage even in the condition of a higher gate voltage than threshold voltage. It implies that NPs layers inserted between source(drain) and channel are the additional switch elements operating separately by the drain voltage. It is unique that the device has two independently controlled threshold voltages by gate and drain electrodes. Also, the threshold switching of NPs, representing a high resistance at a low Vds, may potentially reduce the off-state leakage current from source to drain, which the scaled MOSFETs or TFTs suffer from as a short channel effect. In that point, inserting additional NPs switches having threshold switching characteristics would be able to reduce the off-state leakage current. The hysteresis was also observed in output curves and in the transfer curves with threshold gate voltage shift. These hysteresis and threshold voltage shift were ascribed to the charging and discharging of inserted NPs. These results demonstrated unique TFT characteristics having threshold drain voltage by the threshold switching effect of NPs and memory function with threshold voltage shift and hysteresis thanks to charging and discharging of NPs.
9:00 AM - TT10.47
Development of Iron, Nitrogen Co-modified Peroxo Titanic Complex Thin Film for Ultra-Sensitive Humidity Sensors and Analysis of Dynamic Electrical Properties in Parallel RC Circuit Model
Wen-Sheng Huang 1 Ming-Chih Tsai 2 3 Jui-Lin Chang 1 Pei-Kuen Wei 3 Wei-Cheng Tian 1 2
1Graduate Institute of Electronics Engineering Taipei Taiwan2Graduate Institute of Biomedical Electronicsamp; Bioinformatics Taipei Taiwan3Research Center for Applied Sciences Taipei Taiwan
Show AbstractIn this study, we demonstrate an ultra-sensitive humidity sensor using iron, nitrogen, co-modified titanic complex as sensing material through sol-gel method. The electrically measured result shows significantly sensing resistance variation which was more than three orders and quick response/recovery in seconds during the relatively humidity (RH) from 30% to 70%. Additionally, the observed resistance of co-modified thin film has different trends between DC and AC measurement due to the effect of capacitor formed by the water molecular layer. To explain the phenomenon, we also construct a parallel RC circuit model which precisely described and verified this difference and behavior.
TT6: Photoelectrochemistry
Session Chairs
Wednesday AM, April 08, 2015
Marriott Marquis, Golden Gate Level, Ballroom B
9:15 AM - TT6.02
Growth and Characterization of Tin Oxide Low Dimensional Structures and Tin Oxide- Graphene Oxide Nanocomposites for Battery Applications
Felix del Prado 1 Miguel Garcia-Tecedor 1 David Maestre 1 A. Cremades 1 Julio Ramirez Castellanos 2 J.M. Gonzalez-Calbet 2 Javier Piqueras 1
1Dpt. Fisica de Materiales, Facultad de CC. Fisicas, Universidad Complutense de Madrid Madrid Spain2Dpt. Quimica Inorganica I, Facultad de CC. Quimicas, Universidad Complutense de Madrid Madrid Spain
Show AbstractLithium-ion batteries are widely used so far due to their high energy density, safety and long cycle lifetimes, however, current Li-ion battery electrodes are usually made from graphitic carbon which theoretical capacity is limited. SnO2 has attracted increasing attention as an alternative anode material [1] because of its higher Li storage capacity than carbonaceous electrodes. Different approaches are considered in order to solve problems such as the SnO2 volume expansion during charge/discharge processes, as the use of nanostructured SnO2 [2] and the synthesis of SnO2 composited with graphene [3].
In this work, different SnO2 based compounds have been fabricated and characterized, with special interest focused on the effects induced by Li and Cr doping. Therefore, rods, tubes, nanoparticles and graphene-based compounds have been fabricated following different approaches. Low dimensional SnO2 doped structures in forms of nanowires and microtubes have been grown at 800-1400 0C by a catalyst free evaporation-deposition method using either metallic Sn or SnO2 mixed with Cr2O3 and Li2CO3 as precursors. SnO2 nanoparticles doped with Cr and Li have been synthesized via a modified Pechini method which allows to reach high control in size and composition. SnO2-graphene oxide composites have been grown by a modified Hummer method. In this work the effect of Cr and Li on the structural and luminescent properties of rutile-type SnO2 low dimensional structures (nanoparticles, nanowires, microtubes, and composites) is studied by means of transmission electron microscopy (TEM), cathodoluminescence (CL), energy dispersive x-ray spectroscopy (EDS) and Raman spectroscopy. The thermal parameters and the corresponding precursor determine the morphology of the as grown structures which dimensions vary from 5 nm to tens of microns width and up to hundred of microns length. In the case of SnO2, chromium is usually incorporated as substitutional Cr3+ in octahedral coordination, therefore anionic vacancies and/or cationic interstitials are generated during doping as well as a decrease in conductivity is also observed. However, the Cr3+ characteristic emission at 1.79 eV is not observed for all the samples and the luminescence of Cr doped SnO2 highly differs from that characteristic from undoped SnO2. The incorporation of Li in SnO2 and its influence on the luminescence properties has scarcely been studied in nano and microstructures. The codoping of Cr and Li causes an enhancement of the Cr emission meanwhile the conductivity of the samples is increased.
[1] Y.D. Ko, J.G. Kang, J.G. Park, S. Lee, D.W. Kom, Nanotechnology,20, 455701 (2009)
[2] J.Y. Huang, L. Zhong, C.M. Wang, J.P. Sullivan, W. Xu, L.Q. Zhang, S.X. Mao, N.S. Hudak, X.H. Liu, A. Subramaniam, H. Fan, L. Qi, A. Kushima, J. Li. Science, 330, 1515 (2010)
[3] J. Lin, Z. Peng, C. Xiang, G. Ruan, Z. Yan, D. Natelson, J.M. Tour, ACS Nano, 7, 6001 (2013)
9:30 AM - *TT6.03
Specifically Adsorbed Ions Control Energetics and Activity of Nanocrystal Water Splitting Photocatalysts
Frank E. Osterloh 1 Rachel Chamousis 1
1University of California Davis United States
Show AbstractTetrabutylammonium (TBA) stabilized H[Ca2Nb3O10] nanosheets catalyze hydrogen evolution from aqueous methanol under illumination with UV light. Here we show that surface treatment with protons, potassium, and strontium potential-determining cations (PDIs) in aqueous solution modifies the electrostatic, energetic and photocatalytic properties of this nanomaterial. Attachment of cations to the nanocrystals was verified with elemental dispersive spectroscopy. Zeta potentials were measured as -40 mV (TBA+, pH = 4.8), -50 mV (K+, pH=4.3), and -20 mV (Sr2+, pH=4.4). Photoelectrochemical measurements in methanol containing 0.1 M tetraethylammonium chloride revealed anodic current photoonset potentials/Fermi energies ranging between -0.59 V (Sr2+) and -0.71 V (pH = 7). The photocatalytic proton reduction ability of the modified nanocrystals was assessed in aqueous methanol at pH = 1. Here, KxH1-x[Ca2Nb3O10] evolved hydrogen at 350 mu;mol H2 h-1, SrxH1-x[Ca2Nb3O10] at 70 mu;mol H2 h-1, and H[Ca2Nb3O10] at 160 mu;mol H2 h-1. In addition, the photocatalytic activity was found to increase (20 - 160 mu;mol H2 h-1) with solution pH. These observed activity variations can be quantitatively understood using a linear free energy relationship between the proton reduction rate constant and the free energy of proton reduction. This shows that the photocatalytic activity of the nanocrystals depends on the electrochemical potentials/Fermi energies of the modified catalysts. The effect of the PDI charge on the nanomaterial energetics can be rationalized by considering the surface potential. The latter can be related to the particle surface charge and the concentration of counterions in solution using the Grahame equation. These results provide a quantitative basis for the understanding and manipulation of nanomaterial photocatalysts with PDIs.
10:00 AM - *TT6.04
Functional and Structural Characterization of Metal Oxide Surfaces with Additives for Gas Sensor and for Solar Fuel Production Photoelectrodes
Juan Ramon Morante 1 2
1IREC Sant Adria del Besos Spain2University of Barcelona Barcelona Spain
Show AbstractDue to their surface performances metal oxide materials have become one of the most used material for transducing electrical to chemical energy and vice versa, including in some cases the previous conversion from optical energy to the electrical one. Solid state based gas sensors are based on the electrical consequences promoted by the chemical reactions taken place at the surface and photo electrodes are also based on the oxidation (anode) and reduction (cathode) reactions at the surface promoted by the photo generated carriers giving rise to the production of solar fuels (STF solar to fuel), hydrogen or C1 products respectively from the H2O or CO2 feed stocks.
However, in spite of the stronger significance of the role of the surface characteristics in comparison to the bulk properties, fewer attentions have been addressed to assess the surface performances.
In this contribution, we paid attention to the functional and structural methods and procedures for determining where and how the used additives for different metal oxides are located at the outermost monoatomic layers of the active material. Different alkaline earth elements and transition and noble metals as additives in TiO2, SnO2 hellip;are reviewed for enhancing the gas sensor capability. Likewise, the role played by the presence of minority carriers is discussed from the behavior of these materials under illumination.
Finally, these procedures will be extended to the functional and structural analysis of the MOx photoelectrodes. In this case, aside of the charge separation and bulk recombination rate, the catalyst properties of the interface solid/electrolyte cause for increasing the charge transfer rate from the absorber to the electrolyte, improving the energy balance of the overall photo electro chemical PEC cells. Surface characterization methods for determining the effective surface state density and profile will be shown and the role of the involved mechanisms related with the charge transfer rate between the solid electrode and the electrolyte will be exposed and determined. Examples based on several metal oxides with different amount of additive for modifying their properties (TiO2, Fe2O3, WO3, hellip;) will be shown and discussed in order to improve the overall conversion of solar energy to fuels, STF, efficiency.
10:30 AM - *TT6.05
Nanostructured Delafossite Photocathodes for Solar Water Reduction
Kevin Sivula 1
1Ecole polytechnique federale de Lausanne Lausanne Switzerland
Show AbstractDelafossite CuFeO2 is a promising material for solar hydrogen production given its favorable light absorption, proven stability, and the prevalence of its components, but its application is limited by poor photogenerated charge collection. This presentation first describes the current state-of-the-art in employing CuFeO2 as a p-type photocathode for the direct water photoreduction while highlighting the apparent limitations. Subsequently, strategies to improve the performance of CuFeO2 electrodes are demonstrated. Optimizing the delafossite layer thickness, using a simple sol-gel deposition technique, and increasing the majority carrier concentration (via the thermal intercalation of oxygen), give insights into the recombination and extraction of photogenerated charges and enables performance improvement. In addition a porous host scaffold of CuAlO2 is employed to improve charge carrier collection. In O2 saturated electrolyte (sacrificial) photocurrents (1 sun illumination) up to 2 mA cm-2 at +0.35 V vs the reversible hydrogen electrode are observed. Despite the favorable onset potential for photocurrent with the bare delafossite electrodes, water photoreduction is limited by poor hydrogen evolution catalysis. However, through the use of suitable oxide overlayers and HER catalysts, sustained solar H2 production photocurrents of over 1 mA cm-2 are demonstrated. Finally the application of optimized photocathodes in all-oxide tandem cells for overall solar water splitting is examined along with an outlook for future improvement.
TT7: Perovskite Solar Cells
Session Chairs
Wednesday AM, April 08, 2015
Marriott Marquis, Golden Gate Level, Ballroom B
11:15 AM - *TT7.01
Metal Oxide/Organo Metal Halide Perovskite Junctions in Highly Efficient Hole Conductor Free Perovskite Based Solar Cells
Lioz Etgar 1
1Hebrew University Jerusalem Israel
Show AbstractPerovskite is a promising light harvester for use in photovoltaic solar cells. In recent years, the power conversion efficiency of perovskite solar cells has been dramatically increased, making them a competitive source of renewable energy.
This work will discuss several topics related to perovskite based solar cells:
1. An in-depth study on two-step deposition, separating the perovskite deposition into two precursors.The effects of spin velocity, annealing temperature, dipping time and methylammonium iodide concentration on the photovoltaic performance are studied.
2. High Voltage hole conductor free perovksite solar cells- first demonstration to gain high voltage in perovksite solar cells without hole conductor.
3. Kelvin probe force microscopy is used to measure cross-sections of hole conductor free CH3NH3PbI3 perovskite solar cells. The work function change are measured at the interfaces between the CH3NH3PbI3 perovskites and a metal oxide, nanocrystalline TiO2 and Al2O3, respectively. The findings from this research are critical for the understanding and further improvement of perovskite based solar cells, and are valid for cells with a hole transport material.
11:45 AM - *TT7.02
Configurations and Characterizations of Perovskite Nanocrystals Deposited on Varied Metal Oxide Layers
Hung-Yu Hsu 1 Chi-Yung Wang 1 Jia-Wei Shiu 1 Cheng-Ming Tsai 1 Amir Fathi 1 Eric Wei-Guang Diau 1
1National Chiao Tung University Hsinchu Taiwan
Show AbstractThe organic-inorganic hybrid lead halide perovskite has a bandgap 1.5 eV and VOC of this perovskite-based solar cell was much greater than that of a dye-sensitized solar cell, rendering this photovoltaic system promising for further investigations. In this lecture, both n-type and p-type perovskite solar cells will be introduced based on varied structural configurations of the devices. Typical n-type device has a structural configuration FTO/TiOx/TiO2/CH3NH3PbI3/Spiro-OMeTAD/Au whereas that of a p-type device is configured as ITO/PEDOT:PSS/CH3NH3PbI3/PCBM/Al. For n-type devices, varied mesoporous TiO2 nanostructures were applied to show the morphological effect of the scaffold on the device performance with a mesoscopic heterojuction; for p-type devices, varied additives were applied to control the formation morphology of the perovskite nanocrystals with a planar heterojunction. To understand the relaxation mechanism inside the perovskite solar cells, we carried out femtosecond optical gating (FOG) measurements for perovskite (CH3NH3PbI3) deposited on thin films of nanocrystalline TiO2, NiO and Al2O3 upon excitation at 450 nm. The emission transients of perovskite on semiconductor films were observed in the spectral region 650-810 nm. Measurements of power dependence on emission intensities vs excitation densities were also performed and an Auger-type energy transfer model was utilized to rationalize the observed relaxation dynamics. Photo-induced absorption spectra and nanosecond transient absorption kinetics were also performed to understand the electron-hole recombination rates responsible for the corresponding device performances.
12:15 PM - TT7.03
Ion Induced Reduction of Iron Oxides Investigated by Energy-Resolved XPS and XANES
Chuong Nguyen 1 Jerome Leveneur 2 Yantao Song 3 Francis Goh 4 James Metson 3 4 Mark Taylor 1 4
1University of Auckland Auckland New Zealand2GNS Science Lower Hutt New Zealand3University of Auckland Auckland New Zealand4University of Auckland Auckland New Zealand
Show AbstractIon-induced reduction of metal oxides is a widely reported phenomenon. Previous studies have used surfaces-sensitive techniques such as x-ray photoelectron spectroscopy (XPS) and Auger electron spectroscopy (AES) to link the phenomenon to the energy of formation of an oxide, preferential sputtering and bombardment-induced segregation of different elements. This study investigates the effective depth of ion-induced reduction on representative iron oxides (hematite Fe2O3, goethite FeOOH and magnetite Fe3O4) using synchrotron radiation. By varying the incident photon energies, oxidation states at different depths can be probed with consistent charge compensation. The spectra are resolved on energy sensitive Tougaard&’s background, in comparison to a more convenient Shirley&’s one. Complementary information is also obtained using x-ray absorption of near-edge structure (XANES). The study aims to establish a correlation between ion doses and the extent of reduction induced by them. This understandings may lead to a novel way to include a structurally integrated metallic state in a surface thin film of oxides.
Symposium Organizers
Jan Augustynski, Warsaw University
Clara Santato, Ecole Polytechnique-Montreal
Xiao Wei Sun, Nanyang Technological University
Alberto Vomiero, Lulea University of Technology
Symposium Support
Los Alamos National Laboratory
TT13: Memristors
Session Chairs
Thursday PM, April 09, 2015
Marriott Marquis, Golden Gate Level, Ballroom B
3:00 AM - TT13.02
Enhanced Functionalities of Integrated Circuits Enabled by Memristive BiFeO3 Structures
Heidemarie Schmidt 1 Yao Shuai 2 Tiangui You 1 Nan Du 1 Danilo Buerger 1 Ilona Skorupa 1 Christian Mayr 3 Massimiliano Di Ventra 4 Dmitri Basov 4 Thomas Mikolajick 5 Oliver G. Schmidt 1 6
1Technische Universitauml;t Chemnitz Chemnitz Germany2University of Electronic Science and Technology of China Chengdu China3University of Zurich and ETH Zurich Zuuml;rich Switzerland4University of California San Diego United States5NaMLab gGmbH Dresden Germany6IFW Dresden Dresden Germany
Show AbstractThe ongoing research towards advanced functional materials has inspired many researchers to investigate non-volatile resistive switching binary oxides, e.g. TiO2, HfO2, ZrO2, and NiO. Here we present the nonvolatile multilevel resistive switching up to 200°C without an electroforming process in two-terminal Ti-doped BiFeO3 structures. BiFeO3 contains the green element bismuth, the fourth most common element in the Earth&’s crust iron, and oxygen. The fundamental insight in the influential role of fixed Ti donors in BiFeO3 was discovered in 2011 [1]. Fixed Ti donors can effectively trap mobile donors in BiFeO3. On the other hand, the mobile donors in two-terminal BiFeO3 structures can be redistributed between the two electrodes, if a voltage is applied and if the resulting electrostatic potential is larger than the Ti trap potential. Finally, the non-volatile resistance of two-terminal BiFeO3 structures [2] is determined by the non-volatile distribution of mobile donors and enables to ad new functionalities to integrated circuits, e.g. for energy-efficient neuromorphic sensors, detectors, and computer hardware. BiFeO3 is a multiferroic perovskite with the ferroelectric Curie temperature Tc well above room temperature (Tc=1100 K), a large polarization charge of 90-95 mC/cm2, an antiferromagnetic Néel temperature of 652 K, and an optical bandgap of 2,8 eV. In a proof-of-principle experiment for learning we have shown that two-terminal BiFeO3 structures with one flexible and one unchangeable electrode reveal spike-timing dependent plasticity [3]. Furthermore, two-terminal BiFeO3 structures with two flexible electrodes can be used for the nonvolatile reconfiguration of all 16 Boolean logic gates [4]. The trapping of mobile donors in BiFeO3 by fixed Ti donors enables the observed new functionalities [3,4] of BiFeO3. If no voltage is applied, the non-volatile resistance of the BiFeO3 structures is determined by the non-volatile distribution of mobile donors. In addition, due to the electroforming-free and stable resistive switching of two-terminal BiFeO3 structures, validated memristance measurements could be performed [5] and also higher harmonics could be efficiently generated with a strong promise for application in a new type of hardware -based cryptography [6].
[1] Y. Shuai et al., Appl. Phys. Exp. 4 (2011); J. Appl. Phys. 109 (2011)
[2] Y. Shuai et al., Phys. stat. sol. C 10 (2013); Scientific Reports 3 (2013); IEEE Electr. Dev. Lett. 34 (2013)
[3] C. Mayr et al., Neural Information Processing Systems NIPS 2012 (2012); N. Du et al., Frontiers in Neuroscience (2014) submitted
[4] T. You et al., Adv. Funct. Mater. 24 (2014)
[5] N. Du et al., Rev. Sc. Instr. 84 (2013)
[6] N. Du et al., J. Appl. Phys. 115 (2014)
3:15 AM - TT13.03
Spectromicroscopic Insights into Local Switching Processes in SrTiO3-Based Memristive Devices
Christoph Baeumer 1 Christoph Schmitz 1 Chengcheng Xu 1 Katharina Skaja 1 Oliver Peters 1 Giovanni Zamborlini 1 Vitaliy Feyer 1 Carsten Wiemann 1 Claus Michael Schneider 1 Rainer Waser 1 2 Regina Dittmann 1
1Forschungszentrum Juelich GmbH Juelich Germany2RWTH Aachen University Aachen Germany
Show AbstractResistive switching oxides are investigated extensively as a possible route towards future non-volatile memory or as basis for the design of novel neuromorphic circuits. While it is widely accepted that resistive switching in transition metal oxides is caused by nanoscale redox reactions (the so-called valence change mechanism), the electronic and chemical structure of the resistively switching regions in each resistance state remains elusive. In particular, microscopic details governing the device performance such as the retention time are unclear.
In this work, we unravel the spectromicroscopic signature of resistively switching ultra-thin SrTiO3 films. X-ray photoelectron emission microscopy (XPEEM) was performed at the NanoESCA beamline at synchrotron facility Elettra after in-situ delamination of the Au top electrodes. Devices in the low-resistance state exhibit regions with significant amounts of Ti3+ in a matrix of Ti4+, a feature which is absent in devices in the high resistance state. Comparison to local conductivity AFM measurements revealed that these regions have a higher conductivity than their surroundings, thus confirming the valence change mechanism to be responsible for the resistance change in SrTiO3.
Comparison of devices with intrinsically different levels of data retention allowed us to fabricate devices with a more uniform and enhanced retention characteristic. Our XPEEM results show that all SrTiO3-devices with a good retention in the low resistance state possess a so-called switching crater consisting of a SrO overlayer on a Sr-deficient SrTiO3 region with large Ti3+/Ti4+ ratios. We believe that this SrO overlayer develops during the switching process due to Joule heating and that it provides a diffusion-blocking interface which is a necessary component for long data retention times. We utilize this insight into the microscopic features of the switching process to fabricate devices with strongly enhanced retention times and a more uniform distribution of device resistances after the switching process through the artificial introduction of a SrO/SrTiO3 interface.
3:30 AM - TT13.04
Memristive Properties of Metal/Manganite Devices: Correlation of Charge-Carrier Transport and Redox-State at the Interface
Regina Dittmann 1 Anja Herpers 1 Christian Lenser 1 Stephan Menzel 2 Chanwoo Park 1 Francesco Borgatti 2 Francesco Offi 3 Giancarlo Panaccione 4 Rainer Waser 5
1PGI-7 Juuml;lich Germany2CNR - Istituto per lo Studio dei Materiali Nanostrutturati (ISMN) Bologna Italy3CNISM and Dipartimento di Scienze, Universit`a Roma Tre Rome Italy44CNR - Istituto Officina dei Materiali (IOM), Laboratorio TASC Trieste Italy5Institut fuuml;r werkstoffe in der Elektrotechnik II Aachen Germany
Show AbstractResistance random access memory (RRAM), which utilizes two or more resistive states of a material system for data storage, has attracted considerable attention as a future non-volatile memory concept. A large variety of binary oxides and complex transition metal oxides exhibit different resistance states at opposite polarities of electrical stimulation and could thereby be employed as RRAM. It has become widely accepted that resistive switching in oxides is in most cases connected with a voltage-driven oxygen vacancy movement and a resulting redox process. However, the current knowledge of the microscopic details of the redox-processes is very limited. Besides the n-conducting oxides which mostly exhibit filamentary resistive switching, there exists another class of bipolar resistive switching oxide systems, such as several manganites, for which it was demonstrated that the high and low resistive state currents scale with the electrode area implying that forming and switching take place beneath the whole electrode.
We have shown that after electroforming of Ti/Pr0.48Ca0.52MnO3/SrRuO3 thin film devices, the chemical changes at the interface between the Ti electrode and the PCMO layer dominate the resistance of this multilayer stack. The reactive metal electrode forms an oxide layer at the Ti/PCMO interface prior to device operation, and applying a voltage to the stack was shown to increase the thickness of the TiO2 layer at the interface, and to deplete the underlying PCMO of oxygen further.
Based on the knowledge of the electroforming mechanism, we present an encompassing view of the field-induced valence change during resistive switching at the Ti/PCMO interface. The chemical changes at the Ti/PCMO interface in four different resistive states are investigated by Hard X-ray Photoelectron Spectroscopy (HAXPES), and correlated to the transport-mechanism of charge-carriers across the multilayer stack for each state. A notable difference between the high and low resistive states can be explained through a convolution of several conduction mechanisms, which is in agreement with the observed chemical changes.
[1] F. Borgatti, C. Park, A. Herpers, F. Offi, R. Egoavil, Y. Yamashita, A. Yang, M. Kobata K. Kobayashi, J. Verbeeck, G. Panaccione and R. Dittmann, Nanoscale 5, 3954 (2013)
[2] A. Herpers, C. Lenser, C. Park, F. Offi, F. Borgatti, G. Panaccione, S. Menzel, R. Waser and R. Dittmann, Adv. Mat. 26, 2730 (2014)
3:45 AM - TT13.05
Polarization Dynamics in Ferroelectric Relaxors Studied by Multidimensional Piezoresponse Force Microscopy
Rama Krishnan Vasudevan 1 4 Shujun Zhang 2 Mahmut Okatan 1 4 Stephen Jesse 1 4 Sergei V. Kalinin 1 4 Nazanin Bassiri-Gharb 3 5
1Oak Ridge National Laboratory Oak Ridge United States2Pennsylvania State University University Park United States3Georgia Institute of Technology Atlanta United States4Oak Ridge National Laboratory Oak Ridge United States5Georgia Institute of Technology Atlanta United States
Show AbstractThe unique properties of the ferroelectric relaxors are thought to be due to compositional and charge disorder in these systems [1,2], which can manifest at atomic and mesoscopic length scales. However, little is known about polarization dynamics in the prototypical ferroelectric relaxor system (1-x)Pb(Mg1/3Nb2/3)O3-xPbTiO3 (PMN-xPT) at the mesoscopic level. Here, we develop a multidimensional piezoresponse force microscopy (PFM) based technique [3] to study the voltage and time dependent response of the single crystal relaxor PMN-0.28PT. Measurements reveal that for small bias, there is little perturbation, but the relaxation increases for larger bias pulse amplitudes. Furthermore, mesoscale heterogeneity is evident in relaxation amplitudes, with two distinct amplitudes present. To gain insight into the disorder, the entire dataset was further analyzed by independent component analysis. These reveal the presence of a disorder component to the response, which is found to be inversely correlated to the piezoresponse at that area. The difference is postulated to arise from the mixture of rhombohedral and field-induced tetragonal phases present in the probed volume of the tip, which can vary spatially depending on local defects, chemical inhomogeneity and elastic clamping effects. These studies show the utility of PFM-based spectroscopies in combination with big-data style analyses in mapping the disorder that underpins functionality of the ferroelectric relaxors.
This research was sponsored by the Division of Materials Sciences and Engineering, BES, DOE (RKV, SVK). A portion of this research was conducted at and partially supported by (SJ, MO) the Center for Nanophase Materials Sciences, which is a DOE Office of Science User Facility. NBG acknowledges funding from the US national Science Foundation through grant # DMR-1255379.
References
1. A. A. Bokov, Z. -G. Ye, J. Mater. Sci.41, 31 (2006).
2. F. Jiang, S. Kojima, C. Zhao, C. Feng, Appl. Phys. Lett79, 3938 (2001).
3. S. V. Kalinin, B. J. Rodriguez, J. Budai, S. Jesse, A. Morozovska, A. A. Bokov, Z.-G. Ye, Phys. Rev. B.81, 064107 (2010).
TT14: Electrical amp; Electrochromism
Session Chairs
Thursday PM, April 09, 2015
Marriott Marquis, Golden Gate Level, Ballroom B
4:30 AM - *TT14.01
Electrochromic Materials and Devices for Applications in Smart Windows and Batteries
Jinmin Wang 1
1Shanghai Second Polytechnic University Shanghai China
Show AbstractEnergy-saving and CO2 emission reduction are becoming more and more urgent because of pressing issues such as global warming, climate change and resultant environmental concerns. In line with these worldwide efforts, use of electrochromic smart windows will significantly decrease the cost of air conditioning and sun shading, and simultaneously improve the indoor comfort with added decoration effect. Besides, electrochromic materials are capable of storing/releasing ions and electrons in switching, which shows their battery characteristics.
Tungsten oxide (WO3) nanorods were synthesized by a hydrothermal process. Transparent film was fabricated by an aggregation-deposition process of WO3 nanorods on transparent conductive glass. The as-synthesized WO3 nanorods exhibit good electrochromic properties. WO3 nanopaltes were directly grown on transparent conductive glasses by a crystal-assisted hydrothermal process, showing enhanced response and coloration efficiency. These properties of WO3 nanostructures endow their promising application in large-area smart windows. Prussian blue films were fabricated and used in color-changeable betteries.
A bi-functional Prussian blue/Aluminium device has been demonstrated for self-powered electrochromic window and self-rechargeable transparent battery. Without applying any external bias, Prussian blue can be bleached to Prussian white by connecting the Prussian blue and Al electrodes. After bleaching, the blue colour of the device can be spontaneously recovered. In this regard, the designed device can be used as an energy-saving electrochromic smart window which requires no external power source. The as-prepared device can also be used as a battery with a high output voltage. Most importantly, after discharging, such a battery can automatically self-recharge. The as-prepared device exhibits promising applications in broad fields, for example, as a self-powered smart window to perform indoor light and heat management, and as a self-rechargeable transparent battery to power some delicate devices.
5:00 AM - TT14.02
Characterizing Ionic/Electrochemical Effects in the Resistive Switching of TiO2 Film by Using Advanced Scanning Probe Microscopy Techniques
Wanheng Lu 1 Kaiyang Zeng 1
1National University of Singapore Singapore Singapore
Show AbstractResistive switching in transition metal oxides has attracted great attention due to the potential for Resistance Random Access Memories (RRAM) with high speed and density. Even though a great number of researches on resistive switching behavior of oxides have been conducted, it is still not fully understood the detail mechanisms such as electroforming and subsequent resistive switching in different materials, and such understanding is important to develop the new generation non-volatile memory materials or devices. TiO2, as a potential memristive material, has been largely studied and reported in the literature and it is an ideal candidate to study the mechanism of the resistive switching. On the other hand, TiO2 is an electronic-ionic semiconductor and it is reported that the formation and ordering of oxygen vacancies (ionic species) in the TiO2 thin film were resulted from the electrochemical reactions, which might lead to the phase change and finally the resistance switching. However, there are so far few studies to directly provide experimental basis for this ionic/electrochemical effects in the resistive switching processes of TiO2.
In this study, the resistive switching behaviour of TiO2 thin film are studied by using Electrochemical Strain Microscopy (ESM) and conductive Atomic Force Microscopy (C-AFM) techniques. ESM is a new type of scanning probe microscopy technique, and it is an effective tool to study the local electrochemical phenomena such as surface deformation due to the electrochemical reactions, the ionic mobility and distribution, and the electrochemical activity. In this work, the TiO2 samples with various thicknesses were prepared on Pt/TiO2/Si substrates by pulsed laser deposition technique with different oxygen partial pressures. By combining ESM and C-AFM measurements, the ionic/electrochemical effects in the resistive switching processes of TiO2 are studied. The results have shown the direct correlations between the ionic/electrochemical processes and different stages of the resistive switching, including the electroforming, set, or reset. In addition, the possible mechanisms for the resistive switching in TiO2 are studied and discussed.
5:15 AM - TT14.03
TEM and EELS Study on TaOx-Based Nanoscale Resistive Switching Devices
Kate J Norris 1 2 Jiaming Zhang 3 Srinitya Musunuru 3 Max Zhang 3 Kathryn Samuels 3 J. Joshua Yang 3 Nobuhiko P Kobayashi 1 2
1University of California Santa Cruz Santa Cruz United States2Advanced Studies Laboratories, Univ. of California Santa Cruz - NASA Ames Research Center Moffett Field United States3Hewlett-Packard Laboratories Palo Alto United States
Show AbstractResistance switching, a reversible change in electrical resistance of a dielectric layer through the application of a voltage potential, has propelled a field of research to form improved non-volatile memory device. Tantalum oxide has been one of the leading candidates for the dielectric component of resistance switching devices. Presented here is a structural and chemical investigation of 55nm TaOx devices in the virgin, forming on, and switched off (reset) states for comparison using cross sectional TEM techniques including HRTEM, EELS, and EFTEM to gain further understanding of this material system. The nanodevices imaged in this study were shown to have high endurance (~10^7 cycles) and low current requirements. Unique features found in this study are in agreement with previous hypotheses made by various researchers based on X-ray fluorescence microscopy of micron-scale devices, indicating a variation in oxygen concentration around the switching area. In this presentation we will discuss the switching mechanism of nanoscale TaOx resistive switching devices based on the chemical and physical features found in the cross sectioned nanodevices.
5:30 AM - TT14.04
High-Resolution Electrohydrodynamic Inkjet Printed Oxide TFTs toward High-Performing, Stretchable Electronics
So-Yun Kim 1 Minji Kim 1 Yun-Yong Nam 2 Young Hwan Hwang 2 Byeong-Soo Bae 2 Jang-Ung Park 1
1UNIST (Ulsan National Institute of Science and Technology) Ulsan Korea (the Republic of)2KAIST Daejeon Korea (the Republic of)
Show AbstractPrinted electronics is feasible approaches to realize low-cost fabrication enabling compatibility with large-area and flexible substrate. Especially, ink-jet printing enables direct pattering of soluble materials without sequential processes including photolithography or selective etching. Although printing-based tools are available for manufacturing unique advances on plastic substrate, their functional devices, especially based on organic materials, offer generally low performances; for example low mobility and poor reliability. In this regard, metal oxide semiconductor (MOS) is attractive alternative to form the active matrix TFT arrays on plastic substrate with high performances, owing to high mobility (exceeding 10 cm2/Vmiddot;s) with low temperature processability. However, coarse resolution of conventional printing technique limits their potential use in miniaturizing feature size for high pixel density. In this talk, we introduce high-resolution patterned MOS-TFTs with high electrical performances using electrohydrodynamic inkjet (e-jet) printing technologies. E-jet printed MOS layer enables to form printing resolution of 2 µm in minimum, which is comparable to photolithography, and also to maintain its thickness uniformly from 10 nm to above. Also, the printed devices employing solution-based high-k gate dielectrics exhibit mobility values exceeding polycrystalline silicon properties, due to conformable interface between printed MOS films and gate dielectric layers. For concerning the devices uniformity, about 100 TFTs exhibit the Gaussian distribution according to mobility and threshold values. Also, all-printed TFT arrays where active channel, source/drain and encapsulation layer are demonstrated obtain excellent electrical performances comparable to a-Si properties and great improvement in bias stress stability by blocking the oxygen adsorption/desorption. For realizing future electronics, we demonstrate the flexible and stretchable printed TFT arrays on ultra-thin polyimide substrate, and they shows high TFT performances under bending or stretching tests.
5:45 AM - TT14.05
High-Performance Thin Film Hybrid Moisture Barriers for Packaging Organic Electronic Devices
Ankit Singh 1 Canek Fuentes-Hernandez 1 Cheng-Yin Wang 1 Hyungchul Kim 1 Amir Dindar 1 Bernard Kippelen 1 Samuel Graham 1
1Georgia Institute of Technology Atlanta United States
Show AbstractThin-film barrier coatings are seen as an enabling technology for the packaging and reliability of organic electronic devices to protect them from moisture and oxygen in the environment. Thus, vacuum deposition methods are utilized to create moisture and oxygen barriers for these devices by directly depositing on the devices or onto polymer substrates to create flexible lids that can be sealed over the devices. Of the vacuum deposition methods, atomic layer deposition has the potential to create ultra barrier films with thicknesses below 10 nm at relatively low vacuum. However, the integration of such films with devices due to topography, particle defects, and issues of coefficient of thermal expansion can make the utilization of such barrier films challenging.
In this work, we will present the development of hybrid barrier films which consist of a PECVD SiNx layer combined with an ALD oxide or an ALD nanolaminate as a barrier technology for organic electronics. TEM analysis showed very sharp interfaces between the ALD layers in the nanolaminate as well as between the ALD and PECVD underlayer with no formation of secondary phases and excellent chemical stability in the presence of moisture. Additional analysis showed that the PECVD underlayer plays an important role in limiting cracking of the ALD layer when placed onto a polymer surface with a large CTE mismatch. Moisture permeation tests were performed by directly coating the barrier layers onto Ca samples and testing the Ca corrosion in humid environments at various temperatures (50 °C/ 85% RH and 85 °C/85% RH). Data show that the intrinsic permeation through the barriers resulted in Ca samples with little degradation after keeping the samples for over 500 h at 85 °C/85% RH. In regions where particle defects where observed, localized degradation of the Ca was used to determine the diffusion rate through large defects and related to the particle sizes. QCM methods used to measure the microscale porosity distribution in the films and relate to the barrier performance will be presented as well as methods to reduce particle defects. Finally, the integration and performance of the barrier films with OLED devices and prospects for rapid spatial ALD manufacturing will be discussed.
TT11: Photoelectrochemistry Energy Batteries
Session Chairs
Thursday AM, April 09, 2015
Marriott Marquis, Golden Gate Level, Ballroom B
9:00 AM - TT11.01
Engineering the Complexity of Metal Oxide Hollow Spheres through a Template-Engaged Universal Strategy and their Superior Lithium Storage Properties
Genqiang Zhang 1
1Los Alamos National Lab Los Alamos United States
Show AbstractHollow sphereswith complex shell structures have stimulated tremendous research interest because the multi-level architecture could enable the materials a wealth of optimized properties in vaious applications including catalysis, drug delivery, gas sensors, energy storage systems and many others. Until now, many hollow spheres with multi-shelled structures have been fabricated through different synthetic routes. Despite these exciting progresses, there are still great challenges in the synthesis of complex hollow spheres. First, most of the current methods are only suitable for synthesizing complex hollow sphere of individual specific material, which undoubtedly hinder the practical applications of the complex hollow structures. On the other hand, the existed strategies could only be feasible for the synthesis simple binary metal oxide hollow spheres, which will largely limit the study of other multi-component metal oxide functional mateirals. Therefore, a universal strategy which could be general for both binary and multi-component metal oxide hollow spheres with controlled shell structures is highly dirable, but remains an urgent task till now.
In this work, we demonstrate for the first time, a universal strategy for the synthesis of various binary and multi-component TMO hollow spheres with controlled shell structures. Complex hollow spheres of various TMOs, including binary NiO, Mn2O3, Co3O4, Fe2O3 and mixed metal oxides CoMn2O4, Co1.5Mn1.5O4, MnCo2O4, ZnMn2O4, ZnCo2O4, NiCo2O4 are synthesized through the unified system. Moreover, the well controlled shell structures of binary Co3O4 and ternary ZnCo2O4 hollow spheres are performed to demonstrate the possibility of engineering the complexity of the shell structures. Importantly, it is found that the complex hollow spheres exhibit largely enhanced lithium storage properties which are highly dependent with the shell structures. Specifically, the carbon coated CoMn2O4 triple-shelled hollow spheres exhibit a specific capacity of 726.7 mA h g-1 and a nearly 100 % capacity retention after 200 cycles. Such a universal strategy could make a significant contribution on not only the synthetic methodology of the hollow structure, but also the application of the transition metal oxides as negative electrodes in lithium ion batteries.
9:15 AM - *TT11.02
Multi-Shelled Manganese Oxide Hollow Microspheres: Synthesis and Application in Superior Supercapacitor Electrode
Jianyan Wang 1 Hao Ren 2 Ranbo Yu 2 Dan Mao 1 Huijun Zhao 3 Dan Wang 1 3
1Institute of Process Engineering,Chinese Academy of Sciences Beijing China2University of Science amp; Technology Beijing Beijing China3Griffith University Gold Coast Australia
Show AbstractSupercapacitors are intermediate devices between conventional batteries and dielectric capacitors that can be used in various electric systems. The pseudo-capacitors have drawn great attentions because of their intrisic properties of fast and reversible surface redox reactions that enable high charge storage capacities greater than normal double-layer supercapacitors. A main attraction of pseudo-capacitors is their ability to embrice the advantages of both lithium ion batteries and capacitors. The manganese oxide is one of the most promising candidates due to its high specific capacitance, superior environmental compatibility and cost effectiveness. Though manganese oxides have demonstrated huge potentials as supercapacitor electrodes, they have drawbacks: 1) low specific surface area, resulting in lower specific capacitance; 2) poor electron and ion conductivities, leading to a lower power density; 3) partial dissolution in the electrolytes during cycling, giving a rise to the capacitance degradation.
An emerging solution to overcome the above drawbacks is to utilize the unique structiral characteristics of the hollow micro-/nano-structured materials. The hollow micro-/nano-structures-based supercapacitor electrodes possess a number of advantages including: 1) The unique hollow structure provides more accessible faradic reactive sites in real capacitive process, leading to a higher energy density; 2) The porous shells can dramatically enhance the accessibility of the electrolyte to the active manganese oxide surface with improved conductivity and shortened transport length for both ions and charges, leading to a higher rate capability and power density; 3) Importantly, with the multi-shelled hollow structures, different shells are supported each other and the exterior shell protects the interior shells from the electrochemical dissolution to achieve better structural and electrochemical stabilities, leading to an improved cycling performance. However, to allow us taking full advantages of multi-shelled hollow spheric structures, an enabling synthetic method must be developed to achieve precise control of key structural parameters.
Herein, we report an effective approach to synthesize the multi-shelled Mn2O3 hollow microspheres with controlled number of shells, shell thickness and porosity as the high performance supercapacitor electrode materials. The as-synthesized triple-shelled Mn2O3 hollow microspheres with thin porous shells show an extremely high specific capacitance up to 1651 F/g at a current density of 0.5 A/g, and remarkable cycling stability with 92% retention after 2000 consecutive cycles. Besides, the rate capability is also impressive, showing a specific capacitance as high as 1422 F/g at a high current density of 10 A/g. To our knowledge, the performance of Mn2O3-based electrode materials for supercapacitor reported here is a new record to date.
9:45 AM - *TT11.03
Sonochemical Synthesis of Coherent Mn3O4 Carbon Nanocomposites with Enhanced Energy Storage Property
Guozhong Cao 1 2
1University of Washington Seattle United States2Chinese Academy of Sciences Beijing China
Show AbstractNanostructured Mn3O4 was introduced to activated carbon by a novel sonochemical reaction and the resulting nanocomposites were investigated as supercapacitor electrodes. Not only does the sonication catalyze the redox reaction, but also promote the diffusion of the precursors, resulting in the formation of coherent nanocomposites with Mn3O4 nanoparticles grown and uniformly distributed inside the mesopores of activated carbon. This novel microstructure endows the sample with a superior performance, the specific capacitance is 150 F/g against 93 F/g of activated carbon at a charge/discharge rate of 100mA/g, and the energy density of 228 Wh/Kg against 178 Wh/Kg of activated carbon at a power density of 273W/kg in Li ion capacitors.
10:15 AM - TT11.04
Nanocatalysts for Integrated Solar-Powered Fuel Cell System Combining Fuel Reforming, Reformate Post-Processing, and Fuel Cel
Titilayo Shodiya 1 Daniel Real 1 Nico Hotz 1
1Duke University Durham United States
Show AbstractThis study describes the synthesis of nano-catalysts for methanol steam reforming and preferential oxidation (PROX) of carbon monoxide and their combination with a non-concentrating solar-thermal collector and a polymer electrolyte membrane (PEM) fuel cell. The solar collector is used to capture thermal energy at sufficient temperature to drive hydrogen production by steam reforming of methanol. The PROX catalyst oxides the CO within the H2-rich reformate gas mixture, produced in an undesired side-reaction in the fuel reformer. Once the CO level is reduced below 20 ppm, the clean hydrogen gas mixture is fed into a low-temperature fuel cell.
In conventional fuel reforming systems, the thermal energy required to preheat water and fuel to the reaction temperature, evaporate liquid water and fuel, compensate heat losses, and overcome the reaction enthalpy of the catalytic steam reforming is generated by burning part of the initial fuel. This typically costs approximately half of the fuel. In the solar-powered system of this study, all fuel can be converted to hydrogen, since the heating requirement is fulfilled by solar power.
The methanol steam reforming catalyst consists of nano-scale CuO/ZnO/Al2O3 particles made by a novel flame spray pyrolysis method resulting in a highly active catalyst with high surface-to-volume ratio compared to commercially produced catalyst. Reaction temperatures between 220 and 295 °C, methanol-water inlet flow rates between 2 and 50 mu;l/min, and reactor masses between 25 and 100 mg are tested for their effect on methanol conversion and the production of undesired carbon monoxide. 100% methanol conversion can be easily achieved within the operational conditions mentioned for this flame-made catalyst - at reactor temperatures of 255 °C more than 80% methanol conversion can be reached for methanol-water inlet flow rates as high as 10 mu;l/min.
Au/Fe2O3 nanoparticles are synthesized by a modified co-precipitation method. By controlling the pH during the synthesis, an inverse catalyst can be generated: The catalyst support material, Fe2O3, is present in smaller particles (5-7 nm) than the active catalyst Au (~15 nm), leading to increased Fe2O3 surface area with higher oxygen adsorption and transport capabilities. This results in a catalyst with unprecedented CO conversion even under harsh conditions with significant amounts of CO2 and H2O in the gas mixture, as it is typical for realistic reformate mixtures. CO conversion above 99.85% for the necessary system operation can be achieved.
Finally, the hydrogen-rich gas mixture with marginal CO is fed into a conventional PEM fuel cell, resulting in methanol-to-electric efficiencies above 60% and solar-to-electric efficiencies above 50%. The long-term stability of all catalysts and the fuel cell are demonstrated.
TT12: Solar Cells
Session Chairs
Thursday AM, April 09, 2015
Marriott Marquis, Golden Gate Level, Ballroom B
11:00 AM - *TT12.01
Well-Controllable Fabrication of Aligned ZnO Nanorods for Dye-Sensitized Solar Cell Application
Chaoyang Li 1 Shengwen Hou 1
1Kochi University of Technology Kami Japan
Show AbstractOne-dimensional ZnO nanostructures were considered as promising semiconductor materials for potential applications in optoelectronics. A number of methods have been used to fabricate ZnO nanostructures, such as chemical vapor deposition, vapor-phase transport, thermal evaporation, etc. However, these methods always have some disadvantage including the complex process, low reproducibility, high cost, etc, leading to the failures in the industrial applications. In this research, we developed a novel technique in which the ZnO rods were fabricated by re-crystallized from ZnO thin film. The ZnO nanorods with controllable density, growth direction, and high transparent were achieved. Finally, a dye-sensitized solar cell using obtained ZnO nanorods as photo electrodes was demonstrated, showing very good performance.
Firstly, ZnO thin #64257;lms were deposited on TCO glasses by a conventional 13.56 MHz radio frequency magnetron sputtering system with optimized deposition condition. Secondly, the novel annealing processes were carried out for obtained ZnO thin films in a conventional furnace. The reducing annealing and oxygen annealing processes were shifted in order to control the balance of recrystallization of ZnO nanoros and reducing effect of ZnO thin film. The reducing gas was forming gas setting the ratio of 1.9% H2 in N2. According to the requirement of the different morphology (density, length, diameter, etc) of ZnO nanorods, the annealing temperature, time, and pressure were adjusted individually for each annealing process.
As the summary, the ZnO nanorods could be grown in the novel annealing processes with well-controlled growth direction. The obtained ZnO nanorods showed good crystallinity and high transmittance. Through the investigation for different parameters during annealing processes, it was found that the oxygen annealing process between reducing annealing processes contributed to efficiently introduce the oxygen. With the annealing time controlling, the different morphologies of ZnO nanorods could be obtained. The low-temperature (less than 420°C) initial reducing annealing process contributed to control the density of ZnO nanorods. Moreover, the properties of different substrates were also found influencing on ZnO nanorods fabrication. The lower mismatch of the lattice imperfections and matching thermal expansion coefficient between ZnO film and substrates contributed to better crystallinity and vertical-alignment of ZnO rods. Finally, the obtained ZnO nanorods were used as photoelectrodes demonstrated in a dye-sensitized solar cell, which achieved the overall conversion efficiency of 5.48%.
11:30 AM - *TT12.02
New Insights into the Roles of Organic-Inorganic Halide Perovskites in Solar Cells Related Applications
Lianzhou Wang 1
1Univ of Queensland St Lucia Brisbane Australia
Show AbstractRecent years have seen the explosively increased research effort towards organic-inorganic halide perovskite solar cells (PSCs), mainly due to its conversion high efficiency and relatively low cost. In addition to the use of pervoskite as efficient light absorbers in the PSCs, we found that this family of materials also exhibited unique physiochemical properties including the extraordinary photoluminescence emission and energy redox/storage behaviour. In particular, a) mixed halide perovskite CH3NH3PbBr3-xClx (x = 0.6 ~ 1.2) with different compositions of halogens exhibit drastically changed optical property and tunable recombination lifetimes up to 446 ns, which are desirable for light emitting and PV applications; and b) organo-lead halide perovskite CH3NH3PbI(3-x)Clx solution can be used as new liquid electrolyte in dye-sensitized solar cells (DSCs), which can not only remarkably improve the device stability but also enhance photo-response of DSCs.
12:00 PM - *TT12.03
Rational Design and Fabrication of Nano- and Micro-Structured Metal Oxides for Photovoltaic Application
Isabella Concina 1 2
1University of Brescia Brescia Italy2CNR-INO SENSOR Lab Brescia Italy
Show AbstractThe rapid depletion of natural Earth&’s capital calls for scientists to get involved in the designing of functional materials, which should meet the need for rational preparation approaches, green chemistry principles and enhanced performances. 1,2
Semiconductor metal oxides (MOX) are flexible platforms suitable for different functional applications, such for instance solar energy conversion, photocatalysis, gas sensing.
This lecture focuses on how a rational design and preparation of MOX nano- and micro-structures is actually critical in order to satisfy the need for enhanced functionality in the mentioned applications, especially photovoltaics, which might be critical for a “greener” vision of the future of our environment.
Focus will be in particular given to:
fabrication of hybrid photoanodes composed of TiO2 nanoparticles and multi wall carbon nanotubes (or graphene) below the percolation threshold able to boost dye sensitized solar cell (DSSC) functional performances; 2,3
integration of graphene in metal oxide-based DSSCs as transparent conductive material in front electrodes, as an alternative to more commonly applied materials, such as indium tin oxide and fluorine-doped tin oxide; 4
design and application of spray deposited ZnO optically transparent compact layer as blocking layer in ZnO-based DSSCs to boost device performances; 5,6
preparation of ZnO hierarchical structures to be applied as multifunctional active components in photoanodes for DSSCs, photocatalysis and gas sensing.
Particular emphasis will be given to the exploitation of simple, cheap and low environmental impact techniques for advanced functional material preparation.
1.X. Peng, Nano Res., 2009, 2, 425-447
2.N. Armaroli, V. Balzani, Angew. Chem. Int. Ed., 2007, 46, 52
3.K. T. Dembele, G. S. Selopal, C. Soldano, R. Nechache, J. C. Rimada Herrera, I. Concina, G. Sberveglieri, F. Rosei, A. Vomiero, J. Phys. Chem. C., 2013, 117, 14510
4. J. Mater. Chem. A, DOI: 10.1039/c4ta04395b.
5.
6.S. Selopal, N. Memarian, R. Milan, I. Concina, G. Sberveglieri, A. Vomiero, ACS Appl. Mater. Interfaces, 2014, 6, 11236
G. N. Memarian, I. Concina, A. Braga, S.M. Rozati, A. Vomiero, G. Sberveglieri, Angew. Chem. Int. Ed., 2011, 50, 12321
Symposium Organizers
Jan Augustynski, Warsaw University
Clara Santato, Ecole Polytechnique-Montreal
Xiao Wei Sun, Nanyang Technological University
Alberto Vomiero, Lulea University of Technology
Symposium Support
Los Alamos National Laboratory
TT17: Electrical Properties amp; Structure
Session Chairs
Friday PM, April 10, 2015
Moscone West, Level 2, Room 2020
2:30 AM - TT17.01
Effects of Group V Doping on Properties of O-Rich Zn-O-VI Highly Mismatched Alloy
Min Ting 1 2 Maribel Jaquez 1 2 Oscar Dubon 3 2 Samuel S Mao 1 Kin Man Yu 4 Wladyslaw Walukiewicz 2
1University of California, Berkeley Berkeley United States2Lawrence Berkeley National Lab Berkeley United States3University of California, Berkeley Berkeley United States4City University of Hong Kong Hong Kong China
Show AbstractIn our recent work on the band structure engineering of O-rich Zinc Oxide Selenide (ZnO1-xSex) highly mismatched alloy [1] we have shown that substituting a dilute amount (up to 10%) of heavier less electronegative group VI element Se in O sublattice leads to a large upward shift of the valence band maximum (VBM) of the alloys. Such band structure modification, that is well described by the band anticrossing (BAC) model, should also occur for other group VI (S and Te) elements substituting O in ZnO. [2] Substitution of 10% of Te, Se or S into ZnO is expected to result in an upward shift of the VBM by about 1.5 eV, 1.25 eV, and 0.46 eV, respectively.
The large upward shift of the VBM in O-rich ZnO1-xVIx can potentially alleviate the notorious difficulties with p-type doping of ZnO. Group V elements are commonly regarded as potential p-type dopants in ZnO. However, theoretical and experimental studies have found that most group V elements act as deep acceptors in ZnO. Nitrogen (N), Arsenic (As), and Antimony (Sb) are found to have acceptor level of 100 meV, 870 meV, and 1170 meV above ZnO valence band respectively. [3] At room temperature, it is unlikely that any of these dopants could contribute free holes for p-type conduction in ZnO. The objective of this work is to test the hypothesis that an upward shifting of the VBM by alloying ZnO with with isovalent compounds will improve the p-type doping by making the group V dopants shallow acceptors.
The samples in this study are grown by the pulsed laser deposition (PLD) technique. All as-grown ZnO1-xVIx samples showed n-type conductivity with electron concentration in the high 1019 cm-3 range, and mobility in the 10-20 cm2/V-s range. Preliminary results of N doping by flowing N2 gas during the deposition show carrier compensation effect in all the samples. The ZnO and ZnOS samples have electron concentration dropped from high 1019 cm-3 (vacuum growth ambient) to mid 1018 cm-3. Under the same growth conditions, ZnOSe, and ZnOTe samples have the electron concentration dropped from high 1019 cm-3 to low 1017 cm-3. The results indicate that the acceptor activation is more efficient in the Te and Se alloys comparing to S alloy and pure ZnO. However, all the N2 gas doped samples still show n-type conductivity due to the difficulty in dissociating the strong N2 bond. In the next phase of the doping we will use PLD targets mixed with Zn3N2, Zn3As2, and Zn3Sb2 powder, in order to improve the ionization of the group V dopants during deposition. The doping effect of group V elements N, As, and Sb in the O-rich ZnO1-xVIx HMAs will be discussed.
[1]. M. A. Mayer, D. T. Speaks, K. M. Yu, S. S. Mao, E. E. Haller, and W. Walukiewicz, Appl. Phys. Lett.97, 022104 (2010).
[2] J. Wu, W. Walukiewicz, K. M. Yu, et al, Phys Rev. B 67, 035207 (2003).
[3] A. Janotti, C. G. Van de Walle, Rep. Prog. Phys.72, 126501 (2009).
2:45 AM - TT17.02
Tunable Contact Resistance at Ietal / Nb:SrTiO3 Interfaces for Potential Oxide Spintronics Applications
Hisashi Inoue 1 Adrian Grant Swartz 1 Takashi Tachikawa 2 3 Yasuyuki Hikita 2 Harold Y Hwang 1 2
1Stanford University Stanford United States2SLAC National Accelerator Laboratory Menlo Park United States3Department of Advanced Materials Science Kashiwa Japan
Show AbstractThere has been recent attention for the utilization of the spin-degree of freedom in transition metal oxides, largely due to the interplay of a wide variety of physical phenomena such as superconductivity, magnetism and ferroelectricity. Semiconducting SrTiO3 (STO) is one of such systems in which high electron mobilities have been observed and long spin life times are expected from theoretical calculations [1]. Recently, several reports have claimed spin injection into STO in the three-terminal geometry with spin lifetimes on the order of ~10-100ps, large enough for the potential realization of spin valves and field-effect transistors [2-5]. However, such progress has remained elusive. We have identified one of the crucial limiting factors as the high contact resistance formed between the ferromagnetic contacts and the doped STO layer. We have overcome this problem through band alignment control taking advantage of the polar nature of the LaAlO3 (001) (LAO) surface [6,7]. We fabricated high quality LAO/Nb:STO (100) epitaxial heterostructures by pulsed laser deposition with various LAO interlayer thickness and subsequently deposited Co by e-beam evaporation. From low temperature transport measurements in the three-terminal geometry, we observed systematic tuning of zero bias contact resistance by LAO layer thickness ranging three orders of magnitude. This is a promising method for reducing the contact resistance towards the realization of future spin-based oxide transistors and devices. Further, we have observed three-terminal magnetoresistance commonly associated with spin injection and discuss its possible origin.
[1] C. #350;ahin et al., Phys. Rev. B89, 155402 (2014).
[2] N. Reyren et al., Phys. Rev. Lett. 108, 186802 (2012).
[3] W. Han et al., Nat. Commun. 4, 2134 (2013).
[4] A. G. Swartz et al., Appl. Phys. Lett. 105, 032406 (2014).
[5] A. M. Kamerbeek et al., Appl. Phys. Lett. 104, 212106 (2014).
[6] N. Nakagawa et al., Nat. Mater.5, 204 (2006).
[7] T. Yajima et al., MRS 2011 Spring Meeting, San Francisco, CA, USA, April 25 - 29 (2011).
3:00 AM - TT17.03
Suppression of the Critical Thickness Threshold for Conductivity at LaAlO3/SrTiO3 Interfaces
Edouard Lesne 1 3 Nicolas Reyren 1 3 David Doennig 4 Richard Mattana 1 3 Henri Jaffres 1 3 Vincent Cros 1 3 Frederic Petroff 1 3 Fadi Choueikani 5 Philippe Ohresser 5 Rossitza Pentcheva 2 Agnes Barthelemy 1 3 Manuel Bibes 1 3
1Unite Mixte de Physique CNRS/Thales Palaiseau France2Univ of Duisburg Essen Duisburg Germany3Universite Paris-Sud Orsay France4University of Munich Munich Germany5Soleil Synchrotron Gif-sur-Yvette France
Show AbstractPerovskite materials engineered in epitaxial heterostructures have been intensively investigated during the last decade. The interface formed by an LaAlO3 thin #64257;lm grown on top of a TiO2-terminated SrTiO3 substrate hosts a two-dimensional electronic system and has become the prototypical example of this #64257;eld [1]. Although controversy exists regarding some of its physical properties and their precise origin, it is universally found that conductivity only appears beyond an LaAlO3 thickness threshold of 4 unit cells [2]. Here, through magnetotransport and X-ray absorption spectroscopy experiments, we show that this critical thickness can be reduced to just one unit cell when a metallic #64257;lm of cobalt is deposited on top of LaAlO3 [3].Through systematic magnetotransport studies of interfaces with various metal capping layers, we tentatively identify the main mechanisms driving the formation of the electron gas (electrostatics, oxygen defects, etc).
[1] A. Ohtomo, and H.Y. Hwang, Nature 427, 423 (2004).
[2] S. Thiel et al., Science 313, 1942 (2006).
[3] E. Lesne et al., Nat. Commun. 5, 4291(2014).
3:15 AM - TT17.04
Electrochromic Response of WO3 Grown Using LPCVD
Dimitrios Louloudakis 1 2 Dimitra Vernardou 1 Konstantinos Psifis 1 3 Emmanouel Spanakis 4 Nikolaos Katsarakis 1 3 5 George Papadimitropoulos 6 Dimitrios Davazoglou 6 Emmanouel Koudoumas 1 3
1Technological Educational Institute of Crete Heraklion Greece2University of Crete Heraklion Greece3Technological Educational Institute of Crete Heraklion Greece4University of Crete Heraklion Greece5Foundation for Research amp; Technology- Hellas Heraklion Greece6NCSR Demokritos Athens Greece
Show AbstractElectrochromic (EC) coatings, such as tungsten trioxide (WO3), have the ability to change their optical properties in the presence of a small electric potential difference, a property essential for the construction of energy efficient windows. Large area uniformity, low production cost and durability of the EC layers play a very important role in the fabrication of such windows. Many methods, such as sol-gel, hydrothermal synthesis, rf-sputtering and chemical vapor deposition (CVD), have been used for the deposition of WO3.
In this work, WO3 coatings were grown on fluorine doped tin dioxide glass substrates using W(CO)6 as precursor for a range of deposition temperatures and periods, using low pressure chemical vapor deposition (LPCVD). The coatings were characterized by X-ray diffraction, UV/IR transmittance spectrophotometer, Raman spectroscopy, scanning electron microscopy and cyclic voltammetry. The importance of the presence of crystalline monoclinic WO3 in the achievement of enhanced electrochromic performance, including durability, time response, charge density and coloration efficiency, is highlighted.
3:30 AM - TT17.05
Oxidation/Crystallization of Sputtered La-Si Thin Films to Produce the Lanthanum Silicate SOFC Electrolyte Phase
Joao Carlos Oliveira 1 Albano Cavaleiro 1
1University of Coimbra Coimbra Portugal
Show AbstractSolid Oxide Fuel Cells (SOFCs) are receiving ever-increasing attention because they are among the most efficient fuel cell electricity generators and environmentally friendly. At present, their most common electrolyte is yttria stabilized zirconia (YSZ) which exhibits high oxide ion conductivity at temperatures in the range 8500-10000C. Current developments concentrate mainly on increasing the durability and lowering the cost of the final product. The goal is to develop intermediate temperature Solid Oxide Fuel Cells (IT-SOFCs) that may operate at lower temperatures (5000-7000C) without incurring performance losses. One possible solution is to develop new electrolyte materials with higher oxygen ion conductivities at relatively low temperatures. Apatite-type lanthanum silicates have been considered promising candidates due their high oxide ion conductivities and low activation energies at moderate temperatures.
Traditionally, apatite-type lanthanum silicate materials are produced by solid state methods involving high processing temperatures (above 1400 0C). An alternative to prepare this kind of materials is the use of magnetron sputtering which is a powerful processing technique for the synthesis of homogeneous thin layers with improved mechanical and physical properties. Moreover, the low thickness of the electrolyte produced by sputtering will compensate resistive losses associated with the electrolyte ohmic resistance at lower working temperatures of IT-SOFCs.
Apatite-type lanthanum silicate films were manufactured by sputtering and subsequent annealing. La-Si and La-Si-O thin films with Si/(La+Si) atomic rations between 0.35 and 0.60 were deposited using both composite and pure sputtering targets. Irrespectively of the deposition conditions, the as-deposited thin films have a quasi-amorphous structure by x-ray diffraction. Annealing of the films leaded to oxidation and crystallization close to 900 C although for the highest Si/(Si + La) atomic ratios the phase transformation starts at lower temperatures. Si segregation was observed in almost all films although the amount and morphology of the segregated Si strongly depends on the as-deposited films composition. On the other hand, incorporation of oxygen in the as-deposited films also influences the morphology of the segregate Si. The ionic conductivity and the activation energy of the Apatite-type films are strongly depended on the composition of the films and preferential growth orientation.
3:45 AM - TT17.06
Low Temperature Approach to Fully Transparent, All-Oxide, Flexible ZnO Transistors
Thomas Rembert 1 2 Corsin Battaglia 1 2 Andre Anders 3 Ali Javey 1 2
1University of California Berkeley United States2Lawrence Berkeley National Laboratory Berkeley United States3Lawrence Berkeley National Laboratory Berkeley United States
Show AbstractTransparent and flexible electronics represent two emerging fields that have much traction for future technological applications. The family of semiconducting post-transition metal oxides (ZnO, In2O3, InZnO, InGaZnO, etc.) offers a material platform with wide energy band gaps for optical transparency over the full visible range, room temperature deposition for plastic compatibility, and electrical properties suitable for thin film transistors (TFTs) for transparent, flexible, and bio-related applications. However, many oxide depositions require higher temperature post-deposition or post-processing annealing to improve device performance to an acceptable level. Unfortunately, the use of higher temperatures can severely limit substrate compatibility and present challenges for integration with other components. In order to retain a wide range of substrate choices without sacrificing device performance, a low temperature approach to fabrication scheme is needed. In this work, we use a room temperature cathodic arc deposition technique to produce nanocrystalline ZnO thin films for low voltage TFTs. As deposited ZnO films exhibit high Hall mobilities exceeding 21 cm2V-1s-1. All-oxide fully transparent ZnO-channel TFTs were realized on alkali-free glass and flexible polyimide foil with a maximum processing temperature of 1100 C. Devices exhibited subthreshold swings as low as 204 mV/dec, saturation mobilities as high as µsat = 3.1 cm2V-1s-1, threshold voltages between Vt = 0.36-1.2 V, and on/off current ratios of 105, all while operating at ±3 V. Bending studies were performed on the ZnO TFTs on polyimide, displaying retention of its original performance characteristics while bent at r = 8 mm.
4:30 AM - TT17.08
10MHz Cross-Coupled LC Oscillators Based on Plasma-Enhanced ALD Zinc Oxide Thin-Film Transistors
Yasmin Afsar 1 Warren Rieutort-Louis 1 Yingzhe Hu 1 Liechao Huang 1 Josue Sanz-Robinson 1 Naveen Verma 1 James C. Sturm 1 Sigurd Wagner 1
1Princeton University Princeton United States
Show AbstractIn this work, we present cross-coupled plasma-enhanced ALD (PEALD) ZnO thin-film transistor oscillators that operate at frequencies of 10MHz. To be compatible with flexible substrates used in large-area electronic systems, thin-film transistor (TFT) circuits are processed below 250oC. However, such TFTs typically exhibit reduced cutoff frequencies ft compared to their high-temperature counterparts. Resonant TFT circuits can enable oscillation frequencies above ft by negating the impact of parasitic capacitances within the resonant network. We find numerous applications for these circuits at such frequencies, including efficient wireless transmission of power and signals [1,2]. Previously, we demonstrated a-Si cross-coupled oscillators with 5MHz oscillations at an overdrive voltage VOV of 12V [3]. By changing the TFT technology in our oscillators from a-Si to higher-mobility PEALD ZnO, we doubled oscillation frequency, reduced overdrive voltage by 4X, and maintained process temperatures below 200oC.
Our cross-coupled LC oscillators consist of two PEALD ZnO TFTs (mu;>10cm2/Vs, Vth~3V, subthreshold slope~200mV/decade [4]) where the gate of TFT 1 is connected to the drain of TFT 2, and vice versa. Planar coil Cu inductors patterned on freestanding polyimide connect both drain terminals to the supply voltage Vdd, and both source terminals are grounded.
Several challenges must be addressed in order to increase the oscillation frequency of these circuits. To begin oscillating, the circuit must meet a positive feedback condition: (gm/Cpar)*(L/(Rind+Rg))>1, where gm is the TFT transconductance, Cpar is dominated by the TFT gate-to-drain capacitance, L is the inductance of the Cu inductor, and Rind and Rg are the resistances of the inductor and the TFT gate [1]. A large inductor allows this condition to be met more easily, but reduces the oscillation frequency, 1/(2πradic;(LC)). A large overdrive voltage increases gm, enabling smaller-valued inductors and thus higher frequencies, but also elevates power consumption and endangers the gate dielectric (and thus the robustness of the circuit).
Our ZnO circuits were able to meet the oscillation condition at low enough L to oscillate at 10MHz at a Vdd of just 6V (3V VOV). This was accomplished by (1) substantially reducing gate resistance from 100Omega;/sq to <5Omega;/sq by replacing a purely Cr gate metal with a Cr/Al/Cr hybrid gate, (2) minimizing TFT capacitances by using 5um channel lengths and reducing gate-source/drain overlaps, and (3) mitigating additional parasitics with an improved interconnect design employing low resistance metals.
Calculated ft values for these TFTs are <4MHz, indicating that our oscillators indeed operate above ft at 10MHz. Ongoing work includes verifying TFT ft with high-frequency measurements and reducing inductance and TFT size for oscillations >10MHz.
[1] Hu et al., CICC 2012 [2] Huang et al, ISSCC 2013 [3] Rieutort-Louis et al., IEEE JPV Jan. 2014 [4] Mourey et al., IEEE TED, Feb. 2010.
4:45 AM - TT17.09
Development of a Variable Charge Force-Field to Gain Atomistic Insights into IrO2 Surfaces and Nanoclusters
Fatih G. Sen 1 Alper Kinaci 1 Badri Narayanan 1 Michael J. Davis 2 Stephen K. Gray 1 Subramanian K.R.S. Sankaranarayanan 1 Maria K. Chan 1
1Argonne National Laboratory Lemont United States2Argonne National Laboratory Lemont United States
Show AbstractIridium oxide (IrO2) demonstrates exciting electrical, electrochemical and spintronic properties. IrO2 nanoparticles are also efficient photocatalysts for water splitting reaction in producing hydrogen from sunlight. To elucidate the effective atomistic changes during these processes, however, it is important to gain a deeper understanding of the structure and dynamics of surface and nanoscale IrO2 systems. Here, we report the stability and electronic structure of low index rutile IrO2 surfaces, namely (100), (001), (110) and (101), using first principles calculations based on spin polarized density functional theory (DFT) including spin-orbit coupling and the Hubbard U correction. The equilibrium shape of IrO2 nanoparticles were deduced using a Wulff construction, while the (110) surface showed the lowest surface energy. In order to study the properties of IrO2 nanoclusters larger than about 2 nm in diameter, an accurate empirical interatomic potential (force field) is essential. Currently, there exists no empirical force-field for modeling the long time-scale dynamics of various nano-size IrO2 polymorphs. We developed an empirical potential based on the Morse potential coupled with a variable charge method (QEq). The potential parameters were optimized using an evolutionary algorithm with respect to a DFT training set. The developed Morse+QEq force field was shown to be successful in predicting bulk properties of rutile IrO2 and various polymorphs derived from DFT calculations. Thermodynamic and structural properties of IrO2 nanoclusters with varying stoichiometries, and sizes are reported. Our results will shed light on the development of stable nanoscale IrO2 photocatalysts that efficiently utilize solar energy for water splitting reaction.
Use of the Center for Nanoscale Materials was supported by the U. S. Department of Energy, Office of Science, Office of Basic Energy Sciences, under Contract No. DE-AC02-06CH11357. The submitted abstract has been created by UChicago Argonne, LLC, Operator of Argonne National Laboratory (“Argonne”). Argonne, a U.S. Department of Energy Office of Science laboratory, is operated under Contract No. DE-AC02-06CH11357. The U.S. Government retains for itself, and others acting on its behalf, a paid-up nonexclusive, irrevocable worldwide license in said article to reproduce, prepare derivative works, distribute copies to the public, and perform publicly and display publicly, by or on behalf of the Government.
5:00 AM - TT17.10
A Comparative Study of Two APCVD Systems for the Growth of Thermochromic Vanadium Dioxide Coatings
Dimitrios Louloudakis 1 2 Dimitra Vernardou 1 Emmanouel Spanakis 3 Marianthi Panagopoulou 4 Yannis Raptis 4 George Kiriakidis 2 5 Nikolaos Katsarakis 1 5 6 Emmanouel Koudoumas 1 6
1Technological Educational Institute of Crete Heraklion Greece2University of Crete Heraklion Greece3University of Crete Heraklion Greece4National Technical University of Athens Athens Greece5Foundation for Research amp; Technology- Hellas Heraklion Greece6Technological Educational Institute of Crete Heraklion Greece
Show AbstractIn recent years, vanadium oxides have attracted the interest of the scientific community due to their amazing properties. As an example, vanadium dioxide (VO2) has the ability to switch from monoclinic to rutile when reaches a temperature known as transition temperature, Tc. This change is accompanied with an increase in electrical conductivity and infrared reflectivity. Above Tc, VO2 behaves as a semi-metal, reflecting a wide range of solar wavelengths in the IR, while, below Tc, it behaves as a semiconductor, reflecting significantly less IR energy. This performance makes VO2 an ideal candidate as thermochromic coating in glass windows.
Many methods such as rf-sputtering, hydrothermal synthesis, spin-coating and chemical vapour deposition (CVD) have been used for the deposition of VO2. Among those, CVD has fast deposition rates and can easily be integrated into float-glass production lines, especially when performed at atmospheric pressure.
In this work, the effects of two different precursor&’s type (vanadium triisopropoxide oxide, vanadyl (IV) acetylacetonate) and their corresponding CVD growth parameters such as temperature, time, O2 flow rate and N2 flow rate through the vanadium precursor bubbler on the growth chemistry are considered. The critical importance of achieving the optimum oxidation state of the vanadium oxide is highlighted. We finally comment on the most suitable processes in terms of producing potentially useful thermochromic coatings.
5:15 AM - TT17.11
Electrical Properties of Metal-Insulator-Metal Structure Based on HfO2-Al2O3 Nanolaminate Stacks
Asma Salem 1 Aude Lefevre 2 Ahlem Rouahi 1 Frederic Voiron 3 Fathi Jomni 4 Bechir Yangui 4 Alain Sylvestre 1
1Grenoble Electrical Engineering Laboratory Grenoble France2Cea Grenoble France3Ipdia Caen France4Laboratory for Materials, Organization and Properties Tunis Tunisia
Show AbstractIn order to obtain several hundreds of nF/mm2 for integrated capacitances, the designers of integrated circuits look for innovative solutions. The use of high k dielectrics constitutes a way of privileged research but few candidate materials emerged to obtain such capacitance densities. To reach this challenge, we necessarily have to take into account the limits in voltage (or electric field) inducing the breakdown of the layers. A value of high dielectric constant comes along generally with an impoverishment of the performances in term of leakage current and dielectric strength.
In our study, the reserved solution consists in inserting a high k dielectric like HfO2 [1] with a material with ‘weaker' dielectric constant like alumina Al2O3 but with a higher dielectric strength [2]. Finally, alternated multilayers HfO2/Al2O3 of nanometric size (the total stack is 20 nm) were grown by ALD. The final goal is to obtain, at the same time, high dielectric constants, high dielectric strength and a moderate leakage current. In this work the dielectric properties were studied by impedance spectroscopy over a wide frequency range [10-2-105Hz] at various temperatures [20°C-340°C].
More exactly, the frequency dependence of dielectric constant #603;&’, dissipation factor #603;” and ac conductivity s&’ are investigated. At room temperature, #603;&’ = 12±0.5. This value increases at higher temperature and in same time dispersion appears in the losses. The variation of the conductivity obeys to the well-known Jonsher law but even at high temperature and low frequency, no plateau appears in the conductivity. Finally, a figure of merit in term including dielectric constant, dielectric strength and leakage current will be proposed.
[1] H. Hang, C. Zhu Y. F. Lu, M.F. Li, B. Jin Cho and W.K. Choi, ‘A high performance MIM capacitor using HfO2 dielectrics&’, Electron Device Letters, IEEE, Vol. 23 pp. 514-516 (2002).
[2] J.H. Klootwijk, K.B. Jinesh, W. Dekkers, J.F. Verhoeven, F.C. van den Heuvel, H.D. Kim, D. Blin et al. ‘Ultrahigh capacitance density for multiple ALD-grown MIM capacitor stacks in 3-D silicon&’, Electron Device Letters, IEEE, Vol. 29 pp. 740-742 (2008).
5:30 AM - TT17.12
Controlling Photoinduced Electron Transfer from Near Infrered Quantum Dots to Metal Oxide Nanostructured Thin Films
Haiguang Zhao 1 Hongyan Liang 1 Belete Atomsa Gonfa 1 Dongling Ma 1 Isabella Concina 5 Marta M Natile 6 Federico Rosei 2 Alexander Govorov 4 Alberto Vomiero 3
1INRS Varennes Canada2Univ of Quebec Varennes Canada3Lulea University of Technology Lulea Sweden4Ohio University Athens United States5CNR Brescia Italy6CNR-ISTM Padova Italy
Show AbstractIn quantum dot (QD) based solar cells the photoconversion efficiency (PCE) is critically related to the ability of exciton generation after photon absorption and electron injection from photoexcited QDs to the wide bandgap semiconductor constituting the photoanode of the solar cell. Here we investigate the photoelectron transfer rate from PbS@CdS core@shell QDs to wide bandgap semiconducting mesoporous films using photoluminescence (PL) lifetime spectroscopy. The different electron affinity of the oxides (SiO2, TiO2 and SnO2), the core size and the shell thickness allow to fine tune the electron injection rate by determining the width and height of the energy barrier for tunneling from the core to the oxide. Theoretical modeling using the semi-classical approximation provides an estimate for the escape time of an electron from the QD 1S state, in good agreement with experiments. The results demonstrate the possibility of obtaining fast charge injection in near infrared (NIR) QDs stabilized by an external shell (injection rates in the range of 110~250 ns for TiO2 films and in the range of 100~170 ns for SnO2 films for PbS cores with diameters in the 3~4.2 nm range and shell thickness around 0.3 nm), with the aim of providing viable solutions to the stability issues typical of NIR QDs capped with pure organic ligand shells.
TT15: Sensors
Session Chairs
Friday AM, April 10, 2015
Moscone West, Level 2, Room 2020
9:00 AM - TT15.01
Effects of Humidity During Processing of Zinc Oxide from a Reactive Precursor Solution
Scott A. Mauger 1 Jonas Boe 4 1 2 David P Ostrowski 2 1 Dana Olson 1 Sean E. Shaheen 2 1 Scott R. Hammond 3
1National Renewable Energy Laboratory Golden United States2Univ of Colorado-Boulder Boulder United States3New Energy Technologies Inc. Columbia United States4University of Erlangen-Nuremberg Erlangen Germany
Show AbstractZinc oxide (ZnO) is an attractive electron contact layer for bulk heterojuction organic photovoltaics (OPV) due to it being intrinsically n-type and optically transparent. Additionally, it can be deposited from solution as nanoparticles, as a sol-gel, or from a reactive precursor solution, allowing for low-cost integration into printed and flexible OPV modules and devices. This work focuses on the role of humidity in the formation of ZnO thin films from a reactive diethylzinc precursor solution. This method is well suited for flexible OPV because the films are annealed at 120 #730;C, making them compatible with polymer substrates. It was found that relative humidity significantly impacts the properties of these ZnO films. ZnO films were prepared by spin coating and annealing at different humidity levels. Curiously, the relative humidity (RH) during the annealing process was found to be more important than humidity during coating. Films processed with reduced humidity had lower surface photovoltage and conductivity than films processed in ambient conditions. The latter is attributed to decreased carrier concentration, calculated from the Moss-Burnstein shift. The differences in electrical properties are attributed to differences in the stoichiometry of ZnO due the different reaction conditions for the hydrolysis of diethylzinc. When incorporated into OPV devices, ZnO layers processed in reduced humidity resulted in higher power conversion efficiencies than ambient-processed ZnO films. The highest efficiency devices were obtained with ZnO films that were coated in low RH (10 - 15 %) conditions and annealed in nitrogen (N2). Below 10 %RH there appears to be insufficient water for hydrolysis of the diethylzinc precursor during spin casting, severely decreasing device performance.
9:15 AM - TT15.02
Oxide-Metal Interactions in Pt-Catalyzed Catalytic Reactions
Kwangjin An 1 2 Gabor A Somorjai 1 2
1University of California Berkeley Berkeley United States2Lawrence Berkeley National Laboratory Berkeley United States
Show AbstractHigh surface porous metal oxides have been developed as a support as well as a catalyst. As catalytic behaviors can be altered at oxide-metal interfaces, support metal oxides with high surfaces and ordered pore structures become increasingly important for supported catalysts. In this study, the latest model catalytic reactions are provided to understand how Pt nanoparticle supported on oxide catalysts influence catalytic activity and selectivity. In situ surface characterization techniques are used for real-time monitoring of working catalysts under various conditions and provide molecular information during the reaction. From this research, the best catalyst can be designed by producing only one desired product for 100% selectivity at high turnover rates. Furthermore, the knowledge getting from catalysis can be extended other fields including energy and environment.
9:30 AM - *TT15.03
Enhancing the Performance of Chemoresistive Gas-Sensors by Combining Metal Oxides: Doping, Surface Modification and Inter-Oxide Cross-Talk
Mauro Epifani 1
1CNR-IMM Lecce Italy
Show AbstractMetal oxide nanocrystals have emerged since long time as materials capable of improving the performances of chemoresistive gas-sensors. On the other hand, additives are a classical means of boosting the sensor response, ranging from metal ions to noble metal nanoparticles, as suggested by the well-known spill-over mechanism in heterogeneous catalysis. Coupling the catalytic architectures with nanosized oxide grains can hence be a tool for further enhancement of the sensing properties of oxide based systems. This requirements crosses the extremely active research field aimed to tailoring the chemical composition of nanocrystalline objects. In fact, an oxide surface covered with a layer of another oxide opens the possibility of creating the nanosized counterparts of well-known bulk catalytic systems.
Starting from these observations, the attention was focused onto the TiO2-V2O5 system, a powerful and versatile oxidation catalyst of several organic compounds, hence with favorable properties for the detection of reducing gases. It was possible to synthesize a nano-sized version of this system, by using sol-gel, solvothermal approach. The surface and bulk modification of the core TiO2 nanocrystals remarkably influenced the materials properties. With respect to pure TiO2, the addition of V(V) oxide species resulted in improved electrical conductivity and enhanced gas-sensing properties. In particular, ethanol and acetone were used as sample test gases. A response improvement up to almost 2 orders of magnitude with respect to pure TiO2 was observed for both gases, together with remarkable lowering of the related operating temperature.
Starting from these results, the extension to other analogous materials architectures was investigated. The TiO2-WO3 system will then be presented as a further example. TiO2-WO3 nanocomposites are of great interest in photoelectrochemical devices, and many efforts have been devoted to the synthesis of such materials. On the other hand, there are only very few related studies in gas-sensing field. TiO2-WO3 nanocrystal systems were prepared by colloidal synthesis, exploiting the different chemical reactivity of the Ti and W precursors to obtain a range of structures ranging from monolayer WOx deposition onto TiO2 surface to heterojunctions. The complex structural evolution of the nanocomposites will be discussed in detail, depending on the W concentration. The resulting sensing devices displayed large responses to acetone and ethanol, again taken as sample test gases. Addition of the smallest W concentration (0.16% atomic concentration with respect to W) boosted the sensor response to values comparable to those of pure WO3, ranging over 2-3 orders of magnitude of conductance variation. With the help of electrical measurements in various oxygen concentrations, it will be showed that classical doping effects are not likely and that more complex phenomena should be invoked, like cross-talk between the different nanograins.
10:00 AM - TT15.04
Piezotronic Effect Enhanced Performances of Schottky-Contacted Humidity, Gas Nanosensors1
Ruomeng Yu 2 Caofeng Pan 1 Zhong Lin Wang 2 1
1Chinese Academy of Sciences Beijing China2Georgia Institute of Technology Atlanta United States
Show AbstractMetal-oxide semiconducting nanowire based field effect transistors (FETs) are one of the most promising candidates for various sensing systems due to their large surface to volume ratio as well as the flexibility and convenience of functioning surface decoration. Compared with traditional ohmic-contact nanowire sensing systems, Schottky-contact provides ultra-high sensitivity and superfast response depending on the barrier height at local metal-semiconductor (M-S) interface. In such a case, although a large size nanowire is used the contact with the electrode is rather small, which governs the transport of the device. And therefore the fabrication processes for Schottky-contacted nanosensors are cost-effective and easy-manipulated. Utilizing the strain-induced piezoelectric polarization charges presented at the vicinity of M-S contact, piezotronic effect2 is applied to tune/control the charge carriers transport process through the interface by modulating the Schottky barrier height (SBH) at local contact, and thus hugely enhances the performances of Schottky-structured sensors. The responsivity of the Schottky-contacted ZnO humidity sensors are improved by up to 1240%; the sensitivity of the Schottky-contacted ZnO gas sensors are enhanced by as high as 5359%. These results indicate that piezotronic effect is a universal effect that provides an efficient approach to improve the sensitivity, resolution, response time and other general properties of Schottky-contact nanowire sensors in different categories, including gas sensing, humidity sensing and others.
References:
1. Hu, G. F.; Zhou, R. R.; Yu, R. M.; Dong, L.; Pan, C. F.; Wang, Z. L. Piezotronic effect enhanced Schottky-contact ZnO micro/nanowire humidity sensors. Nano Res. 2014, 7, (7), 1083-1091.
2. Wang, Z. L. Progress in Piezotronics and Piezo-Phototronics. Adv Mater. 2012, 24, (34), 4632-4646.
10:15 AM - TT15.05
First-Principles Investigation of H2O Adsorption on SnO2-TiO2 Gas Sensors
Konstanze Regina Hahn 1 Antonio Tricoli 2 Alfons Baiker 3
1University of Cagliari Monserrato Italy2Australian National University Canberra Australia3ETH Zurich Zurich Switzerland
Show AbstractMetal oxides are highly interesting materials for numerous industrially and economically important applications as a result of their semiconducting properties. Wide band gap metal oxides such as SnO2 and TiO2 are used, for example, in solar cells [1] or photocatalysis [2]. Moreover, their ability to change conductivity when gaseous molecules are reacting with the surface makes them particularly applicable for chemoresistive portable gas sensors. A main drawback of metal oxide materials both in photocatalysis and as gas sensors, however, is the reaction of their surfaces with water vapor. In other words, changes in the relative humidity of the environment can significantly influence the performance of the metal oxide. This is one of the major shortcomings of SnO2-based gas sensors used, for example, in breath analysis. Experimentally, it has been shown that this can be overcome by doping of SnO2 with other metal atoms, such as Ti [3].
In this project, density functional theory calculations have been utilized to simulate the formation of SnO2-TiO2 solid solutions demonstrating favorable distribution of Ti on the SnO2 surface, in particular on six-fold coordinated sites. Changes in the electronic structure of such SnO2-TiO2 surfaces leads to a destabilization of dissociatively adsorbed H2O species. A minimum in the H2O stability at low coverage has been found at a surface Ti-content of 25%. At high coverage, H2O is drastically destabilized when increasing the surface Ti-content from 0 to 30%. The overall minimum in the H2O stability can thus be assigned to a surface Ti-content of 25-30%. This gives a possible explanation for the minimum in cross-sensitivity to humidity found experimentally for Ti-doped particles [3].
[1] J. F. Wager, Science 300 (2003) 1245.
[2] A. Fujishima and K. Honda, Nature 238 (1997) 37.
[3] A. Tricoli, M. Righettoni and S. E. Pratsinis, Nanotechnology 20 (2009) 31552.
TT16/SS17: Joint Session: Metal Oxides: Fabrication and Light-Matter Interactions
Session Chairs
Friday AM, April 10, 2015
Moscone West, Level 2, Room 2011
11:00 AM - *TT16.01/*SS17.01
Theoretical Study of Oxide Materials for Energy Applications
Su-Huai Wei 1
1National Renewable Energy Laboratory Golden United States
Show AbstractTransition metal oxides (TM) such as ZnO, In2O3, SnO2, TiO2, and their alloys have many unique physical properties such as structure diversity, superb stability in solution, good catalytic activity, and simultaneous high electron conductivity and optical transmission. Therefore, they are widely used in energy related optoelectronic applications such as photovoltaics and photoelectrochemical (PEC) fuel generation. In this presentation, using first-principles band structure calculations, I will discuss the electronic, optical, and doping properties of oxides and address some fundamental questions related to the unique materials properties of the oxides such as (i) why most of the transparent conducting oxides (TCOs) are n-type and how to design band structure of an transparent oxide so it can be doped both p- and n-type? (ii) Is oxygen vacancy an efficient intrinsic n-type dopant in metal oxides? (iii) To achieve optimal n-type conductivity through extrinsic doping, should we choose dopant substituting on anion site or cation site? (iv) Why amorphous TCO can have good electrical conductivity even without passivation? (v) How to engineer the band structure of oxides through defect control for PEC water splitting?
11:30 AM - *TT16.02/*SS17.02
Wide Bandgap Metal Oxide Nanostructures - Energy Applications
Xiang Liu 2 Yu Hang Leung 2 Aleksandra Djurisic 2 Qian Sun 2 Ka Kan Wong 2 Annie Ng 1 Alan Man Ching Ng 4 2 Wai Kin Yiu 2 Wai Yan Jim 2 Wai Kin Chan 3 Mao Hai Xie 2
1Hong Kong Polytechnic Univ Hong Kong Hong Kong2The university of hong kong Hong Kong Hong Kong3Univ of Hong Kong Hong Kong China4South University of Science and Technology of China Shenzhen China
Show AbstractNanostructures of different wide bandgap metal oxides, such as ZnO, TiO2 and SnO2 are of great interest on energy applications.
ZnO and SnO2 are recognized as potential candidates for the anode materials of DSSC due to their superior electrical properties (e.g. higher electron mobility) comparing to the commonly used TiO2. However, the power conversion efficiencies of ZnO- and SnO2-based DSSC reported were inferior comparing to the TiO2 counterpart. It can be partly explained by the instability of the materials (ZnO in acidic environment), lower injection efficiencies and dye regeneration efficiencies, etc. Detailed study on the material properties is necessary for improving the device efficiency. In the presentation, detailed discussion of the ZnO1 and SnO2 nanoparticle properties and their relationship with dye absorption, electronic properties (e.g. electron lifetime and transport time) and device performance is provided.
TiO2 and SnO2 are materials of significant interest for the anodes of LIB applications due to their advanced properties in comparison to the conventional graphite anode. TiO2 exhibits similar theoretical maximum capacity to graphite (~372 vs. ~335 mAh/g). However, it results in improved battery safety compared to graphite electrodes.. SnO2 has significantly higher specific capacity (~790 mAh/g) but relatively poorer cycling performance. The influence of TiO2 nanostructure properties such as crystal structure (anatase, rutile or mixed)2 and morphologies (nanoparticles or nanotubes) on the performance of LIB with TiO2-based anodes is discussed. The improvement on the cycling performance of SnO2-based LIB by employing SnO2 nanocomposite3 is also discussed.
Reference
K. K. Wong, A. Ng, X. Y. Chen, Y. H. Ng, Y. H. Leung, K. H. Ho, A. B. Djuriscaron;icacute;, A. M. C. Ng, W. K. Chan, L. H. Yu, and D. L. Phillips, ACS Appl. Mater. Interfaces 4, 1254-1261 (2012).
X. Liu, Q. Sun, F. Z. Liu, A. B. Djuriscaron;icacute;, A. M. C. Ng, M. H. Xie, T. Wood, J. A. Zapien, C. Z. Liao, and K. M. Shih, Turk. J. Phys., in press.
X. Liu, F. Z. Liu, Q. Sun, A. M. C. Ng, A. B. Djuriscaron;icacute;, M. H. Xie, C. Z. Liao, K. M. Shih, and Z. F. Deng, ACS Appl. Mater. Interfaces 6, 13478-13486 (2014).
12:00 PM - *TT16.03/*SS17.03
Functional Bixbyite Oxide Layers for GaN- Based UV Detectors on Silicon
Lidia Lupina 1 Adam Szyszka 2 Markus Schubert 1 Peter Storck 3 Thomas Schroeder 1 4
1IHP GmbH Frankfurt (Oder) Germany2Wroclaw University of Technology Wroclaw Poland3Siltronic Muenchen Germany4BTU Cottbus Cottbus Germany
Show AbstractEpitaxial bixbyite oxides on Si with a wide variety of physical properties can serve as a platform for overgrowth by high quality semiconductors, such as Si, Ge and InP. Recently, we reported a step graded double oxide (Sc2O3/Y2O3) buffer layer approach to enable heteroepitaxial growth of virtual GaN substrates on Si(111) wafers. Interestingly, the oxide buffers can be utilized not only as passive growth template to deposit the active GaN layer on Si but also to form active Distributed Bragg Reflectors (DBR) which improve light extraction efficiency and reduce optical losses resulting from the absorption by Si substrate.
Our proof-of-concept molecular beam epitaxy (MBE) experiments show that the application of high quality oxide buffer with high refractive index contrast (n) with respect to Si (n (Y2O3) = 2.1, n (Si) = 4.9 for lambda; = 300 nm) offers the possibility to develop a simple oxide/Si system to the functional form of a highly efficient mirror. Here, only 3.5 supper-lattice periods of Y2O3 (10 nm )/Si (17nm) are sufficient to achieve more than 80% reflectivity over a 100 nm wavelength range in the spectral UV region. A key factor leading to a high performance is the control over structural and optical properties of the Y2O3/Si supper-lattice layer constituting the DBR structure. Our Reflection High Energy Electron Diffraction (RHEED), X-Ray diffraction (XRD), Transmission Electron Microscopy-Energy Dispersive X-ray (TEM- EDX) as well as Reflectance spectroscopy investigation proves that the films are grown with high thickness homogeneity over a 4 inch wafer and exhibit sharp interfaces sufficient for UV applications.
Optimized Y2O3/Si- based DBR are thereafter integrated into test GaN metal-semiconductor-metal (MSM) photodetectors. GaN/Sc2O3//DBR/Si(111) MSM structures exhibit strong enhancement of the UV photo-response compared to the basic GaN/Sc2O3/Y2O3/Si(111) approach without reflectors. Moreover, it is found that a crucial impact on UV detection performance is given by the quality of the Metal-Semiconductor (MS) contacts as the detector dark current depends on the Schottky barrier height. In this respect, it is shown that in-situ deposition of an additional thin Sc2O3 oxide layer between metal and GaN, and thus formation of a Metal-Oxide-Semiconductor (MOS) contact, provides a viable way to increase detector sensitivity by improving the photo- to dark current ratio.
12:30 PM - *TT16.04/*SS17.04
BiVO4 Photoelectrodes for Use in Solar Water Splitting
Kyoung-Shin Choi 1
1University of Wisconsin-Madison Madison United States
Show AbstractMany recent efforts have focused on the development of n-type semiconductors that can serve as efficient photoanodes for solar water oxidation. Desirable photoanodes should have a small bandgap to utilize a significant portion of visible light, and a valence band (VB) edge that is positive enough to provide sufficient overpotential for the water oxidation reaction. The position of the conduction band (CB) edge or the flatband potential of the photoanode is also important because it determines the potential of the photoexcited electrons that will be used for the cathode reaction (i.e., water reduction). If these electrons do not have sufficient overpotential to reduce water, an external bias needs to be provided, effectively reducing the cell efficiency. Bismuth vanadate (BiVO4) has recently emerged as a promising material for use as a photoanode in water splitting photoelectrochemical cells. It is because it absorbs a substantial portion of the visible spectrum (bandgap energy, ca. 2.4 eV) and has a favorable conduction band (CB) edge position very near the thermodynamic H2 evolution potential. Significant advancement in the understanding and construction of efficient BiVO4-based photoanode systems has been made within a short period of time owing to various newly developed ideas and approaches. In this presentation, we will discuss our recent efforts in improving the photoelectrochemical properties of BiVO4 photoanodes, which include an enhancement in photon absorption and charge transport properties. These efforts resulted in an applied bias photon-to-current efficiency (ABPE) for water splitting higher than 2% using all oxide-based electrodes.