Meetings & Events

Publishing Alliance

MRS publishes with Springer Nature

 

2013 MRS Spring Meeting Logo

2013 MRS Spring Meeting & Exhibit

April 1-5, 2013 | San Francisco
Meeting Chairs: Mark L. Brongersma, Vladimir Matias, Rachel Segalman, Lonnie D. Shea, Heiji Watanabe

Symposium BB : Evolutions in Planarization---Equipment, Materials, Techniques, and Applications

2013-04-02   Show All Abstracts

Symposium Organizers

Christopher Borst, SUNY Albany
Donald Canaperi, IBM Corporation
Toshiro Doi, Kyushu University
Jamshid Sorooshian, Intel Corporation

Symposium Support

Cabot Microelectronics Corporation
Fujimi Corporation
BB2: CMP Simulation and Prediction
Session Chairs
Donald Canaperi
Tuesday PM, April 02, 2013
Moscone West, Level 2, Room 2009

2:30 AM - *BB2.01
Modeling of ldquo;Pad-in-a-Bottlerdquo;: A Novel Planarization Process Using Suspended Polymer Beads

Wei Fan 1 Joy Johnson 1 Duane S Boning 1

1Massachusetts Institute of Technology Cambridge USA

Show Abstract

3:00 AM - BB2.02
A 3D Soft-EHL Model for Simulating Feature-scale Defects in Advanced Node ICs

Jonatan A Sierra Suarez 1 Gagan Srivastava 2 C. Fred Higgs III 2 1

1Carnegie Mellon University Pittsburgh USA2Carnegie Mellon University Pittsburgh USA

Show Abstract

3:15 AM - BB2.03
A Fast, Experimentally-validated, Particle Augmented Mixed Lubrication Framework to Predict CMP

Gagan Srivastava 1 C. Fred Higgs 1

1Carnegie Mellon University Pittsburgh USA

Show Abstract

3:30 AM - BB2.04
The Thermal Effects of CMP as a Particle Augmented Mixed Lubrication Tribosystem

Gagan Srivastava 1 C. Fred Higgs 1

1Carnegie Mellon University Pittsburgh USA

Show Abstract

3:45 AM - BB2
Break

BB3: CMP for Emerging Materials and Applications
Session Chairs
Jeffrey Dysard
Tuesday PM, April 02, 2013
Moscone West, Level 2, Room 2009

4:15 AM - *BB3.01
Characteristics in SiC-CMP Using MnO2 Slurry with Strong Oxidant under Different Atmospheric Conditions

Syuhei Kurokawa 1 Toshiro Doi 2 Osamu Ohnishi 3 Tsutomu Yamazaki 2 Zhe Tan 1 Tao Yin 1

1Kyushu University Fukuoka Japan2Kyushu University Fukuoka Japan3University of Miyazaki Miyazaki Japan

Show Abstract

4:45 AM - *BB3.02
Progress and Challenges for Chemical Mechanical Polishing of Gallium Nitride

Hideo Aida 1 2 Toshiro Doi 2 Tsutomu Yamazaki 2 Hidetoshi Takeda 1 Koji Koyama 1

1Namiki Precision Jewel Co. Ltd. Adachi Japan2Kyushu University Fukuoka Japan

Show Abstract

5:15 AM - *BB3.03
3D TSV - Influence of Electrolyte Composites and Anneal Temperatures to Copper Protrusion and Planarization

Catharina Rudolph 1 Holger Wachsmuth 1 Irene Bartusseck 1 Michael Parthenopoulos 1 Loana Goerner 1 Mathias Boettcher 1 Juergen Grafe 1 Juergen M. Wolf 1

1Fraunhofer Institute for Reliability and Microintegration Moritzburg Germany

Show Abstract

5:45 AM - BB3.04
A Unique Application of Polymer CMP over Copper Features

Robert Rhoades 1 Charles Ellis 2

1Entrepix Tempe USA2Auburn University Auburn USA

Show Abstract

BB1: CMP for State-of-the-Art Technologies
Session Chairs
Robert Rhoades
Tuesday AM, April 02, 2013
Moscone West, Level 2, Room 2009

10:00 AM - *BB1.01
Al CMP for Low Resistance Gate Fill for 20nm and beyond Replacement Metal Gate

Laertis Economikos 1 Xing Zhang 2 Haigou Haigou Huang 2 Yann Yann Escarabajal 3 Unoh Kwon 1 Keith Wong 1 Uma R.K Lagudu 4 Ashwin Chockalingam 4 S. V Babu 4

1IBM Hopewell Jct. USA2GlobalFoundries Hopewell Junction USA3STMicroelectronics Hopewell Junction USA4Clarkson Univ Potsdam USA

Show Abstract

10:30 AM - *BB1.02
Slurry Technology for Advanced Transistor Architecture Construction

Jeffrey Dysard 1 Glenn Whitener 1 William Ward 1 Pankaj Singh 1 Kyose Choi 1 Shoutian Li 1 Paolo Dobrilla 1

1Cabot Microelectronics Aurora USA

Show Abstract

11:00 AM - BB1
Break

11:30 AM - BB1.03
High Resolution Topography Characterization at Die-scale of Front End CMP Processes

Florent Dettoni 1 Carlos Beitia 2 Sebastien Gaillard 1 Olivier Hinsinger 1 Francois Bertin 2 Maurice Rivoire 1

1STMicroelectronics Crolles France2CEA Grenoble France

Show Abstract

11:45 AM - BB1.04
Reducing Density-induced CMP Non-uniformity for Advanced Semiconductor Technology Nodes

John H Zhang 1 Wei-Tsu Tseng 2 Tien Chen 2 Laertis Economikos 2 Ben Kim 1 Philip Flaitz 2 Walter Kleemier 1 Cindy Goldberg 1 Connie Truong 2 Stephan Grunow 2 Ron Sampson 1

1STMicroelectronics Hopewell Junction USA2IBM Hopewell Junction USA

Show Abstract

12:00 PM - *BB1.05
Effects of Alumina Abrasive Properties on Ruthenium CMP

W. Scott Rader 1 Anne E. Miller 1

1Fujimi Corporation Tualatin USA

Show Abstract

12:30 PM - *BB1.06
CMP Process Control for Advanced CMOS Device Integration

Sidney Huey 1 Balaji Chandrasekaran 1 Doyle Bennett 1 Stan Tsai 1 Kun Xu 1 Jun Qian 1 Siva Dhandapani 1 Jeff David 1 Bogdan Swedek 1 Tom Osterheld 1

1Applied Materials Santa Clara USA

Show Abstract

2013-04-03   Show All Abstracts

Symposium Organizers

Christopher Borst, SUNY Albany
Donald Canaperi, IBM Corporation
Toshiro Doi, Kyushu University
Jamshid Sorooshian, Intel Corporation

Symposium Support

Cabot Microelectronics Corporation
Fujimi Corporation
BB4: CMP Slurries and Consumables
Session Chairs
W. Rader
Wednesday AM, April 03, 2013
Moscone West, Level 2, Room 2009

9:15 AM - *BB4.01
Slurry Compositions for Polishing Several New Barrier Films

Suryadevara Babu 1

1Clarkson University Potsdam USA

Show Abstract

9:45 AM - BB4.02
Surfactant Mediated Slurry Formulations for Ge CMP Applications

G. Bahar Basim 1 Ivan Vakarelski 2 Ayse Karagoz 1 Long Chen 2

1Ozyegin University Istanbul Turkey2King Abdullah University of Science and Technology Thuwal Saudi Arabia

Show Abstract

10:00 AM - *BB4.03
Interactions of Poly(acrylic acid) with Silicon Nitride Surfaces

Rachel Steiner 1 Hariprasad Amanapu 2 Dinesh K Penigalapati 1 Patel Jyotica 1 Jakub W Nalaskowski 1 Mahadevaiyer Krishnan 1

1IBM T.J. Watson Research Center Yorktown Hgts. USA2Clarkson University Potsdam USA

Show Abstract

10:30 AM - BB4.04
Fluorescence Correlation Spectroscopic Investigation of Surface Adsorption of CMP Slurry Additives on Abrasive Particles

Ashley Wayman 1 Daniel Turner 1 Ashwani Rawat 1 Colin T. Carver 2 Mansour Moinpour 2 Edward E. Remsen 1

1Bradley University Peoria USA2Intel Corporation Santa Clara USA

Show Abstract

10:45 AM - BB4.05
Characterization of Chemically Modified Thin Films for Optimization of Metal CMP Applications

G. Bahar Basim 1 Ayse Karagoz 1 Zeynep Ozdemir 1

1Ozyegin University Istanbul Turkey

Show Abstract

11:00 AM - BB4
Break

11:30 AM - *BB4.06
Analytical Research of Polishing Pad and the Development of Intelligent Pad

Masaharu Kinoshita 1 Hae Do Jeong 2 Jae Hong Park 3

1Nitta Haas Inc. Kyotanabe City Japan2Pusan National University Busan Republic of Korea3Nitta Haas Incorporated Kyotanabe Japan

Show Abstract

12:00 PM - *BB4.07
Aggressive Diamond Characterization and Wear Analysis during Chemical Mechanical Planarization

A. Philipossian 1 2 C. Wu 1 Y. Zhuang 1 2 X. Liao 1 Y. Jiao 1 Y. Sampurno 1 2 S. Theng 2 F. Sun 3 A. Naman 3

1University of Arizona Tucson USA2Araca, Inc. Tucson USA3Cabot Microelectronics Corporation Aurora USA

Show Abstract

12:30 PM - BB4.08
Performance of a Novel Slurry Injection System on a Speedfam-IPEC 472 reg; Polisher for Inter Layer Dielectric (ILD) Applications

A. Philipossian 1 Leonard Borucki 1 Yasa Sampurno 1 Yun Zhuang 1 Lynn Shumway 2 Paul Feeney 2

1Araca, Inc. Tucson USA2Axus Technology, Inc. Chandler USA

Show Abstract