Symposium Organizers
Francois Leonard, Sandia National Laboratories
Christian Lavoie, IBM T. J. Watson Research Center
Yu Huang, University of California, Los Angeles
Karen Kavanagh, Simon Fraser University
T2: Si, Ge, and III-Vs
Session Chairs
Christian Lavoie
Alec Talin
Tuesday PM, April 02, 2013
Moscone West, Level 3, Room 3000
2:30 AM - *T2.01
Semiconductor Requirements and Challenges for Nanometer Scale Contacts
Michael Garner 1 2
1Garner Nanotechnology Solutions Pleasanton USA2Stanford University Stanford USA
Show AbstractThe semiconductor industry continues to develop integrated circuits with higher density of devices and interconnects which requires fabricating electrical contacts with smaller size. The International Technology Roadmap for Semiconductors (ITRS) identifies technologies required to continue increasing logic and memory densities and performance in future technologies. While electrical contacts to devices are currently ~30nm diameter, they are projected to approach 10nm by 2013 for both memory and logic products. As contacts continue to be reduced in size, the contact resistance becomes a larger factor in the impedance of the device which could limit performance and possibly reliability. Emerging Research Devices (ERD) is evaluating the potential for novel alternate channel materials including nanowires, carbon nanotubes and graphene nanoribbons to extend CMOS. Furthermore, the ERD is evaluating charge based and non-charge based beyond CMOS devices to provide new information processing functionality. All of these devices will need low resistance electrical contacts, so novel structures and materials will be needed to provide the required resistance and reliability. Metrology and modeling is needed to characterize the performance of these nanometer scale contacts as fabricated and through their operational life.
3:00 AM - T2.02
Platinum Silicide as a Next-generation Contact Material for NEMS Switches
Frank Streller 1 Graham E. Wabiszewski 2 Gianluca Piazza 3 Robert W. Carpick 2 1
1University of Pennsylvania Philadelphia USA2University of Pennsylvania Philadlephia USA3Carnegie Mellon University Pittsburgh USA
Show AbstractDeveloping materials that retain their properties despite being subjected to harsh conditions is a key challenge in materials science. The lack of understanding in this domain has technological impact, including for Nanoelectromechanical systems (NEMS) switches, which have been identified by the International Technology Roadmap for Semiconductors as a possible "beyond CMOS" technology. The reliability of the contact interface is a key challenge for the commercialization of these devices. The high adhesion of conventional metallic contact materials and low restoring forces of commercially viable NEMS switches results in failure through permanent adhesion of the contact interface. Furthermore, the reactivity of many non-adhesive contact materials results in the buildup of insulating tribolayers during operation. This motivates the need for contact materials that are highly conductive, minimally adhesive, chemically inert, and amenable to CMOS fabrication processes. Platinum silicide (PtxSi) is a promising candidate material that may satisfy these complex demands because it possesses metallic conductivity, is compatible with CMOS fabrication, is chemically inert, and can be used for the etchant-free in situ release of freestanding NEMS switches.
Successful integration of PtxSi contacts into many NEMS switch architectures requires the use of amorphous silicon (a-Si), often with a limited source volume of Si. While there is significant understanding of the kinetics and final composition of PtxSi formed with single-crystal silicon (sc-Si), little is known about the formation process using a-Si. We seek to understand how the use of a limited supply of a-Si impacts the growth kinetics, growth rates, chemical composition, mechanical properties, and formation temperature of a-Si-based PtxSi.
In this work, we provide the first direct characterization of the adhesive and mechanical properties of PtxSi of multiple stoichiometries, formed through controlled diffusion of a-Si and platinum (Pt), in comparison with values obtained from as-deposited Pt. The silicides were produced inside a combined ultrahigh vacuum atomic force microscope (UHV-AFM)/X-ray photoelectron spectroscopy (XPS) apparatus, which enabled simultaneous in situ quantification of the PtxSi stoichiometry as a function of temperature and annealing time. Using this methodology, PtSi, Pt2Si and Pt3Si phases could be identified and distinguished. In particular, layers of Pt and a-Si of approximately equal thickness were shown to favor Pt-rich silicides (Pt2Si and Pt3Si) instead of PtSi commonly observed in Pt/sc-Si silicidation. The silicides synthesized from Pt/a-Si demonstrated larger roughness and lower adhesion than as-deposited Pt. This indicates that the thickness of thin a-Si has a direct impact on the resulting PtxSi stoichiometry, and this may be tuned to confer properties associated with either Pt-rich (high conductivity, chemical inertness) or Si-rich (low adhesion) compositions.
3:15 AM - T2.03
Leveraging Contact Effects for Field-effect Transistor Technologies with Reduced Complexity and Superior Current Uniformity
Radu Alexandru Sporea 1 Stamatis Georgakopoulos 1 Xiaoli Xu 2 Xiaojun Guo 2 Maxim Shkunov 1 John M. Shannon 1 S. Ravi P. Silva 1
1University of Surrey Guildford United Kingdom2Shanghai Jiao Tong University Shanghai China
Show AbstractFuture large-area electronics application will rely on novel materials and innovative fabrication techniques leading to high throughput and low cost. In order to achieve high performance, device design needs to be optimized to mitigate against material or fabrication shortcomings: in numerous emerging technologies thin-film transistor (TFT) performance is hindered by contact effects.
Here, we show that contact effects can be used constructively to create devices with performance characteristics unachievable by conventional transistor designs. Source-gated transistors (SGTs) are not designed with increasing transistor speed, mobility or sub-threshold slope in mind, but rather with improving certain aspects critical for real-world large area electronics such as stability, uniformity, power efficiency and gain.
Despite the fact that the SGT architecture differs only subtly from conventional TFT, its operation is governed by the source barrier, which is the dominant means of controlling the current and SGT operation is altogether different. For a transistor to be an SGT: its source needs to comprise a potential barrier; the electrode structure has to be staggered (source and gate on opposite sides of the semiconductor); we have to be able to deplete the semiconductor layer over its whole thickness during operation.
We show results obtained from a-Si:H, poly-Si and organic semiconductors: considerably lower saturation voltage leading to more than 65% lower power dissipation compared to conventional devices driven at the same current; higher output impedance in saturation allowing more than two orders of magnitude higher intrinsic gain; improved bias stress stability in amorphous materials; higher resilience to processing variations such as registration errors; current virtually independent of source-drain gap, source-gate overlap and semiconductor thickness variations.
We discuss applications which could greatly benefit from incorporating the SGT architecture: power efficient current source such as pixel drivers in AMOLED displays; high gain, low power amplifiers for remote sensors and actuators; very-low-cost circuits made with very high throughput fabrication systems in which pattering errors are unacceptably large for conventional TFT circuit designs.
3:30 AM - T2.04
Imaging Silicide Nucleation and Atomic Step Flow at Defect-engineered Nanoscale Si Channels
Wei Tang 1 2 Samuel Thomas Picraux 2 3 Jianyu Huang 2 Andriy Gusak 4 King-Ning Tu 1 Shadi Dayeh 2 5
1University of California at Los Angeles Los Angeles USA2Los Alamos National Laboratory Los Alamos USA3Sandia National Laboratory Albuquerque USA4Cherkasy National University Cherkasy Ukraine5University of California, San Diego San Diego USA
Show AbstractThe electrical and structural properties of Ni silicide contacts are vital in the state-of-the-art commercial Si devices. The contact formation process needs to be precisely engineered in order to achieve uniform and reproducible electrical properties over billions of transistors, especially for ultra-scaled transistors when contact resistance contribution is significant. The latest source/drain (S/D) engineering technology strategically incorporates stacking faults to exert tensile strain in n-MOSFETs devices, and elevates the Ge contact (which ultimately lead to strain relaxation via stacking faults and dislocations) in the S/D regions for p-MOSFETs, in order to boost electron and hole mobility, respectively. In addition, S/D doping strategies in FinFET devices were shown to lead to structural defects. To preserve the desired performance enhancement and achieve precise control over the contact formation, it is critical to understand the role of structural alternation, or defects, in Si-Ni reaction. Here, we show by in-situ high resolution transmission electron microscopy (HRTEM) that the presence of defects in Si changes fundamentally the silicide nucleation mechanism and growth behavior.
We use vapor-liquid-solid (VLS) grown Si nanowire (NW) as the Si source and incorporate during their growth two types of defects: twin boundaries (TB) running down the axial direction of NW and grain boundaries (GB) on the NW surface. Si NWs are dispersed on silicon nitride (50nm) TEM membrane and react with photolithography defined Ni electrodes, at 300C on an in-situ TEM heating stage. Dynamic HRTEM imaging resolves the axial growth of NiSi2 into Si NW as layer-by-layer repeating nucleation and propagation of NiSi2 (111) plane. We captured the nucleation of each NiSi2 layer at the initial stage and demonstrated that TB and GBs are preferred heterogeneous nucleation sites, in contrast to the 2D homogenous nucleation in defect-free Si NW. The experimentally measured silicide growth rate fits well with our analytical model based on classic nucleation theory that accounts for the corresponding energy of different defects. We further extend our model to depict NiSi2 nucleation as a biased random walk stochastic process and show that twin defects in NiSi2 have high interfacial energies (in contrast to other typical FCC materials like copper or silicon). The calculation of this defect energy, in combination with our experimental observations, may guide contact silicide formation processes and strain engineering in future Si-based technology nodes.
4:30 AM - *T2.06
Changing Landscapes of Silicide Contacts
Ahmet S. Ozcan 1
1IBM East Fishkill USA
Show AbstractSilicide films have been used for about two decades as the contact to the source, drain and gate of state-of-the-art CMOS devices. The desired properties for this contact layer have limited the choice of applicable silicides to the low resistivity TiSi2, CoSi2 and NiSi. The latest conversion to NiSi at the 65 nm node showed that requirements necessary to achieve performance in current devices are stringent to the point where a material studied for more than 3 decades emerges as little known and many unexpected defects appear in small devices. In the sub-32 nm technology regime, silicide contacts not only pose yield challenges but also play a major role in device performance optimization due to the small contact sizes and the increasing parasitic resistance contribution. This talk will go through the yield and reliability challenges and the current shift to device performance focus in silicide optimization.
5:00 AM - T2.07
Partial Agglomeration of the Metal-deposited Layer during Nickel Silicide Formation at Ultra-fast Annealing (105 K/s) by Nanocalorimetry
Matthieu Guihard 1 Yonathan Anahory 1 Jelle Demeulemeester 2 Framp;#233;damp;#233;ric Cayrel 4 Jean-Philippe Masse 5 Martin Chicoine 1 Dries Smeets 1 Patrick Desjardins 2 Christian Lavoie 3 2 Sjoerd Roorda 1 Francois Schiettekatte 1
1Universitamp;#233; de Montramp;#233;al Montramp;#233;al Canada2amp;#201;cole Polytechnique de Montramp;#233;al Montramp;#233;al Canada3IBM T.J. Watson Research Center Yorktown Heights USA4Universitamp;#233; de Tours Tours France5Centre de Caractamp;#233;risation Microscopique des Matamp;#233;riaux Montramp;#233;al Canada
Show AbstractNickel silicides are widely used in CMOS based microelectronics. As some of device dimensions approach the size of tens of nanometers the formation of such silicides with excellent contact properties becomes a major challenge. Stability of dopants and agglomeration of thin silicide films are among the problems to tackle. For the former, the thermal budget could be reduced by introducing ultra-fast thermal annealing reaching 106 K/s and even higher with pulsed laser annealing [1]. Regarding the latter, several studies analysed the effects of agglomeration on the phase formation from thin Ni layers at the end of the reaction [2]. In this work, we use nanocalorimetry [3] to study the reaction of thin Ni films (1-16 nm) with Si (100) at a heating rate averaging 90 000 K/s and a sensitivity of ~5 nJ/K, nanocalorimetry being the only technique capable of measuring the heat flow at such heating rate.
Prior to deposition, the Si (100) strip of the sample calorimeter (SC) and a Si (100) control sample are cleaned with HF. Ni is deposited by magnetron sputtering using Ar at 10-3 Torr. Then the SC is scanned in situ several times up to 900 °C in 10 ms. Both the SC and the control sample are then characterized by Rutherford Backscattering (RBS), Elastic Recoil Detection (ERD), TEM and/or XRD.
In as-deposited samples, an intermixed region (< 2 nm) is present at the Ni/Si interface. The presence of oxygen (5x1015 at/cm2) at interface is revealed by ERD carried out on thick Ni samples, and a small amount of Ni (<1%) diffuses approximately 50 nm into the substrate according to RBS and ERD.
In most cases, the reaction starts at around 300 °C with the formation of a rather rough NiSi2 layer at the interface. Between 400 and 700 °C, an exothermic reaction takes place resulting in a silicide of composition near NiSi (50:50) while part of the Ni layer undergoes melting and agglomeration. A thin silicon oxide layer is revealed by TEM at the Ni/silicide interface. Above 700 °C, an endothermic peak is attributed to the fusion and agglomeration of NiSi2. The following scans show the fusion of the remaining agglomerated Ni or Ni-Si structures which are slowly consumed by the silicidation.
We conclude that ultra-fast annealing may not leave enough time for the silicidation reaction to fully occur, namely in the presence of a thin silicon oxide barrier.
[1] H.-Y. Chen, C.-Y. Lin, M.-C. Chen, C.-C. Huang, and C.-H. Chien, J.E.S., 158 (8) H840-H845 (2011)
[2] K.D. Keyser, C.V. Bockstael, R.L.V. Meirhaeghe, C. Detavernier, E. Verleysen, H. Bender, W. Vandervost, J. Jordan-Sweet, and C. Lavoie, A.P.L., 96, 173503 (2010)
[3] Y. Anahory, M. Guihard, D. Smeets, R. Karmouch, F. Schiettekatte, P. Vasseur,
P. Desjardins, Liang Hu, L.H. Allen, E. Leon-Gutierrez, J. Rodriguez-Viejo, Thermochimica Acta, 510 (2010), 126-136
5:15 AM - T2.08
Multi-scale Simulation of Transport through a Mo/n+-GaAs Schottky Contact
Manuel Aldegunde 1 Steven Hepplestone 2 Peter Sushko 2 Karol Kalna 1
1Swansea University Swansea United Kingdom2University College London London United Kingdom
Show AbstractMetal-semiconductor contacts are integral components of a semiconductor device. When these devices are scaled to nanometre dimensions, transport through the contacts becomes affected by their atomic structure. In this multi-scale simulation approach, we map the results of ab initio simulations of a metal-semiconductor interface into semi-classical transport simulations, a 3D self-consistent finite element ensemble Monte Carlo method with atomic resolution. The simulations are carried out for a Mo/n+-GaAs junction, which is one of the promising candidates for the source/drain contact in III-V heterostructure MOSFETs for future sub-16 nm CMOS technology generations.
First principles simulations using the density functional theory (DFT) with two density functionals are used to construct atomic-scale models of Mo[100]/GaAs[100] interfaces. We use a hybrid density functional and calculate the electronic band structure for the lattice cell size of bulk GaAs. The geometrical structure of Mo/GaAs interfaces is obtained by the total energy minimisation at atomic coordinates considering defects and strain induced by the lattice mismatch. A correlation between the bandgap and the effective carrier mass is used to map these as a function of position in the Mo[100]/GaAs[100] structure. A smooth reduction of the band-gap and a reduction in electron effective mass are found due to the influence of the metal at semiconductor interface. The projected density of states is then used to map the variation of the conduction and valence bands with respect to the Fermi level and the effective masses into 3D Monte Carlo transport simulations at each atom position in the Mo/GaAs system. The reduction of the bandgap changes the Schottky barrier and the mass reduction the tunnelling probability. These changes also impact the electrostatics through the self-consistent solution of 3D Poisson equation (accounting also for the image force) making it difficult to predict the impact a priori.
A Mo/n+-GaAs interface considered in this work has a metal work-function of 4.60 eV and a GaAs doping of 5x10^19 cm^-3. The high doping provides a thin barrier to achieve Ohmic behaviour of the contact. The 3D ensemble Monte Carlo simulations with the atomic resolution (which correctly reproduce an electron mobility in bulk GaAs) give a contact resistivity of 2.1x10^-8 Omega;cm^2 using no position dependent parameters, 1.1x10^-7 Omega;cm^2 using position dependent bands and masses, 2.0x10^-8 Omega;cm^2 using only position dependent masses and 7.3x10^-8 Omega;cm^2 using only position dependent bands. The inclusion of the position dependent bands and masses increases about 400% the resistivity of the contact because a tunnelling component of the current is hugely reduced as the Schottky barrier becomes very wide and the image force induced barrier lowering negligible. The position dependent affinity and bandgap play a major role in this increase with a minor role of the position dependent effective mass.
5:30 AM - T2.09
Physics-based Modeling of Specific Contact Resistivity with High Doping Effects
Khaled Ahmed 1
1Intermolecular, Inc. San Jose USA
Show AbstractState-of-the-art contacts on n+-Si have an estimated specific contact resistivity (ρC) asymp; 8 nOmega;-cm2 for 32nm CMOS. Moore&’s law scaling requires that the contact size scales at ~0.5× from node to node. This requires that target ρC values should be below 6, 4, and 2 n#8486;-cm2 for 22 nm, 14 nm and 10 nm technology nodes, respectively. For 32nm CMOS devices, NiPtSi/n+-Si was used for n-MOSFETs. Discussions on an alternative contact system are underway. In addition to the issues related to measuring sub-10 n#8486;-cm2 resistivity, it is imperative to understand the theoretical requirements on the metal/n+-Si contact system including intrinsic (low doping) Schottky barrier height (Phi;B0) and n+-Si surface active doping density (ND) for sub-10 n#8486;-cm2 contacts. Prior models for current transport in metal/ n+-Si contacts have not or only partially included high doping effects. Padovani and Stratton did not include any high doping effects. Guomundsson included image force barrier lowering but ignored band-edge tailing and impurity-band effects. Lou and Wu included band-edge tailing and impurity-band effects, but ignored image force barrier lowering.
In this work, a new compact model is given for the specific contact resistivity (ρC) on n+-Si. The significance of the model lies in the consideration of two high doping effects at the same time: (1) image force barrier lowering, (2) band-edge tailing and impurity-band barrier enhancement. The model is used for estimating the requirements on contacts with ρC <10 nOmega;-cm2. It is shown that 1 n#8486;-cm2 contacts may be achieved on n+-Si with doping density NDle;3E20 cm-3 for intrinsic Schottky barrier height Phi;B0le;0.38 eV . This estimate is more optimistic than previously predicted by models that ignored high-doping effects. The model is in excellent agreement with experimental data obtained on NiPtSi/n+-Si and NiSi/n+-Si contacts with NDasymp;1.5-2E20 cm-3.
5:45 AM - T2.10
Metal Semiconductor Contact Enhancement with Schottky Barrier Modulation
Alain Bruno Fadjie djomkam 1 Virginie Beugin 1 Eugenie Martinez 1 Charles Leroux 1 Fabrice Nemouchi 1 Francois Martin 1 Sylvain Maitrejean 1
1CEA, LETI, Minatec Campus Grenoble France
Show AbstractMetal-semiconductor contact processes are very crucial in scale down components and in particular for 14 nm CMOS node and beyond. Consequently, reducing the contact resistance between metal and semiconductor becomes one of the major challenges. Nowadays, most of the studies focus on silicidation process enhancement [1] where intermetallic phase is formed by solid state reactions. However, it will face some difficulties in the coming device generation such as phase nucleation and growth kinetics issue, thermal stability, semi-conductor consumption, junction damage. An original approach aims to modulate the Schottky barrier [2] between the semi-conductor and a metal. Thus, ultrathin high-k interlayers, such as Al2O3, are promising candidates for nanoscale contacts and from non-equilibrium Green Functions (NEGF). Indeed, this dielectric tends to suppress the evanescent metal induced gap state (MIGS).
In this work, we proposed a systematic Ultra-violet and X-ray photo-emission spectroscopy (UPS and XPS) analyses of Al2O3 layer on Si and Si0.75Ge0.25 substrates. Al2O3 layer was deposited by atomic layer deposition (ALD) using trimethylaluminium precursor (TMA) and water, on Si and Si0.75Ge0.25. Samples were heating at 400°C in order to obtain accurate work function (WF) of Al2O3 and the valence band maximum (VBMax). Indeed, this annealing leads to a shift of around 1 eV on electronic WF and VBMax due to surface contamination and water desorption. We studied the effect of thickness and oxygen plasma treatments. A monotonic work function evolution as function of Al2O3 thickness have been observed in fair agreement with Zhu et al. [3] where the minimum value of valence were determined for around 1 nm. These variations in WF and VBMax are attributed to the presence of Al2O3 which modifies the Al2O3/Semi-conductor interfaces, in particular on Si0.75Ge0.25 according to the dielectric interlayer thickness measured by ellipsometry. We show that Al2p core level increase linearly versus Al2O3 thickness which can be correlated to a variation in dipole moment. Al2O3 post-deposition densification by oxygen plasma treatments was applied in order to suppress the interfaces traps [4]. Finally, electrical measurements will be discussed to compare the interface properties of Al2O3 regarding dipole modulation effect and leakage current. Transient response and technology benchmarking are discusses for applicability in 14 nm node.
[1] Silicide Technology for Integrated Circuits (IEEE Materials & Devices), Pr L.J Chen, 2004, ISBN 0 863413528
[2] B. E. Coss et al., APL 99, 2011, 102108
[3] Zhu et al., JAP 105, 2009, 024102
[4] Zhang et al., APL 98, 2011, 112902
T3: Poster Session: Nanocontacts
Session Chairs
Tuesday PM, April 02, 2013
Marriott Marquis, Yerba Buena Level, Salons 7-8-9
9:00 AM - T3.01
Polypyrrole Top-contact Electrodes Patterned by Inkjet Printing Assisted Vapor Deposition Polymerization in Flexible Organic Thin-film Transistors
Sung Hyun Kim 1 ChoonWoo Lim 1
1KyungHee University YoungIn Republic of Korea
Show AbstractHighly conductive polymer, polypyrrole (PPy) was successfully patterned as source and
drain (S/D) electrodes for flexible pentacene thin film transistors in top-contact structure
by combining inkjet printing and vapor deposition polymerization. Facile inkjet printing
of initiator and subsequent exposure of pyrrole monomers resulted in selective absorption
and polymerization of pyrrole monomers on the patterned initiator region. Pentacene transistors based on printed PPy electrodes exhibited higher electrical characteristics than that of the devices with thermally evaporated Au electrodes. Improved performance of the
devices based on PPy electrodes could be attributed to the reduction of contact resistance
at the interface between polymer and organic semiconductor. For the replacement of metal
electrodes, vapor deposition polymerization assisted inkjet printing technique can provide
a versatile method to utilize highly conductive polymer as a functional electrode of flexible
organic electronic devices.
9:00 AM - T3.02
Junction Formation to n-Ge Using Transition-metal Encapsulating Si Clusters
Naoya Okada 1 3 Noriyuki Uchida 1 Toshihiko Kanayama 2 3
1National Institute of Advanced Industrial Science and Technology Tsukuba Japan2National Institute of Advanced Industrial Science and Technology Tsukuba Japan3University of Tsukuba Tsukuba Japan
Show AbstractThe metal-semiconductor contact has been a fundamental issue in semiconductor physics and technology. While most all metal/p-Ge junctions are Ohmic, metal/ n-Ge junctions show Schottky characteristics with the Fermi level pinning [1], resulting from the charge neutrality level close to the valence band edge of Ge. We have recently demonstrated that the junction of epitaxially grown W silicide (WSin, n= 8-10) films composed of W-encapsulating Si clusters on low-doped n-Si substrates is Ohmic, while the WSin/p-Si junction is rectifying with good interface quality and a barrier height (BH) of 0.8 eV [2].
In this work, we investigate the junction properties of WSin films on n-Ge substrates. The WSin films were formed by deposition of hydrogenated WSin clusters at room temperature on atomically-cleaned n-Ge (111) substrates with resistivity of ~0.3 Omega;cm. The WSin clusters had been synthesized through the reaction of SiH4 and W vapor supplied from a WSi2 target by laser ablation [3]. Resulting layers were amorphous and several nm in thickness. The I-V measurement with W electrodes showed rectification properties. The reverse bias C-2-V curve showed a barrier height of 0.38 eV, which is the value lower than those for any other metal/n-Ge junction. This is attributed to the mechanism that the WSin-cluster film releases the Fermi level pinning by terminating Ge dangling bonds. The temperature dependence of the current under reverse bias showed an activation energy of ~0.25 eV. This indicates that the reverse-bias current is dominated by the tunneling current through a level 0.13 eV (= 0.38minus;0.25 eV) below the conduction band edge of Ge.
In conclusion, we demonstrate that the W/WSin/n-Ge junction has a low BH of 0.38 eV, in contrast to the fact that in general metal/n-Ge junctions have almost the band-gap equivalent BH of 0.5-0.6 eV due to the strong Fermi level pinning. This indicates that the Fermi level pinning is released by formation of the WSin-cluster assembled film on n-Ge. Thus, the WSin-cluster film is expected as a low contact resistance material for Ge devices.
[1] T. Nishimura, et. al. Appl.Phys.Lett. 91, 123123 (2007)
[2] N. Okada, et. al., to be published in Appl.Phys.Lett.
[3] N. Uchida, et. al. Appl.Phys.Exp.1, 121502 (2008)
9:00 AM - T3.03
Establishing Electrical Contacts for Amorphous Hydrogenated Boron Carbide
Michelle M. Paquette 1 Joseph W. Otto 1 Sudarshan Karki 1 Bradley J. Nordell 1 Mohammed Belhadj Larbi 1 M. Sky Driver 1 Thuong D. Nguyen 1 Christopher L. Keck 1 Brent J. Rogers 1 Andrew Kitahara 1 Sean W. King 2 William A. Lanford 3 Sudhaunshu Purohit 4 Wenjing Li 4 Chi Zhang 4 Nathan A. Oyler 4 A. N. Caruso 1
1University of Missouri-Kansas City Kansas City USA2Intel Corporation Hilsboro USA3University at Albany Albany USA4University of Missouri-Kansas City Kansas City USA
Show AbstractAs a moderately wide band gap (~2.0-3.5 eV) p-type semiconductor with several unique characteristics, including high resistivity (>1012 Omega; cm), large thermal neutron capture cross section, low density, high hardness, and high chemical stability, PECVD-grown amorphous hydrogenated boron carbide (a-BxC:Hy) is a candidate material for specialized next-generation semiconductor or nanoelectronics applications, notably solid-state neutron detection. Amorphous BxC:Hy is quite different from typical semiconductors in that it is made up of a disordered, extended (or polymeric) network of molecular icosahedral subunits; neither traditional methods nor traditional interpretations can consistently and reliably be applied to its investigation. In part due to its complexity, very little is known about the material, from its atomic and electronic structure, to its physical and materials properties, to appropriate device integration protocol. To rigorously measure device metrics or fundamental charge transport properties, appropriate electrical contacts for a-BxC:Hy must be established, a problem that has so far remained inadequately resolved. This contribution will review progress toward this goal, focusing on the investigation of the chemical and electronic structure of the a-BxC:Hy/metal interface via photoemission spectroscopy and the electrical characterization of a-BxC:Hy/metal junctions. Unique considerations and challenges that arise in forming contacts at the interface of this exceptional material will be highlighted.
9:00 AM - T3.04
Thin-film Nanowire Networks for Transparent Conductor Applications: Simulations of Sheet Resistance and Percolation Thresholds
Rose M. Mutiso 1 Michelle C. Sherrott 1 Aaron R. Rathmell 2 Benjamin J. Wiley 2 Karen I. Winey 1
1University of Pennyslvania Philadelphia USA2Duke University Durham USA
Show AbstractThin-film metal nanowire networks are being pursued as a viable alternative to the expensive and brittle indium tin oxide (ITO) for transparent conductors which enable the ubiquitous touch screen technologies as well as numerous new applications such as thin-film solar cells, organic light emitting diodes, e-paper and sensors. For high performance applications, thin-film nanowire networks must meet important performance criteria, particularly high transmittance (>90%) at low sheet resistance (< 100 Ohm/sq). Previously, we have used complimentary experimental, simulation and theoretical techniques to explore the effects of filler aspect ratio (L/D), orientation, and size-dispersity on the electrical conductivity of three-dimensional rod-networks in bulk polymer nanocomposites. In this presentation we will describe how we adapted our three-dimensional simulation approach and analytical percolation model to study the electrical properties of thin-film rod-networks. Moreover, by fitting our simulation results to experimental results, we determined the average contact resistance between silver nanowires. This contact resistance was then used to quantify how the sheet resistance depends on the aspect ratio of the rods and to show that networks made of nanowires with L/D > 100 yield sheet resistances lower than the required 100 Ohm/sq. We also report the critical area fraction of rods required to form a percolated network in thin-film networks and provide an analytical expression for the critical area fraction as a function of L/D. Next we incorporated size dispersity into the simulations of sheet resistance and analytical solution for the critical area fraction. Thin-film rod networks comprised of bidisperse mixtures of high-L/D and low-L/D rods show dramatic reduction in the sample sheet resistance when small fractions of high-L/D rods are present. Bidisperse size-dispersity provides a promising engineering solution to meet performance requirements while maintaining modest-L/D of rods. Our generalized analytical percolation expression provides a robust prediction of the percolation threshold in the bulk and thin-film assemblies of nanowires. Furthermore, our simulation method can be used to extract the average contact resistance in thin-film assemblies of nanowires and then predict the sheet resistance as a function of nanowire size, size dispersity and area fraction.
9:00 AM - T3.05
Computational Studies Investigating the Effect of Sequencing and Environment on the Conductance of DNA Nanowires
Clarence Matthai 1 Gareth Jones 1 Watheq Elias 1
1Cardiff University Cardiff United Kingdom
Show AbstractUnderstanding electron transfer in molecular systems is important, especially in the context of
molecular electronics. With the desire to incorporate biological molecules in molecular electronic
devices, there is a need to establish the relative importance of the various factors like the environment and the molecular structure (DNA sequence) on the electrical conduction. There has
been much debate about mechanisms of electron transfer in biological molecules. We have conducted a systematic study of electron conduction in DNA nanowires using the NEGF method. The
Hamiltonian matrix elements were determined within the framework of both Density Functional
Theory and the Extended Huckel Approximation. The latter method was found to be efficacious
in considering long DNA segments in solution where the number of molecules makes it difficult to
employ DF theory. In addition, we have developed a model Hamiltonian approach to include the effect of molecular vibrations on the conductivity.
9:00 AM - T3.06
Gate Dependent Carrier Diffusion Length in Colloidal PbSe Quantum Dot Thin Film Field Effect Transistors
Tyler Otto 1 Chris Miller 1 Jason Tolentino 2 Matt Law 2 Dong Yu 1
1UC Davis Davis USA2UC Irvine Irvine USA
Show AbstractI will report on a scanning photocurrent microscopy (SPCM) study of colloidal PbSe quantum dot (QD) thin film field effect transistors (FETs). PbSe QDs are chemically treated and coated with aluminum oxide (Al2O3) by atomic layer deposition (ALD) to obtain air stable and conductive thin films with strong gate dependence. SPCM reveals a long photocurrent decay length in n-type QD thin films, which is reduced from 1.6 mu;m to below 0.6 mu;m as a large positive gate voltage is applied. After excluding other possible mechanisms including thermoelectric effect, depletion width, and fringing electric field, we conclude that the diffusion of long-lifetime carriers most likely accounts for the measured long photocurrent decay length. The long carrier lifetime is supported by the tail of the photocurrent decay and is attributed to charge traps. This study is important to the understanding of carrier dynamics in colloidal QD thin films. The presence of a long component to the carrier lifetime, has important implications for solar cells and photo detectors.
9:00 AM - T3.08
Electrical Contact Resistance of Electroless Nickel to Nanocrystalline Silicon and the Fabrication of a Thermoelectric Generator
Victor Kessler 1 Martin Dehnen 1 Ruben Chavez 1 Andre Becker 1 Julia Stoetzel 1 Nils Petermann 1 Mathias Spree 2 Tim Huelser 2 Stefan Peil 2 Katharina Nowakowski 3 Karlheinz Hesse 3 Gabi Schierning 1 Roland Schmechel 1
1University of Duisburg-Essen Duisburg Germany2Institut famp;#252;r Energie- und Umwelttechnik e.V. Duisburg Germany3GSI - Gesellschaft famp;#252;r Schweisstechnik International mbH Niederlassung SLV Duisburg Germany
Show AbstractWe discuss the electrical contact resistance of electroless nickel to nanocrystalline silicon as well as the fabrication of a thermoelectric generator using p- and n-type doped nanocrystalline silicon. Starting with highly doped silicon nanoparticles from the gas phase we use the current-activated, pressure-assisted densification (CAPAD) to get dense nanocrystalline silicon. A systematic study of the CAPAD processing parameters for silicon nanoparticles with respect to the thermoelectric performance is presented. It was found, that (electro)chemical metallization techniques are well suited for thermally stable and strongly adherent contacts to porous, nanocrystalline silicon. The construction of a thermoelectric generator based on nanocrystalline silicon is realized by electroless nickel plating, electrochemical deposition of silver and further sintering techniques. The conventional transfer length method (TLM) is employed to characterize the specific contact resistance ρc of electroless deposited nickel to nanocrystalline silicon. Values of the specific contact resistance better than ρc =2×10-6 Omega;×cm2 were measured. Limitations of this method because of inhomogeneities within the nanocrystalline material will be discussed. The electrical power output and the efficiency eta; of the generator were analyzed up to ca. 150 °C. The generator is thermally and mechanically stable up to temperatures of 600 °C. By using the Harman technique, without corrections for losses due to radiation, the device-figure-of-merit ZT of the generator is measured up to 600 °C with a ZT of roughly 0.1 at 600 °C. Thereby it was shown, that the realization of an environmentally friendly, non-toxic low-cost thermoelectric generator for high temperature applications is feasible.
9:00 AM - T3.10
Computational Study of the Chaotic Behavior in Single-molecule Conduction
Henrik Lofas 1 Anton Grigoriev 1 Jan Isberg 3 Rajeev Ahuja 1 2
1Uppsala University UPPSALA Sweden2Royal Institute of Technology (KTH) STOCKHOLM Sweden3Uppsala University UPPSALA Sweden
Show AbstractRecently we have seen great advances in synthesis and fabrication of nanostructures. However, there is still no consensus on the conductance of small organic molecules, where different values of the conductance are often attributed to differences in metal-molecule interface structure or different molecular conformations[1,2]. Control and characterization of the metal-molecule interface during formation of the junction is in practice an impossible task. To get insight into this highly dynamic process, computer simulations are needed; here we are going to show a combination of ab-initio molecular dynamics (MD)-simulations and conductance calculations to address this problem.
The conductance of a junction is mainly determined by the relative position of the energy level closest to the Fermi level of the electrodes and by the coupling of the corresponding electronic state to the electrodes[2]. These parameters are greatly influenced by the nature of the interaction and/or chemical bond between electrodes and the molecule. Information about the nature of this interaction and its variation with different binding sites can be extracted from the conduction spectra. Here we are using MD-simulations to get an unbiased set of geometries, thus mimicking the randomness of a real junction under thermal fluctuations. From the obtained geometries the zero-bias conductance is calculated and used for histograms to investigate the statistics of the junction.
The obtained histograms for the thiol-bonded molecules are fitted with probability distributions for different Gaussian ensembles and we show that the interaction between the electrode and the molecule gives rise to quantum chaos in the junction. The effect of quantum chaos have earlier been found experimentally for quantum dots[3] and nanowires[4]. By removing the symmetry in the junction the chaotic behavior can be increased. We also compare the thiol anchoring groups with amines and we can see that the weaker coupling to the gold for the amines increases the conductance fluctuations in the junctions by one to two orders of magnitude. By tuning the ratio of the coupling between the electrodes and the molecular state we show, that the junction can be switched from a chaotic behavior to a case with a normal distributed conductance spectrum where only temperature fluctuations are present.
[1] S. L. Bernasek, Angew. Chem. Int. Ed. 51, 9737 (2012).
[2] A. Nitzan and M. A. Ratner, Science 300, 1384 (2003).
[3] L. A. Ponomarenko, F. Schedin, M. I. Katsnelson, R. Yang, E. W. Hill, K. S. Novoselov, and A. K. Geim, Science 320, 356 (2008).
[4] J. L. Costa-Krämer, N. García, P. García-Mochales, P. A. Serena, M. I. Marqués, and A. Correia, Phys. Rev. B 55, 5416 (1997).
9:00 AM - T3.11
A Permanent, Stable, and Simple Contact for Molecular Eelectronics on Si: Pb Evaporated on Organic Monolayers
Robert Lovrincic 1 Olga Kraynis 1 Tal Toledano 1 Rotem Har-Lavan 1 Abd-Elrazek Haj-Yahya 1 Wenjie Li 1 Ayelet Vilan 1 David Cahen 1
1Weizmann Institute of Science Rehovot Israel
Show AbstractTop-contact fabrication on self-assembled organic monolayers (ML) is a critical issue in molecular electronics. If a metal is evaporated onto a ~1 nm thick ML, the metal might penetrate through pinholes, creating shorts that dominate the transport characteristics. It may also react with the molecules, fully or partially destroying their function. Last, the top contact material might react with the substrate. A multitude of techniques has been developed over the years to contact organic MLs in non-destructive and non-invasive ways. Some of the most common ones are: liquid Hg, indirectly evaporated metal films, transfer of ready-made conducting pads onto the ML, transfer of CVD graphene onto the ML, spin-coating of reduced graphene oxide or of PEDOT/PSS. However, most of these methods suffer from a lack of scalability.
We show that Pb, evaporated on a variety of organic monolayers on Si (i) does not damage the head groups of two styrene MLs that differ only in their head group, -Br vs. -CH3, based on the preservation of the huge molecule-induced dipole effect on electron transport across the Pb/Si junction and (ii) preserves a length dependence for tunneling through saturated alkyl chains of different lengths, suggesting that any pinhole formation that can short the molecules is negligible. We conclude that Pb can function as a permanent, stable, and easy to fabricate contact and can be used for molecular electronics with Si in general. Our results furthermore suggest that a lack of interaction between a vacuum-deposited contact and the substrate is crucial for preserving an interfacial ML intact.
9:00 AM - T3.12
Electron Tunneling Titrations in Macroscopic Hg-Hg Junctions
Jordan Garside 1 Katelyn Nelson 1 Chari Martinez 1 Aaron Barnum 1 Ngan Le 1 Kate Monahan 1 Nam Bui 1 David Roeuth 1 Kris Slowinski 1
1California State University Long Beach Long Beach USA
Show AbstractThe efficiency of electron tunneling across monolayers of thiocarboxylic acids and n-alkanethiols trapped between the two liquid Hg contacts was measured as a function of the interfacial structure of monolayer/Hg non-covalent contact. A monolayer of a long-chain thiocarboxylic acid or n-alkanethiol was formed in-situ, in EtOH/water solution, on one of the Hg drops, via oxidative adsorption, at the controlled electrochemical potential. The second Hg drop was kept at the electrochemical potential preventing the monolayer formation. Subsequently, the Hg drops were brought into contact in-situ and under electrochemical control. The junction formation was instantly followed by the flow of a steady-state tunneling current between the two electrodes.
A plot of the logarithm of the tunneling current density versus the total number of carbon atoms in each junction yields identical tunneling coefficients for monolayers of n-alkanethiols and thiocarboxylic acids (beta=1.1 per methylene group). Careful examination of the tunneling current across the carboxy-terminated monolayer / Hg interface, as a function of pH, indicates that the structure of the non-covalent monolayer/electrode contact plays a significant role in the mechanism of electron transfer. Specifically, while pH has no influence on the tunneling currents flowing across the methyl-terminated monolayer/Hg interface, it significantly affects currents flowing across the carboxy-terminated monolayer/Hg interface. The presented experimental approach allows, for the first time, systematic investigation of the electrical properties of electrochemically controlled tunnel junctions, through examination of the electrical double-layer formed at the monolayer/electrode interface.
This research was supported by grant from NIH (5SC3GM092258).
9:00 AM - T3.13
Two-contact Circular Test Structure for Determining Specific Contact Resistivity
Yue Pan 1 Geoffrey Kenneth Reeves 1 Patrick William Leech 1 Anthony Stephen Holland 1
1RMIT University Melbourne Australia
Show AbstractAs ohmic contacts decrease in size and approach nanoscale dimensions, accurate electrical characterisation is essential, requiring the development of suitable test structures for this task. We present here a test structure derived from the standard three-contact Circular Transmission Line Model (CTLM), for determining the specific contact resistance of ohmic contacts. This test structure minimises sources of error which arise from the CTLM by -
(i) reducing the number of contacts within one test pattern from three to two,
(ii) ensuring the assumption of equipotential metal contacts used in modelling is more easily attained experimentally, and
(iii) allowing the fabrication of reduced geometrical dimensions essential for determining low specific contact resistance values.
The structure uses three pairs of circular metal contacts, each pair being concentric, thus removing the annular contact between the centre and outermost contact of the standard CTLM. This is an important modification as it removes the contact that most violates (ii) above, and its removal significantly simplifies the electrical probing of the test structure.
We use Finite Element modelling to show the extent to which each contact differs from being an equipotential for a variety of typical contact parameters. The modelling demonstrates that the annular contact is the contact least likely to satisfy the equipotential assumption. Its removal thus improves the accuracy and simplifies the analysis of the contact test structure.
In comparison to the standard CTLM, the presented test structure does not use modification of the rather complex expressions used to describe current-voltage behaviour in circular electrodes. Rather, we show in this paper that the experimentalist can conveniently use these expressions using universal plots for the three pairs of electrodes. These plots relate the three resistance measurements directly to specific contact resistance and the sheet resistance of the semiconductor layer. The new test structure requires no error correction for measurements taken and geometry can be measured accurately as even at nanoscale levels, designed circular contacts will still be circular and diameters can easily be determined. The test structure we present is scalable down to nanoscale dimensions.
G.K. Reeves, Solid-State Electronics 23(5), 487 (1980).
9:00 AM - T3.14
Modification of Schottky Barrier Parameters of Pt/n-type Ge Schottky Rectifiers Using PEDOT:PSS Interlayer
Chel-Jong Choi 1 2 Min-Sup Song 1 Jong-Hee Kim 1 Hyun-Jin Song 1 Won-Ki Lee 1 Hyung Joong Yun 3 Jouhahn Lee 4
1Chonbuk National University Jeonju Republic of Korea2Chonbuk National University Jeonju Republic of Korea3Korea Basic Science Institute (KBSI) Daejeon Republic of Korea4Korea Basic Science Institute (KBSI) Jeonju Republic of Korea
Show AbstractThe effect of poly (3,4-ethylene dioxythiophene):poly(styrene sulfonate) (PEDOT:PSS) interlayer on the Schottky barrier parameters of Pt/n-type GeSchottky contacts was investigated using current-voltage (I-V) characteristics. The presence of the PEDOT:PSS interlayer was effective for modifying the interfacial potential barrier of Pt/n-type Ge Schottky contact, allowing for increased barrier height. Due to interface dipoles and lateral barrier inhomogeneities caused by the presence of PEDOT:PSS interlayer, Pt/PEDOT:PSS/n-type Ge Schottky contact showed a relatively large deviation from the ideal thermionic emission model of the carrier transport at the metal/semiconductor junction.From the reverse I-V characteristics, the carrier conduction through the contact interface caused by the non-uniformity and sub-atomic structure of the PEDOT:PSS interlayer could be responsible for the transition of the reverse leakage conduction mechanism of Pt/PEDOT:PSS/n-type Ge Schottky contact from Poole-Frenkel emission to Schottky emission at a higher bias range.
9:00 AM - T3.15
Light Extraction through Nano-textured Interface for Efficient OLED Lighting
Il Gu Kim 1 3 Seung Hyun Lee 1 Miyoung Kim 1 Dang Mo Yoon 1 Sun Hong Yoon 1 Junsang Lee 1 Young min Park 1 Bum-Joo Lee 1 Jin-Koog Shin 1 Byung Seuk Moon 2 Chel-Jong Choi 3
1KETI Jeonju Republic of Korea2GD Cheongju Republic of Korea3Chonbuk National University Jeonju Republic of Korea
Show AbstractOrganic light-emitting diodes(OLEDs) are being explored for next generation lighting applications. However, it is well known that the majority of generated light is trapped inside of ITO layer and OLED, or emitted through the edges of OLED due to the total internal reflection between glass(n=1.5) and ITO(n=1.72).
We have fabricated OLED lighting on ITO/index matching materials/nano-textured glass substrate to increase the angle of incidence and thereby enhance the light extraction efficiency. The nano-textured glass substrate was made by wet chemical etching of glass substrate using aerosol deposited islands as etch mask. After that, an index matching material was coated on nano-textured glass substrate to optimize the light extraction and smooth the surface prior to the ITO deposition at the same time. To examine the textured interface on out-coupling efficiency, we evaluated the current efficiency of the fabricated OLED in comparison with OLED fabricated on ITO/flat glass substrate.
9:00 AM - T3.16
Sharp Needles: Fabrication of Tungsten Nanotips by AC Electrochemical Etching and Laser Enhanced Etching for Nanoprobing on Interconnects of Advanced Technology Nodes
Khalid Dawood 1 Zhi Hong Mai 1 Tsu Hau Ng 1 Hao Tan 1 Pik Kee Tan 1 Jeffrey Lam 1
1GLOBALFOUNDRIES Singapore Pte. Ltd Singapore Singapore
Show AbstractNanoprobing is an invaluable tool for electrical failure analysis (FA) in current FA metrology for fault isolation. A nanoprobing system comprises of an SEM integrated with 4 to 8 nano-manipulators with tungsten nanotips connected to a parametric analyzer. Such a system is capable of direct transistor characterization at the contact level. With further progression in transistor scaling, the minimum device interconnects size decreases. This requires nanotips with sufficiently small radius of curvature (ROC) to serve as probes in a nanoprobing system. Tungsten (W) is the desired material of choice due to its material hardness, conductivity and slow oxidation in air. The sharper the nanotip apex, the smaller is the ROC. Various tip sharpening methods have been developed with different technologies, such as field emission deposition, oxidation in oxygen environment or high electrical field in air. These methods however, require complicated and expensive set-ups. There is therefore a need for low cost methods of fabricating sharp nanotips. In this work, a two-step etching process was utilized to fabricate W nanotips with controllable ROC. W nanotips are formed by a downward AC electrochemical etching in dilute KOH solution with an integrated lift-up etching process. The wires were partially submerged into KOH solution. An AC power supply was applied while the current with respect to etch duration was monitored. At a sudden drop in current, the tips were lifted at a fixed pull-up speed via a motorized stage. The morphology of the resultant nanotip is dependent on the reaction kinetics between OH-, WO42- and the density of H2 bubbles at the tip surface. The lifting step during the AC etching was found to increase tip sharpness due to a shielding effect from OH- ions resulting in ROC down to 90 nm. Next, a secondary nanotip sharpening process by laser irradiation in KOH was used. As light absorption decreases with decreasing tip diameter, maximum light absorption on the nanotip occurs where its diameter is larger than the incident wavelength. Little or no absorption occurs at the tip apex due to its smaller dimension than the incident wavelength. Maximum diffraction occurs where tip diameter is comparable to the incident wavelength. This results in a temperature gradient within the nanotip. As etch rate varies with temperature, the temperature gradient results in a different etch rate along the length of the nanotip which contributes to a sharpening of the nanotip. Tips with ROC down to 25 nm were achieved. Finally we demonstrate the application of the fabricated nanotips in a nanoprobing system for device fault isolation of advanced technology nodes. In addition, TEM images determined the cause of the device failure. We have demonstrated a novel two step method to fabricate W nanotips with small ROC and its application in nanoprobing to meet the increasing challenge of probing on decreasing interconnect contact size in advanced technology nodes.
9:00 AM - T3.17
Planarization of Printed Silver Grid for ITO Free Transparent Electrode
Byung Ryang Kim 1 Kwang Hee Jeong 1 Young Kyu Hong 1 Jin-Koog Shin 1
1KETI Jeonju Republic of Korea
Show AbstractFor large area, current driven optoelectronic devices such as OLED lightings, OPV, and electrochromic glasses, it is required to exhibit uniform optical performance over entire surface area and to realize the low manufacturing cost at the same time. As an attempt to satisfy such requirement, printed metal grid electrode in combination with printable conductor is adopted in replacement of ITO.
In general, printed metal grid electrode which enhances charge transport shows bump-like height profile. Convex profile of printed electrodes results in high conductance but also results in rough surface of transparent conducting layer over-coated on the printed electrode and therefore the rough surface limits performance of device fabricated on the transparent electrode.
We, in here, demonstrate the effect of metal electrode planarization on over coated transparent electrode. Mesh-like hexagonal grid electrodes were printed using silver paste on PET film by screen and gravure off-set printing method, and then the grid printed PET film was compressed by using pressing machine. On the compressed grid electrode/PET film, we coated PEDOT:PSS as a transparent electrode by using bar coater.
The effect of electrode planarization was evaluated by characterizing step coverage, surface morphology, and sheet resistance of over coated PEDOT:PSS in comparison with PEDOT:PSS over coated on unpressed electrode and sputtered ITO.
9:00 AM - T3.18
Simultaneous Formation of Ohmic Contacts on p- and n-type 4H-SiC Using Ti/Ni Double Layer System
Sung-Jae Joo 1 Sangwon Baek 2 Sang-Cheol Kim 1 Jeong-Soo Lee 2
1Korea Electrotechnology Research Institute Changwon Republic of Korea2Pohang University of Science and Technology (POSTECH) Pohang Republic of Korea
Show AbstractSiC has been considered as a promising material for the next generation power device applications with high performances due to its outstanding physical properties[1]. For the mass-production of SiC power devices, one of the main obstacles is to achieve reliable Ohmic contacts with low resistivity, where the contact processes is preferred to be as simple as possible. In 4H-SiC devices, Ni has been used as n-type Ohmic material due to its low contact resistivity and process simplicity[2]. While the electrical properties of Ni/p-type contacts are insufficient, Ni is still applied to 4H-SiC MOSFETs when n- and p-type contacts are formed simultaneously[3]. However, Ni silicide contacts usually show rough interfaces with voids, which raise concerns about the reliability of the contacts under harsh operation conditions [4]. Therefore, it is of great interest to establish Ohmic contact technology which is applicable to both n- and p-type SiC devices while avoiding structural weaknesses of the Ni contacts. In this work, we demonstrate that Ti/Ni double layer is a viable candidate for simultaneous formation of p- and n-type ohmic contacts on highly-doped 4H-SiC.
Thin Ti (100 #8491;) and Ni (200 #8491;) films were sequentially deposited on 4H-SiC using e-beam evaporation. After rapid thermal annealing (RTA) at 1223K, Ti/Ni layer shows comparable contact resistances with Ni contacts on both doped regions; ~5.0E-6 Omega;cm2 for n-contact and ~1.3E-6 Omega;cm2 for p-contact, respectively. TEM analysis confirms that Ti/Ni contacts possess smooth and flat interfaces with SiC, whereas Ni contacts have rough- and uneven interfaces due to Ni agglomeration. It is found from TEM and XRD that Ni2Si has been successfully formed at the interface in both cases, irrespective of the existence of the Ti layer, and the traces of Ti silicides or Ti carbides are not observed at the interface. In Ti/Ni contacts, thin Ti layer has a role of preventing the Ni-agglomeration and making the Ni-diffusion through Ti layer proceed more uniformly at the interface. It is also shown that Ti can minimize the effect of the remnant interfacial oxide layer on the contact resistance by reducing the oxide during the RTA step, thus enabling the forming of an intimate contact on SiC. These results suggest that Ti/Ni layer is very promising for the contact formation simultaneously, both in p- and n- type SiC devices.
[1] S. Dhar, S. Wang, L. C. Feldman, and J. R. Williams, MRS Bull. 30, 288 (2005)
[2] S. Tanimoto, M. Miyabe, T. Shiiyama, T. Suzuki, H. Yamaguchi, S. Nakashima, and H. Okumura, Mat. Sci. Forum Vols. 679-680, pp. 465-468 (2011).
[3] S.-H. Ryu, S. Krishnaswami, M. O&’Loughlin, J. Richmond, A. Agarwal, J. Palmour, and A.R. Hefner, IEEE Electron. Dev. Lett. Vol. 25, pp. 556-558 (2004).
[4] F. Roccaforte, F. La Via, V. Raineri, Ohmic Contacts to SiC, in : M. Shur, S. Rumyantsev, M. Levinstein (Eds.), SiC Materials and Devices Vol. 1, World Scientific Publishing, Co., Singapore, pp. 77-116 (2007).
9:00 AM - T3.19
Electronic Transport in Atomic Scale Graphene/Metal Side Contact
Bo Ma 1 Yanwei Wen 1 Xiao Liu 1 Bin Shan 1
1Huazhong University of Science and Technology Wuhan China
Show AbstractGraphene is expected to be a very promising material for applications in nanoelectronics due to its superior electrical properties and ultimately thinness. In graphene-based electrical devices, metal-graphene contact is a key interface for it limits the device performance such as the transconductance (gm), on current ( Ion), and cut-off frequency (fT). In this paper, we mainly study the transport properties of the side contact between different metals (Au, Ag, Pt, Cu, Ni, Pd) and different edges of graphene with an atomic scale overlap by using first-principles electron transport calculations. According to the contact configuration, we find that there exists three types of atomic-scale side contacts according to the strength of interaction between the edge of graphene and metal&’s (111) surface. After different types of contact&’s transport properties have been calculated, we find the edge of graphene can help moderate the contact resistance, especially when contacting with inert metal surfaces. And the voltage drop contour is plotted to illustrate where the main contact resistance lies in the device. In comparison with end contact&’s transport properties, the atomic scale side contact model shows no worse properties than the end contact&’s which is reported to be an ideal contact model to decrease the contact resistance in many researches. Fabricating an atomic scale side contact between graphene edges and metal electrodes may be another way to reduce the contact resistance and improve the performance of nanoelectronic devices
9:00 AM - T3.20
Graphitic EBID Carbon Interfaces between MWCNT/Graphene and Metal Electrodes
Songkil Kim 1 Dhaval Kulkarni 2 Vladimir Tsukruk 2 Andrei Fedorov 1
1Georgia Institute of Technology Atlanta USA2Georgia Institute of Technology Atlanta USA
Show AbstractAs the feature sizes in electronic devices decrease to nano-scale, metal resistivity increases due to surface and grain boundary scattering and wire type structures become more vulnerable to electromigration effects. As alternative materials, carbon nanotubes (CNTs) and graphene were highlighted owing to their unique electronic properties supported by their excellent mechanical and thermal properties. However, several fundamental limitations are still preventing them from the application to real device platforms. One of the demanding challenges is the high interfacial contact resistance between MWCNT/graphene and metal electrodes. Conventional metal deposition methods, such as sputtering and evaporation produce a physical contact to MWCNT/graphene, which results in a weak electronic coupling at the Fermi surface yielding contact resistivity on the order of 10-4 #8486;-m for Au/Ti metal contacts, as demonstrated experimentally. Theoretical studies with contamination-free graphene-metal contacts revealed that the strong chemical contact to the end of graphene can reduce contact resistivity to the order of 10-5~10-6 #8486;-m. However, it is difficult to fabricate the end-contact of metal to graphene due to hydrogen-terminated edge structures of graphene and the nature of the conventional deposition methods. In this work, we developed a novel contact fabrication technique using Electron Beam Induced Deposition (EBID) of graphitic carbon interfaces to lower the contact resistance at the MWCNT/graphene and metal interface. The fabrication protocols were developed to connect multiple-shells of MWCNT and multi-layers of graphene MWCNT/graphene-metal interconnect. Morphological, compositional and electrical characterizations revealed contact resistances below 100 #8486; (~ 10-5 #8486;-m) and 1.0 k#8486; (~10-4 #8486;-m) for the MWCNT-metal interconnect and the graphene-metal interconnect, respectively, after graphitization of EBID carbon by thermal annealing at low temperatures (350 °C). Our results demonstrate that fabrication of EBID graphitic carbon interface is a promising approach to achieve MWCNT/graphene-metal interfaces with a significantly lower contact resistance.
Acknowledgement: This work is supported by Semiconductor Research Corporation (GRC Grant 2008OJ1864.1281).
9:00 AM - T3.22
Effects of Chemical Functionalization on the Electrical Transport Properties of Au-single Layer Graphene (Au/SLG) Contacts
Brian M. Foley 1 Sandra C. Hernandez 2 Bryce H. Crane 3 John C. Duda 1 Jeremy T. Robinson 4 Scott G. Walton 5 Patrick E. Hopkins 1
1University of Virginia Charlottesville USA2Naval Research Laboratory Washington USA3Virginia Tech Blacksburg USA4Naval Research Laboratory Washington USA5Naval Research Laboratory Washington USA
Show AbstractWe report on the electrical transport properties of Au-single layer graphene (SLG) contacts with various materials or molecules placed between the Au and SLG. Sheets of single layer graphene grown by CVD and transferred onto SiO2 substrates were chemically functionalized using electron beam generated plasma, and gold contacts were patterned onto the functionalized SLG to create two-terminal devices. Current-Voltage (IV) measurements were performed on these devices and comparisons are made among contacts with different functional groups between the gold and SLG, as well as contacts made with a titanium wetting layer and no interfacial layer. It is shown that functionalization with oxygen and fluorine results in devices with a more non-linear, rectifying IV characteristic compared with both Au/Ti/SLG and Au on bare graphene. These results are explored in the context of the doping of graphene via metal contacts and the Schottky barrier heights at these interfaces. Furthermore, we demonstrate the potential for chemical functionalization to create both ohmic and rectifying contacts with desirable electrical characteristics. This work is supported in part by the Naval Research Laboratory base program.
T1/AA1: Joint Session: New Materials for Interconnects and Nanocontacts
Session Chairs
Francois Leonard
Ahmet Ozcan
Tuesday AM, April 02, 2013
Moscone West, Level 3, Room 3006
9:30 AM - *T1.01/AA1.01
Nanoscale Contacts to Carbon Nanomaterials
Aaron D Franklin 1
1IBM Yorktown Heights USA
Show AbstractCarbon nanotubes (CNTs) and graphene are both promising nanoscale forms of carbon for electronics applications. While their electrical properties differ—CNTs are 1D and can have a sizable energy band gap while graphene is 2D and has a zero band gap—the interface between metal contacts and the sp2-bonded carbon surface of CNTs or graphene has similarities. Both materials have proven to be sensitive to the scaling of source/drain contact lengths in field-effect transistors, with a transfer length of approximately 100 nm for graphene (IEEE Electron Device Lett., 32:1035, 2011) and 50 nm for CNTs (Nature Nanotechnol., 5:858, 2010). Without a band gap, graphene is not applicable to digital transistors, and thus would not likely be used in applications where extreme scaling is needed; however, information regarding the contact scaling behavior does provide valuable insights into transport mechanisms at the metal-graphene contact. In contrast to graphene, nanotubes continue to show promise for enabling a ‘next switch&’ replacement for silicon digital technology. While recent work has shown that CNT transistors provide excellent performance at sub-10 nm channel lengths (Nano Lett., 12:758, 2012), the true performance limiter is the contacts, which must also be scaled. I will review the limitations posed by nanoscale contacts to CNTs and present some recent advances that have been made to improve the scaling behavior. Ultimately, nanotube devices will be limited by the contacts—the question is: by how much can we reasonably expect to overcome this limitation? I will attempt to provide enough theoretical and experimental background information to begin answering this question.
10:00 AM - T1.02/AA1.02
Low-contact-resistance Contacts to Graphene via Metal-mediated Etching
Wei Sun Leong 1 John Thiam Leong Thong 1
1National University of Singapore Singapore Singapore
Show AbstractThe performance of graphene electronic devices is often limited by poor metal-graphene contacts. From ab initio quantum mechanical studies, end-contacted metal-graphene contacts have been shown to provide much lower contact resistance compared to that of side-contacted contacts by up to a few orders of magnitude (Matsuda,2010). In addition, an experimental study demonstrated that the current crowding takes place at the edge of the contact metal with graphene (Nagashio,2010). However, the end-contacted configuration is limited by the amount of exposed graphene edges in contact with the metal. Conventional metallization schemes place the metal electrode on top of the graphene channel resulting in a side-contacted configuration, except for a small amount of edge coverage. In this work we incorporated a metal-mediated etching technique (Wang,2012) into the fabrication graphene device fabrication process. This etching technique creates a number of etched edges on graphene surface to allow the extensive formation of end-contacted metal-graphene contacts.
Exfoliated graphene was first patterned into desired geometry followed by deposition of a thin metal film at the source/drain graphene regions. The prepared sample was then annealed in a hydrogen ambience. This causes the metal film to ball up due to surface tension and etches the graphene surface progressively. The etching is found to initiate from the graphene edges and extend along the natural crystallographic orientation of graphene. Most of the etch pits observed are triangular or germinal hexagonal in shape. The sample preparation was followed by forming electrical contacts onto graphene via conventional means such as electron-beam lithography and thermal evaporation.
For comparison purposes, a few-layer graphene was patterned into two equivalent strips. Two small cobalt pads (3nm thick) were deposited at the end of one of the graphene strip. We then annealed the sample in hydrogen and patterned electrical leads to these contacts. Electrical measurements were carried out under ambient conditions. It was found that the two-point resistance of the graphene device with cobalt-etched-graphene contacts is 5 times lower than that of the untreated graphene device.
Since nickel-graphene contact appears to provide the lowest contact resistance (Nagashio,2010), we also fabricated a 4-point single layer graphene(SLG) device with nickel-etched-graphene contacts. The contact resistance measured under ambient conditions is 250Omega;µm2, which to the best of our knowledge, is the lowest reported value for exfoliated SLG devices, with all previous reported ambient values above 700Omega;µm2 (Nagashio,2011;Xia,2011). Using this metal-assisted etching approach, the lowest contact resistance we obtained so far is ~70Omega;µm2 from a bilayer graphene device.
In summary, the findings suggest that the metal-mediated etching technique could be a promising method to obtain low-contact-resistance metal contacts to graphene.
10:15 AM - T1.03/AA1.03
Arrhenius Activation Mechanism for Charge Injection in Individual Single-walled Carbon Nanotubes
Delphine Bouilly 1 Hubert Trepanier 1 Richard Martel 2
1Universitamp;#233; de Montramp;#233;al Montramp;#233;al Canada2Universitamp;#233; de Montramp;#233;al Montramp;#233;al Canada
Show AbstractProducing low-resistance contacts to carbon nanotubes in a reproducible manner is a crucial challenge towards the development of efficient nanotube-based electronics. A full understanding of the mechanisms responsible of charge injection through the contacts is necessary to steadily minimize their resistance. While the physics of junctions is very well-understood in the case of bulk materials, the geometry of low-dimensional structures such as nanotubes makes it a very different case, in which it is already known that the transmission of charges differs than the one for planar junctions [1]. Here we present our recent results revealing a better identification of the mechanisms implied in carrier injection at carbon nanotube/metal contacts. We performed a thorough study of the electrical characteristics of individual single-walled nanotubes, arranged in field-effect transistors with Ti/Pd metallic top contacts. Measurements acquired over a wide range of temperature (77-400K) and for various gate and drain-source bias were used to investigate the transmission of carriers through the Schottky barrier formed at the interface between the metal and the nanotube band gap. Careful analysis of the temperature dependence of the electrical characteristics revealed an injection behavior following an Arrhenius activation mechanism, instead of the expected Richardson relation for thermionic emission generalized to 1D semiconductors [2]. We discuss the interpretation underlying this mechanism, such as the contribution of intermediate localized states at the interface. The effective charge injection barrier was also extracted from the data. The height of this barrier was observed to decrease exponentially as a function of drain-source bias, which is consistent with an increasing tunneling component due to barrier thinning. Finally, barrier heights were measured for several individual quasi-metallic and semiconducting nanotubes, with values respectively close to the band gap of both species.
[1] F. Léonard & A. A. Talin. Nature nanotechnology, 6, 773 (2011), and others.
[2] M. F. O&’Dwyer, R. A. Lewis & C. Zhang. Microelectronics Journal 39, 597 (2008)
10:30 AM - T1.04/AA1.02
Fabrication of Contacts to Carbon Nanotubes Using Direct-write Atomic Layer Deposition
Adriaan J.M. Mackus 1 Nick F.W. Thissen 1 Zhihong Chen 2 3 Johannes J.L. Mulders 4 Ageeth A. Bol 1 Wilhelmus M.M. Kessels 1
1Eindhoven University of Technology Eindhoven Netherlands2Purdue University West Lafayette USA3Purdue University West Lafayette USA4FEI Electron Optics Eindhoven Netherlands
Show AbstractThe quality of electrical contacts to carbon nanotubes is known to be determined by the work function of the contact material, as well as by the wetting interaction of the material on the carbon nanotube. Conventionally, contacts are fabricated using patterning by photo or electron beam lithography and metallization by physical deposition techniques such as evaporation or sputtering. In this work, contacts were patterned using the resist-free direct-write technique of electron beam induced deposition (EBID), and the material was deposited by atomic layer deposition (ALD).
This approach has two advantages over conventional patterning techniques: Firstly, contacts deposited by ALD are anticipated to have a better wetting interaction with the CNT surface due to the chemical nature of the technique. ALD relies on alternating and self-limiting chemical surface reactions, involving the adsorption of an organometalic precursor, and subsequent elimination of the precursor ligands. Secondly, EBID allows for direct patterning without the need for additional lithography steps, and thereby eliminates compatibility issues between CNTs and resist films or lift-off steps. In general, resist films cannot be removed completely from the surface of a CNT without damaging it. Moreover, when no lift-off steps are required, it is not necessary to have a Ti adhesion layer between the CNT and the contact material to facilitate the lift-off.
The approach consists of the patterning of a thin seed layer of less than 0.5 nm Pt-containing material by EBID, followed by area-selective ALD growth. It has been established that this combined approach gives high-quality material (virtually 100% pure Pt, resistivity of 12 µOmega;cm), while it allows for patterning of Pt line deposits of only 10 nm in width.[1] Recent work indicates that similar nanostructures can be fabricated of Pd, which potentially gives a better wetting interaction as compared to Pt. In this contribution, the main characteristics of the direct-write ALD technique will be discussed, and it will be demonstrated that the technique is able to pattern electrical contacts on single-walled and multi-walled carbon nanotubes. The quality of contacts on single-walled carbon nanotubes was evaluated by fabricating carbon nanotube field effect transistors. Moreover, the wetting interaction between the material and the CNT was investigated by transmission electron microscopy.
[1] A.J.M. Mackus, S.A.F. Dielissen, J.J.L. Mulders, W.M.M. Kessels, Nanoscale 4, 4477 (2012).
10:45 AM - T1.05/AA1.05
Analysis of Interfaces between CNT and Metal Underlayers in Via Interconnects
Patrick Wilhite 1 Anshul Vyas 1 Jason Tan 1 Phillip Wang 2 Jeongwon Park 2 Hua Ai 2 Murali Narasimhan 2 Cary Yang 1
1Santa Clara University Santa Clara USA2Applied Materials Santa Clara USA
Show AbstractVertically aligned carbon nanotubes (CNTs) grown by plasma-enhanced chemical vapor deposition (PECVD) offer a potentially suitable material for via interconnects in next-generation integrated circuits. Key performance-limiting factors include high contact resistance and low CNT packing density, which fall short of meeting the via resistance requirement delineated by the ITRS roadmap for interconnects [1]. Previously, we reported an individual CNT resistance of about 1 kOmega; in a vertically aligned CNT array with an average diameter of ~100 nm and a length of 1.5 µm. Of such resistance, about 800 Omega; is attributed to the contact between the CNT and metal electrodes [2]. High-resolution transmission electron microscopy (HRTEM) images revealed a clean interface between CNT and the underlayer metal, albeit with significant surface asperity and large metal grains. Further, energy-dispersive x-ray spectroscopy (EDS) showed large amounts of oxygen and nitrogen present in the interfacial region, which could negatively impact the contact resistance.
Currently, we have succeeded in reducing the average diameter of the PECVD-grown CNTs to about 15 nm while increasing the packing densities to >1011 cm-2. This result is comparable to recent work reported for CNT vias [3]. We have also made changes in the PECVD process flow to improve the as-grown CNT-metal contact with the primary objective of reducing the contact resistance contribution to the total CNT via resistance. The CNT-metal interface is studied extensively using HRTEM and EDS to gain a better understanding of the physical origin of the contact resistance, and to provide the needed feedback for process improvement. Such understanding is critical to the eventual functionalization of CNT via interconnects.
[1] 2011 International Technology Roadmap for Semiconductors, http://www.itrs.net/Links/2011ITRS/Home2011.htm
[2] P. Wilhite, A. Vyas, J. Tan, P. Wang, J. Park, M. Jackson, and C .Y .Yang, “Nanostructure Characterization of Carbon Nanotube/Metal Interfaces”, MRS Spring Meeting, 2012.
[3] M. Nihei, “CNT/Graphene Technologies for Advanced Interconnects”, IITC Short Course, 2012.
11:30 AM - *T1.06/AA1.06
Metal-semiconductor and Electrolyte-semiconductor Nanocontacts in Energy Conversion and Storage
Alec Talin 1 2
1Sandia National Labs Livermore USA2NIST Gaithersburg USA
Show AbstractMetal-semiconductor junctions with optimum performance and stability are essential for most semiconductor devices and for this reason continue to be extensively investigated in the electronics industry. Recently, metal-semiconductor diodes have been demonstrated to also be useful for solar to hydrogen conversion using photoelectrochemical water splitting: the Schottky barrier provides the electric field necessary for photocarrier separation, and the metal catalyzes water oxidation and/or reduction reactions. In my talk I will describe how the performance of metal-semiconductor devices can be substantially improved by separating the metal and the semiconductor with a nanometer-thick thermal oxide, which protects the semiconductor from electrolyte induced corrosion, reduces carrier recombination, and allows the Schottky barrier to be optimized by tuning the metal work function. Schottky barriers also form at electrolyte-semiconductor junctions, and certain semiconductors such as hematite can be used directly to split water. In my talk I will discuss the challenges of establishing the role and concentration of dopants in electrolyte-semiconductor junctions. Finally, I will discuss Li-ion batteries where semiconducting cathode-electrolyte junction resistance can substantially degrade performance and how ideas developed for making high performance Ohmic contacts for semiconductor devices can be used to improve battery performance.
12:00 PM - T1.07/AA1.07
Atomic Structure and Electronic Transport Properties of Realistic Metal-graphene Contacts
Cheng Gong 1 Stephen McDonnell 1 Angelica Azcatl 1 Weichao Wang 1 Geunsik Lee 1 David Hinojos 1 Bin Shan 1 2 Yves J. Chabal 1 Robert M. Wallace 1 Kyeongjae Cho 1
1The University of Texas at Dallas Richardson USA2Huazhong University of Science and Technology Wuhan China
Show AbstractAssuming graphene remains structurally intact upon the electron-beam deposition of metal electrodes, this type of metal-graphene contact geometry is termed as “side-contact”. The commonly studied metals can be classified into two categories for side-contact with graphene: weakly and strongly bound. In the weakly bound case, metals such as Al and Cu interact with graphene weakly, and there is a relatively large interface distance (>3Å) with charge transfer between metal and graphene. In the strongly bound case, metals such as Ti and Pd interact more strongly with graphene through a substantial interface orbital hybridization with a relatively small interface distance (<2.5Å). Despite a large amount of research efforts on investigating electronic structures and transport properties of such metal-graphene contacts,1-4 describing this interface only within the “side-contact” configuration is not necessarily realistic .
Investigating the atomic structure and electronic transport properties for a realistic metal-graphene contact is a key issue that is not fully studied yet. Electron-beam deposition of wetting metal electrodes such as Ti and Pd on graphene may react with, and strongly perturb, graphene. In-situ x-ray photoelectron spectroscopy studies of Ti and Pd deposited on as-grown graphene on copper foil are therefore performed to unravel the realistic metal-graphene contact configuration. The predominant titanium carbide signature and the significant presence of Pd-C bond reveal an unambiguous perturbation of the underlying sp2-bonded network of graphene. The experimental data suggest the relevance of the concept of an “end-contact” formed when metals react with the underlying contacted graphene and thus result in metal-carbon bonding to the edge of the graphene channel. First principles methods are employed to investigate the electronic transport across the hybridized metal/graphene/metal (Pd and Ti) end-contact structures. An unusual double-dip transmission as a function of Fermi level is found for Pd end-contact. For the Ti end-contact, a contact-induced transport gap (~0.2 eV) is opened, as the two conductance dips are merged into one. Interface metal-carbon hybridization is shown to introduce a random distribution of π-orbital local density of states at different carbon sites throughout the graphene channel, leading to conductance suppression. The strong electron-hole conduction asymmetry suggests an n-type doping of graphene near the titanium contact. A local density of states profile model is developed for the quantitative analysis of the unique transport behavior through metal-graphene end-contacts.
[1] J. Appl. Phys. 2010, 108, 123711;ACS Nano 2012, 6, 5381.
[2] Phys. Rev. B 2010, 82, 115437; Phys. Rev. Lett. 2008, 101, 026803.
[3] Phys. Rev. Lett. 2010, 104, 076807; Nano Lett. 2012, 12, 3424.
[4] Appl. Phys. Lett. 2010, 97, 142105; Nano Lett. 2011, 11, 151.
12:15 PM - T1.08/AA1.08
Modeling Contact Resistance to Carbon Nanotubes
Michael C Shaughnessy 1 Reese Jones 1 Catalin Spataru 1 Francois Leonard 1 Alexandra Ford 1
1Sandia National Laboratory Livermore USA
Show AbstractRealistic carbon-nanotube metal contact geometries are generated using a combination of classical and quantum molecular dynamics. The substrate, metal cohesive properties and carbon-metal wetting are shown to influence the geometry of the contact. Realistic contact geometries are used to compute electronic structures and study the electrical transport across the interfaces. Factors influencing contact resistance are identified. The carbon-metal distance and metal work function play key roles in establishing Schottky or Ohmic contacts.
12:30 PM - T1.09/AA1.09
First-principles Study of Carbon Nanotube/Metal Contact Resistance: Anomalous Length Scaling and Intrinsically Low Resistance Mediated by Topological Defects
Yong-Hoon Kim 1
1KAIST Daejeon Republic of Korea
Show AbstractOne of the biggest obstacles to realizing carbon-based electronics lies in the difficulty of establishing reliable low-resistance contacts between carbon nanomaterials and metal electrodes. Applying a first-principles computational approach to a series of junction models based on pristine or hydrogenated open-ended carbon nanotubes (CNTs) and capped CNTs, we critically examine the correlation between metal-CNT chemical bonding and contact resistance. We first show that, because the decay rate of Schottky barriers (SBs) sensitively depends on the atomistic details of metal-CNT contacts, the true charge injection capacity of different junction models can be determined only by looking into the long-length limit and considering only short junction models can lead to incorrect conclusions [1]. Whereas the comparison of conventional contact models supports the more prevalent viewpoint that regards the strong metal-CNT chemical bonds as a precondition of low-resistance contacts, we next demonstrate that the presence of structural defects that preserve the sp2 carbon network endows the contact models based on CNT caps a superior charge injection capacity [2]. Finally, we show that different arrangements of pentagon topological defects induce significant variation in the conductance magnitude and even device type. We thus (1) demonstrate the critical role of atomistic details in metal-CNT contacts and localized CNT edge states for the SB shape and metal-induced gap states, (2) resolve the controversy on the nature of optimal metal-CNT chemical bonding for device applications, and (3) predict that controlled placement of topological defects will be a promising route to achieve high-performance defect-engineered CNT devices.
[1] Y.-H. Kim and H. S. Kim, “Anomalous length scaling of carbon nanotube-metal contact resistance: An ab initio study”, Appl. Phys. Lett. 100 , 213113 (2012).
[2] H. S. Kim, G. I. Lee, H. S. Kim, J. K. Kang, and Y.-H. Kim, “Intrinsically low-resistance carbon nanotube-metal contacts mediated by topological defects”, MRS Commun. 2 , 91 (2012).
12:45 PM - T1.10/AA1.10
Graphene/p-type Silicon Metal-semiconductor-metal Photodetectors
Yanbin An 1 Ashkan Behnam 2 Eric Pop 2 Ant Ural 1
1University of Florida Gainesville USA2University of Illinois at Urbana-Champaign Urbana USA
Show AbstractThe good electrical conductivity, high optical transparency, and mechanical flexibility of graphene make it a promising candidate for transparent, conductive, and flexible electrodes in optoelectronic and photovoltaic devices [1]. Unlike conventional metal electrodes, graphene has the added advantage that its Fermi level and hence its workfunction can be tailored by chemical doping or electrostatic gating. As a result, graphene can be used in traditional as well as novel design structures [2]. For that purpose, integration of graphene into conventional semiconductor technologies, in particular those based on silicon, is of utmost importance.
In this talk, we describe metal-semiconductor-metal (MSM) photodetectors where chemical vapor deposition (CVD)-grown monolayer graphene plays the role of the metal and the semiconductor is p-type silicon (p-Si). Graphene synthesized by CVD enables large-scale and high yield production of such devices [3]. In order to understand the operation of the MSM photodetectors, we first investigate the electronic properties of graphene/p-Si Schottky junctions in a metal-semiconductor (MS) configuration as a function of temperature. We find that thermionic emission is the dominant electronic transport mechanism above 260 K with a zero-bias barrier height of 0.48 eV, whereas at lower temperatures tunneling begins to dominate. Using temperature-dependent I-V measurements, we investigate the reverse-bias dependence of the Schottky barrier height and conclude that the Fermi level change in graphene due to charge transfer from Si dominates this dependence. Furthermore, comparison of the experimental and theoretical reverse saturation currents suggests the presence of an interfacial native oxide layer. This interfacial layer helps to improve the performance of MSM photodetectors by suppressing the dark current.
Finally, we characterize the photoresponse of interdigitated finger MSM photodetectors based on graphene/p-Si Schottky junctions. We extract important parameters, including responsivity and normalized photocurrent-to-dark current ratio, which are found to be higher than those previously obtained for similar detectors based on carbon nanotubes. Our results provide crucial insights into the fundamental electronic and optoelectronic properties of MSM photodetectors based on graphene/p-Si junctions, which are important for the integration of graphene-based materials into existing semiconductor technologies.
[1] C.-C. Chen, et al., Nano Lett. 11, 1863 (2011); S. Tongay, et al., Phys. Rev. X 2, 011002 (2012).
[2] L. Britnell, et al., Science 335, 947 (2012); H. Yan, et al., Science 336, 1140 (2012).
[3] S. Bae, et al., Nat. Nanotechnol. 5, 574 (2010).
Symposium Organizers
Francois Leonard, Sandia National Laboratories
Christian Lavoie, IBM T. J. Watson Research Center
Yu Huang, University of California, Los Angeles
Karen Kavanagh, Simon Fraser University
T5: Molecules and Organics
Session Chairs
Wednesday PM, April 03, 2013
Moscone West, Level 3, Room 3000
2:30 AM - *T5.01
Inelastic Tunneling Spectroscopy and the Contact Problem of Molecular Electronics
George Kirczenow 1
1Simon Fraser University Burnaby Canada
Show AbstractMolecular nanowires in which a single organic molecule bonds chemically to two metal electrodes and forms a stable electrically conducting bridge between them have attracted a great deal of attention[1] because of their fundamental interest and potential applications as single-molecule nanoelectronic devices. However, a single molecule located between two electrodes is not accessible to scanning microprobes that can measure atomic scale structure. Thus definitive determination of the atomic geometries at the molecule-electrode interfaces of single-molecule nanowires has continued to be elusive for more than a decade, despite being critically important for understanding electrical conduction through molecular wires quantitatively, and for gaining control over their structures for device applications. In this talk I will describe how inelastic tunneling spectroscopy experiments such as those of Hihath et al., [2] combined with the results of ab initio density functional theory-based calculations and semi-empirical modeling [3] can identify the experimentally realized atomic molecule-electrode bonding geometries and thus resolve this long standing contact problem. The most studied molecular nanowires are those in which a molecule with thiol end groups bonds to gold electrodes via sulfur atoms. Therefore, as an example, I will consider propanedithiolate molecules bridging pairs of gold electrodes. It will be demonstrated that ‘top site&’ bonding configurations in which the molecular sulfur atoms bond to single gold atoms of the electrodes are the ones most commonly realized experimentally, despite bridge site configurations having lower energies. I will also discuss how inelastic tunneling spectroscopy can shed light on the role of thiol hydrogen atoms and their detachment from the molecular thiol sulfur atoms during the chemical bond formation between thiolated molecules and the gold electrodes.
[1] For a review see G. Kirczenow, Molecular Nanowires and their Properties as Electrical Conductors, Chapter 4 in The Oxford Handbook of Nanoscience and Technology,Volume I: Basic Aspects, edited by A. V. Narlikar and Y. Y. Fu, Oxford University Press, U.K. (2010).
[2] J. Hihath, C. R. Arroyo, G. Rubio-Bollinger, N. Tao, and N. Agraït, Nano Lett. 8, 1673 (2008).
[3] F. Demir and G. Kirczenow, J. Chem. Phys. 134, 121103 (2011), J. Chem. Phys. 136, 014703 (2012), J. Chem. Phys. 137, 094703 (2012).
This work was supported by CIFAR, NSERC, Westgrid and Compute Canada.
3:00 AM - T5.02
Controlling Formation of Single-molecule Junctions by Electrochemical Reduction of Diazonium Terminal Groups
Thomas Hines 1
1Arizona State University Tempe USA
Show AbstractThe anchoring of a molecule to a metal surface is a key factor that affects both charge transport and stability in single-molecule junctions.1 Anchoring can be achieved by using linkers such as thiols,2 amines,3 or pyridines4 which covalently bond to the electrodes, or trimethyl compounds5 which cleave off to form a direct covalent bond with electrodes. These approaches to creating junctions utilize the formation of a covalent bond with binding energetics that are independent of the surrounding environment. Thus the only way to control formation and rupture of the junction is by mechanically manipulating electrodes, either through a mechanically controlled break junction or a scanning tunneling microscope break junction. Here we report the formation of a single molecule junction by electrochemically reducing diazonium terminal groups on a molecule. This process allows control over the energetics of junction formation via an applied electrochemical potential. Upon reduction, the terminal diazonium salts are cleaved from the backbone of the molecule creating an aryl radical at either end. The radicals then react with the gold electrodes to form a single molecule junction connected by direct covalent bonds. We report a yield enhancement in molecular junction formation as the electrochemical potential of both junction electrodes approaches the reduction potential of the diazonium terminal groups. Step length analysis shows that the molecular junction is significantly more stable, and can be pulled over a longer distance than a comparable junction created with amine anchoring bonds. The stability of the junction is explained by the calculated lower binding energy associated with the direct Au-C bond compared with the Au-N bond. We also report that the conductances of junctions formed by Au-C and Au-N bonds are relatively similar, despite the more stable binding energy of the Au-C bond. This is explained by the fact that the coupling between the frontier HOMO orbital and the electrodes is relatively weaker in the case of that Au-C bond, which offsets the more stable binding energy of the molecular junction.
(1) Nitzan, A.; Ratner, M. A. Science 2003, 300, 1384.
(2) Xu, B. Q.; Tao, N. J. J. Science 2003, 301, 1221.
(3) Venkataraman, L.; Klare, J. E.; Tam, I. W.; Nuckolls, C.; Hybertsen, M. S.; Steigerwald, M. L. Nano Letters 2006, 6, 458.
(4) Xu, B. Q.; Xiao, X. Y.; Tao, N. J. Journal of the American Chemical Society 2003, 125, 16164.
(5) Cheng, Z. L.; Skouta, R.; Vazquez, H.; Widawsky, J. R.; Schneebeli, S.; Chen, W.; Hybertsen, M. S.; Breslow, R.; Venkataraman, L. Nature Nanotechnology 2011, 6, 353.
3:15 AM - T5.03
Properties of Phthalocyanine Hetreojunctions for the Application as Molecular Spintronic Materials
Torsten Hahn 1 Rico Friedrich 1 Tim Ludwig 3 Susi Lindner 2
1TU Bergakademie Freiberg Freiberg Germany2IFW Dresden Dresden Germany3TU Dresden Drsden Germany
Show AbstractRecently charge and spin transfer at an interface between two well known transition metal phthalocyanines: MnPc and F16CoPc was observed [1]. The resulting partially charged species MnPcδ+ and F16CoPcδ- show different electronic properties compared to their bulk counterparts. Density Functional Theorie investigations together with experimental photoelectron spectroscopy measurements reveal that the transferred charge is substantially
confined to the two transition metal centers and that a hybrid state is formed between the two types of phthalocyanines. We discuss the impact of those findings on charge and spin transport through MnPcδ+/F16CoPcδ- heterojunctions on different surfaces materials.
[1] S. Lindner et. al, Phys. Rev. Lett. 109, 027601 (2012)
3:30 AM - T5.04
Mechanical Control of Molecular Orbital Alignment in Single Molecule Junctions
Chris Bruot 1 Joshua Hihath 2 Nongjian Tao 1
1Arizona State University Tempe USA2University of California-Davis Davis USA
Show AbstractElectrically contacting single molecules using metallic electrodes offers unique opportunities to create novel devices and investigate new phenomena not seen on larger length scales. Here we will discuss the electromechanical properties of a simple molecular system, a single 1,4-benzenedithiol molecule bound to the Au electrodes of a STM[1], which display a counterintuitive increase in conductance when mechanically stretched. The cause of this effect is traced to the coupling of molecular frontier orbitals with the electrodes[2,3]. In the relaxed state, the highest occupied molecular orbital (HOMO) is far in energy from the Fermi level of the electrodes. As the molecular junction is stretched, and molecule-electrode coupling weakens, the HOMO level is brought closer to the Fermi energy, bringing the transport properties of the junction to near resonance. This model is supported experimentally in three ways. First the conductance of a single molecule junction increases during stretching such that it can reach near resonant levels. Second, the first derivative (G-V) and second derivative (IETS) of current with respect to voltage indicate that stretching a molecular junction cause a reproducible change in the molecule-electrode coupling, which is manifest in the reversible change of features in the G-V and IET spectra upon stretching and compression. Finally, transition voltage spectroscopy (TVS) shows that when the molecular junction is stretched, i.e. the molecule-electrode coupling is reduced, the energy gap between the frontier orbital and Fermi energy is reduced causing an increase in conductance. This electromechanical response of 1,4-benzenedithiol is a direct consequence of molecule-electrode interface and is a deviation from that which is seen in conventional semiconductor electronics.
References:
1 Bruot, C., Hihath, J.&Tao, N. J., Mechanically controlled molecular orbital alignment in single molecule junctions. Nature Nanotechnology 7 (1), 35-40 (2012).
2 da Silva, A. J. R. et al., Ab Initio Calculations of Structural Evolution and Conductance of Benzene-1,4-dithiol on Gold Leads. Acs Nano 5 (2), 795-804 (2011).
3 Zojer, E. et al., Stretching and breaking of a molecular junction. Small 2 (12), 1468-1475 (2006).
3:45 AM - T5.05
Common Origin of Conductance Increase and Multiple Conductance Peaks in Stretched Single-molecule Junctions: Significance of S-Au Coordination Number
Min Kyu Park 1 Hu Sung Kim 1 Yong-Hoon Kim 1
1KAIST Daejeon Republic of Korea
Show AbstractA major breakthrough in recent molecular electronics research was the statistically reliable formation of single-molecule mechanical break junctions. Among many novel and interesting phenomena observed in single-molecule junction experiments, some match well with first-principles calculations but some still remain as puzzles. One example of the former cases is the conductance increase in stretched benzenedithiolate (BDT) junctions [1], and one example of the latter cases is the multiple conductance peaks observed in alkanedihiolate junctions [2]. By carrying out density functional theory (DFT) and DFT-based matrix Green&’s function (MGF) calculations, we here study BDT and haxanedithiol (C6DT) single molecules connected to Au(111) and Au(100) electrodes through one and three Au adatoms. By stretching the junctions and observing the variations of force and conductance, we conclude that the S-Au coordination number in particular plays an important role in explaining several important features of single-molecule junction experiments: Conductance increases in both BDT and C6DT junctions with three S-Au coordination numbers, but decreases in their counterparts with one S-Au coordination number. Only for the BDT with coordination-number three, the proximity of BDT highest occupied molecular orbital to the metal Fermi level (EF) and its shift toward EF with stretching together can result in the experimentally observed conductance decrease following the initial conductance increase. Particularly, we argue that the opposite behavior of the coordination number-dependent conductance variations, rather than electrode surface direction, is the key to the resolution of the controversy over the number and nature of multiple conductance peaks in C6DT junctions. Multiscale simulations combining force-fields molecular dynamics simulations and DFT-MGF calculations provide additional information on the effects of thermal fluctuations of both Au adatoms and molecules [3].
[1] X. Li, J. He, J. Hihath, B. Xu, S. M. Lindsay, and N. J. Tao, J. Am. Chem. Soc. 128, 2135 (2006).
[2] C. Bruot, J. Hihath, and N. J. Tao, Nature Nanotech. 7, 35 (2012).
[3] H. S. Kim and Y.-H. Kim, Phys. Rev. B 82, 075412 (2010).
4:30 AM - T5.06
On the Origin of the Unusual Behavior of the Ultimate Nanocontacts: Mixed Suspended Atomic Chains from Mechanically Stretched Nanoalloys
Pedro Alves da Silva Autreto 1 Douglas S Galvao 1 Emilio Artacho 2 3 4
1University of Campinas Campinas Brazil2Cambridge University Cambridge United Kingdom3Cambridge University Cambridge United Kingdom4Nanogune and DIPC and Basque Foundation for Science San Sebastian and Bilbao Spain
Show AbstractPredicting the mechanical behavior of a strained nanoscale volume of matter is essential for many nanotechnological applications. This has stimulated the study of mechanical elongation in atomic-size metal nanowires. Alloying or doping are routinely used to improve the mechanical resistance of materials. However, these manipulations cannot be easily applied to nanosystems due to the large surface/volume ratio, which may promote composition gradients, or even the expelling of impurities. Due to it, although metal nanowires have been the object of intense theoretical and experimental investigations, most of these studies were carried out to pure metals. Due to it, the first linear atomic chain (LAC) experimental realization using metallic alloys (Au and Ag) was reported only in 2006 by Bettini et al. [1]. They reported the observation of LACs composed of Ag and Au atoms from Ag-Au nanoalloys of different compositions. One important result was the observation of LACs of different lengths and with different contents of Ag and Au atoms. They have also observed that even when the nanowires are rich in Ag in the final states of the stretching leading to LAC formation, the observed behavior was quite similar to the ones for Au rich nanowires. This leads to natural question of what is the wire composition if in equilibrium with bulk alloy. We have generalized the string tension approach [2] connecting thermodynamics and geometric properties of mixed atomic chains composed of Ag and Au atoms. The relevant energies are obtained from ab initio density functional theory calculations of Ag-Au wires. A pronounced stabilization of wires with alternating Ag-Au sequence was observed, which can explain the thermodynamic puzzle of the experimentally observed Au enrichment in the LAC final stages formation before mechanical rupture of nanoalloys of high Ag content.
[1] Bettini, Jefferson et al. "Experimental realization of suspended atomic chains composed of different atomic species." Nature nanotechnology 1.3 (2006): 182-185.
[2] Tosatti, E et al. "String tension and stability of magic tip-suspended nanowires." Science 291.5502 (2001): 288-290.
4:45 AM - T5.07
Effects of Iodine Doping on the Electrical Anisotropy and the Resulting Contact Resistance of Pentacene OTFTs
Rahim Abdur 1 Young-Kyu Lee 1 Chiyoung Lee 1 Kyunghoon Jeong 1 Jaegab Lee 1
1Kookmin University Seoul Republic of Korea
Show AbstractOrganic thin film transistors (OTFTs) have attracted significant attentions because of their potential use for low-cost, large area flexible devices, and thus dramatic improvements in the performance of organic thin film transistors (OTFTs) have been achieved over the last few decades. However, tailoring the contact properties in OTFTs especially by doping has scarcely been reported so far, although semiconductor contacts to metals play a critical role in the device performance.
In this work contact area iodine doping of gold top contact pentacene organic thin film transistor (OTFT) was demostrated to investigate its effect on the contact resistance and the resulting electrical performance of the OTFTs. The iodine doping in the pentacene film caused the change of pentacene crystal structure, and thus leading to the increase in electrical anisotropy, i.e., ratio of lateral to vertical resistivity. These two resistive components of doped pentacene film underneath the Au contacts were major contributors to the contact resistance. Based on the study a model to explain the dependence of contact resistance on iodine doping was presented.
The iodine doping of pentacene film was confirmed by Raman spectroscopy, which showed the characteristic peaks of I3- and I5-. The surface morphology and grain size change of the pentacene films with doping time from 30 seconds to several hours were also investigated using atomic force microscopy. The XRD analysis showed the bulk and thin film crystallinity also changed with the doping time.
The OTFTs fabricated on iodine doped source/drain contacts exhibited high mobility of 1.15 cm2/V.s with the on/off ratio of 3.88×106, contact resistance of 0.32 K#8486;.cm and threshold voltage of -3.34 V whereas the undoped pentacene based OTFT showed the mobility of 0.51 cm2/V.s with the on/off ratio of 3.55×105, contact resistance of 3.1 K#8486;.cm and threshold voltage of -4.10 V.
5:00 AM - T5.08
In situ Electrical Addressing of Molecule-based Metallic Nanostructures
Michael Noyong 1 2 Patrick Schaal 1 2 Jan Timper 3 2 Ulrich Simon 1 2
1RWTH Aachen University Aachen Germany2JARA - Fundamentals of Future Information Technologies Aachen-Jamp;#252;lich Germany3RWTH Aachen University Aachen Germany
Show AbstractWe will introduce a flexible tool for the electrical addressing of nanostructures, i.e. an in situ nanomanipulator set-up in a scanning electron microscope (SEM). By means of two selected examples we will illustrate the versatility and the reliability of this method.
In a first example we will show the controlled fabrication of nanoscaled thiol patterns by e-beam lithography, which served as immobilization sites for gold nanoparticles (AuNP) [Schaal2012]. By using the AuNP as a nucleation sites, electrically conducting Au nanostructures were formed by stepwise chemical enhancement of these nanopatterns with an Au(I)/hydroquinone solution. Along the enhancement steps conductance was probed with our nanomanipulator setup reflecting metallic conductivity above the percolation threshold.
In a second example the preparation of metalized DNA structures will be presented. Recently, we reported the successful metallization of artificial DNA strands incorporating alkyne and diol groups yielding robust one-dimensional nanostructures several micrometers long and several ten nanometers in diameter [Timper2012]. Again, electrical conductivity was proven with our set-up.
Data obtained from both molecule-based nanostructures will be discussed regarding the interplay of electrical conductivity and the granularity of the structures in comparison with other nanoscaled structures and contacting methods.
[Schaal2012] P. A. Schaal, A. Besmehn, E. Maynicke, M. Noyong, B. Beschoten, U. Simon, 'Electrically Conducting Nanopatterns Formed by Chemical e-Beam Lithography via Gold Nanoparticle Seeds.', Langmuir 2012, 28, 2448-2454
[Timper2012] J. Timper, K. Gutsmiedl, C. Wirges, J. Broda, M. Noyong, J. Mayer, T. Carell, U. Simon, 'Surface "Click" Reaction of DNA followed by Directed Metallization for the Construction of Contactable Conducting Nanostructures', Angew. Chem. Int. Ed. 2012, 51, 7586-7588
5:15 AM - T5.09
Universal Anisotropically Conductive Nano-adhesive of PDMS Oligomers
Yuzhe Ding 1 Tingrui Pan 1
1University of California, Davis Davis USA
Show AbstractJoining heterogeneous surfaces with electrical interconnections has been a pressing issue in development of micro-nanoengineered systems, where multiple processing constraints have to be taken into consideration as a whole, e.g. chemical and mechanical properties of substrates, surface modification, and interfacial electrical connection. [1] Recently, an intriguing mass transfer process has been reported accompanying the micro-contact printing process, that is, a nanometer-thick PDMS oligomer layer has been deposited on the imprinted substrates with excellent adhesion. [2] We have successfully demonstrated the utilization of the intrinsically transferrable and adhesive nature of PDMS oligomers as a universal, interfacial nano-adhesive to bond a group of micro-nanofabrication materials within and across different categories (e.g. metals, ceramics, thermoset and thermoplastic polymers). [3] Currently, we have investigated the electrical contact resistance of the PDMS oligomer nano-adhesive interfaces with various controlling parameters (e.g. nano-adhesive thickness, contact area, PDMS curing time, and PDMS/substrate contact time). Two 5-millimeter-squared gold electrodes are bonded through a 10nm-thick PDMS oligomer nano-adhesive and show only 0.2 ohm of resistance across the contact plane. However, the in-plane resistance was found to be completely insulated. This result demonstrates that PDMS oligomers can be used as a universal anisotropically conductive nano-adhesive that allows specific and excellent conductivity between bonded electrodes without the risk of electrical shorts across different contacts. The PDMS-oligomer-based conductive nano-adhesive is featured with several unique advantages, including: (a) material-independent universal applicability with high bonding strength (up to 400kPa), (b) anisotropic conductivity caused by the electron tunneling through nanometer-thick PDMS oligomer layer [4], (c) optically transparent and mechanically flexible contributed by the intrinsic properties of PDMS elastomer, (d) easy adaption to self-alignment processes (e.g. CAP process) for micro-nanoelectronic device packaging [5], (e) simple and reliable application process without sophisticated thermal or chemical treatments, (f) ultrathin nanopatternable layer of PDMS oligomer (1 - 1.5nm for a single layer) through layer-by-layer contact transfer process prevents micro-nano feature occlusion and damage associated with other liquid phase gluing techniques.
Reference:
[1] C.-W. Tsao, D. L. DeVoe, Microfluid. Nanofluid, 6 (2008), pp. 1-16
[2] J. H. Kim, H. S. Hwang, S. W. Hahm, D. Y. Khang, Langmuir, 26 (2010), pp. 13015-13019
[3] Y. Ding, S. Garland, M. Howland, A. Revzin, T. Pan, Adv. Mater., 23 (2011), pp. 5551-5556
[4] D. J. Wold, R. Haag, M. A. Rampi, C. D. Frisbie, J. Phys. Chem. B., 106 (2002), pp. 2813-2816
[5] Y. Ding, L. Hong, B. Nie, K. S. Lam, T. Pan, Lab Chip, 11 (2011), pp. 1464-1469
5:30 AM - T5.10
Electrical Characterization of Molecular Electronic Junctions on Flexible Substrates
Sungjun Park 1 Gunuk Wang 3 Byungjin Cho 4 Yonghun Kim 1 Sunghoon Song 1 Yongsung Ji 1 Myung-Han Yoon 1 2 Takhee Lee 5
1Gwangju Institute Science amp; Technology (GIST) Gwangju Republic of Korea2Gwangju Institute Science amp; Technology (GIST) Gwangju Republic of Korea3Rice University Houston USA4University of California Los angeles Los Angeles USA5Seoul National University Seoul Republic of Korea
Show AbstractElectronic devices based on organic materials have great potentials due to their flexible features necessary for the development of future unconventional device applications. In particular, large-area devices containing extremely thin films of molecular materials represent the ultimate scaling of flexible devices, however, the influence of bending and twisting on the electrical and mechanical stability of such molecular devices has never been examined.
In this presentation, we report the fabrication of two-terminal flexible devices with ultrathin (~2 nm) molecular monolayers [1]. Three alkanethiol molecules with different lengths were used as device components in the study and each electrical data based on the principle of tunneling mechanism from devices could be well distinguished according to their molecular length. The flexible molecular devices in our study exhibited high yield (~86 %) with molecularly-defined charge transport characteristics under severe bending conditions (le; radius 1 mm) and a large number of repetitive bending cycles (ge; 1,000 times). Furthermore, our flexible molecular devices were reliable in various bending configurations, such as twisted (ge; 35 degree) or helical structures (rolled over a cylindrical tube). The results of the present study that ultrathin molecular monolayer-based devices reliably operated under various deformed conditions such as bent, twisted, and helical structures can be explained by a molecular modeling. Our flexible device structure can be a useful platform to investigate molecular units of various organic materials under flexible configurations.
References
[1] Park et al., Nature Nanotech. 7, 438 (2012).
Acknowledgements: The National Research Laboratory Program, National Core Research Center grant, World Class University program, and Creative Research Initiative program by the Korean Ministry of Education, Science and Technology.
5:45 AM - T5.11
Conductivity of an Atomically Defined Metallic Interface
David J Oliver 1 Jesse Maassen 1 2 Mehdi El Ouali 1 William Paul 1 Till Hagedorn 1 Yoichi Miyahara 1 Yue Qi 3 Hong Guo 1 Peter Gruetter 1
1McGill University Montreal Canada2Purdue University West Lafayette USA3General Motors Warren USA
Show AbstractA mechanically formed electrical nanocontact between gold and tungsten is a prototypical junction between metals with dissimilar electronic structure. Through atomically characterized nanoindentation experiments and first-principles quantum transport calculations, we find that the ballistic conduction across this intermetallic interface is drastically reduced because of the fundamental mismatch between s wave-like modes of electron conduction in the gold and d wave-like modes in the tungsten [1]. The mechanical formation of the junction introduces defects and disorder, which act as an additional source of conduction losses and increase junction resistance by up to an order of magnitude. These findings apply to nanoelectronics and semiconductor device design. The technique that we use is very broadly applicable to molecular electronics, nanoscale contact mechanics, and scanning tunneling microscopy.
As conducting wires are scaled down in electronic devices, maintaining a low resistance becomes increasingly problematic, with interfaces dominating the total resistance [2]. Line widths of wire interconnects on semiconductor chips are forecasted to fall below 16 nm over the next decade [3]. Because these length scales are less than the mean free path of electrons (~40 nm for gold), ballistic effects become important. To quantify the resistivity of nanoscale metallic interfaces, precise knowledge of the contact area is needed as well as an understanding of effects such as plastic deformation and tip-substrate interface, which may influence the conductance.
We find that mechanical response and electronic transport in nanometer-scale tungsten-on-gold contacts formed by nanoindentation exhibit pronounced departures from macroscopic behavior. A very high mechanical hardness of ~10 GPa is observed, indicating that a size effect is operating. Conductance through the W-Au junction exhibits a distinct upper bound, sim;4x less than would be predicted from a simple Sharvin model. First principles transport calculations show that this 4x drop closely agrees with the expected resistance from ballistic transmission losses across a perfect W-Au interface. Additional decreases in conductance are observed because of defects in the gold generated by plasticity. By atomically characterizing the W probe tip with field ion microscopy, we are able to extract quantitative parameters from experiment and verify the predictions of theory.
[1] D Oliver, J Maassen, M El Ouali, W Paul, T Hagedorn, Y Miyahara, Y Qi, H Guo, and P Grütter, (accepted Sept 26 2012) PNAS
[2] Pop E (2010) Energy dissipation and transport in nanoscale devices. Nano Res 3: 147-169.
[3] Interconnect (2009) International Technology Roadmap for Semiconductors (Interconnect), 2009 Ed.
T4: Nanowires
Session Chairs
Yu Huang
George Kirczenow
Wednesday AM, April 03, 2013
Moscone West, Level 3, Room 3000
9:15 AM - T4.01
Flexible, Transparent, Conducting Networks of Copper Nanowires
Aaron R. Rathmell 1 Benjamin John Wiley 1
1Duke University Durham USA
Show AbstractThere is an ongoing drive to replace rigid flat-panel devices (e.g. touch screens, solar cells) with devices that are more flexible in order to improve resistance to mechanical damage, and reduce cost by enabling high-throughput, roll-to-roll production. The brittleness and slow, vapor-based coating process (<0.01 m/s) of the standard transparent conducting material, indium tin oxide (ITO), are significant barriers to the production of low-cost, flexible electronics. This presentation will discuss the replacement of ITO with networks of copper nanowires. Copper nanowires can be produced in scalable, solution-phase syntheses, and can be coated from liquids at high rates (>1 m/s). Copper nanowire networks can be flexed more than 1000 times with no change in their conductance, can carry high currents (0.5 A/cm2), and can be rendered stable against oxidation with a Ni coating. A barrier to the use of copper nanowires for transparent conductive films was the fact that they had to be annealed under hydrogen to render them conductive due to the presence of a non-conductive oxide layer. This presentation will present new results showing that copper nanowire networks can be rendered highly conductive simply by dipping them in acetic acid.
9:30 AM - T4.02
An In situ Simple Single Step Process for Preparation of Metal Nanowire Meshes at the Solution-substrate Interface
Daniel Azulai 1 Gil Markovich 1
1Tel Aviv University Tel-Aviv Israel
Show AbstractThin conducting films consisting of high aspect ratio nanostructures are currently of great technological interest, in particular as transparent electrodes (TE) for low-cost photovoltaics and other optoelectronic applications. We developed a synthesis for the production of high-aspect-ratio gold-silver nanowire mesh films with high conductivity, flexibility, and transparency. In this unique process the nanowires form in situ on the surface of a substrate.(1) This solution based deposition method is capable of achieving a uniform coating on top of various types of surfaces, such as glass, PET, silicon and even on the top of a vertical CdSe NW-based photoconductive cell formed in polycarbonate membranes. The metal nanowires form a very good contact with an ohmic behavior to the CdSe rods.(2)
The trade-off between the sheet resistance and transparency of the nanowire films can be controlled by various process parameters over a wide range. The process is performed under ambient conditions which allow the deposition of the nanowire films on top of sensitive functional materials such as conducting organic films. The method for the synthesis of elongated metal nanowaires involves two steps: First, the preparation of small size spherical gold seed nanoparticles, and second, the growth of the particles in tubular surfactant nanostructures to an elongated form at the solution-substrate interface by catalytic reduction of additional gold and silver ions on the seed particles.
This presentation will include a study of the mechanism leading to the nanowires growth and control of their diameter and morphology with seed concentration and seed size. (3)
(1)Daniel Azulai, Tatyana Belenkova, Hagit Gilon, Zahava Barkay, Gil Markovich; Transparent Metal Nanowire Thin Films Prepared in Mesostructured Templates. Nano Lett. 2009, 9, 4246-4249.
(2) Daniel Azulai,dagger; Uri Givan,dagger;,Nava Shpaisman, Tatyana Levi Belenkova, Hagit Gilon, Fernando Patolsky,*and Gil Markovich* ; On-Surface Formation of Metal Nanowire Transparent Top Electrodes on CdSe Nanowire Array-Based Photoconductive DevicesACS Appl. Mater. Interfaces 2012, 4, 3157minus;3162
(3) Daniel Azulai, Elad Cohen, and Gil Markovich; Seed Concentration Control of Metal Nanowire Diameter. Nano Lett. 2012, ASAP. dx.doi.org/10.1021/nl302484n |
9:45 AM - T4.03
Probing Polarization Modes of Ag Nanowires with Hot Electron Detection on Au/TiO2 Nanodiodes
Youngkeun Lee 1 Jae Min Lee 1 Hyosun Lee 1 Jung Yong Lee 1 Jeong Young Park 1
1KAIST Daejeon Republic of Korea
Show AbstractNanostructured noble metals have been attractive for their unusual optical properties and are widely utilized for various purposes. The optical properties mainly originating from collective electron oscillation can assist direct energy conversion via surface plasmon resonances. Here, we investigated the effect of surface plasmons of silver nanowires on the generation of hot electrons. It is reported that the surface plasmons of silver nanowires exhibit longitudinal and transverse modes, depending on the aspect ratio of the nanowires. In order to measure the hot electron flow through the metallic nanowires, chemically modified Au/TiO2 Schottky diodes were employed as the electric contact. The silver nanowires were deposited on a Au metal layer via the spray method to control uniformity and the amount of silver nanowire deposited. We measured the hot electron flow generated by photon absorption on the silver nanowires deposited on the Au/TiO2 Schottky diodes. The incident photon-to-current efficiency was measured a function of the photon energy, revealing two polarization modes of siliver nanowires: transverse and longitudinal modes. UV-Vis spectra exhibited two polarization modes, which are also consistent with the photocurrent measurements. Good correlation between the IPCE and UV-vis measurements suggests that hot electron measurement on nanowires on nanodiodes is a useful way to reveal the intrinsic properties of surface plasmons of nanowires.
10:00 AM - T4.04
Fabrication of a Scalable Free Standing Single Layer Silver Nanomesh
Tianyi Sun 1 Chuanfei Guo 1 Krzysztof Kempa 1 Zhifeng Ren 1
1Boston College Chestnut Hill USA
Show AbstractWe present a method of fabricating scalable free standing single layer silver nanomeshes. The method consists of In2O3/SiOx bilayer lift-off metallization, catalytic etching, and a chemical lift-off process. Our process starts with deposition of an In layer on SiOx covered flat silicon wafer, where the thickness of the In film is smaller than its percolation threshold such that an In2O3 island film can be achieved after oxidation. The In2O3 island film serves as the mask for deposition of a silver nanomesh, after undercut is formed by removing SiOx in the gaps. To remove the nanomesh from the wafer without damaging it, HF-H2O2 blended solution and then base solutions are introduced in sequence. The mesh size of a fabricated nanomesh ranges from several hundred nanometers to several micrometers, the line width of the nanowires ranges from 60 nm to more than 100 nm, and the thickness is on the order of tens of nanometers. Since the line width and the mesh size can be well tuned by the isotropic etching of In2O3 islands within a broad range, it gives this method the capability of generating nanomeshes meeting different electrical, optical, and mechanical requirements. After being placed on PDMS and measured, a 45 nm thick silver nanomesh with 20 % metallic coverage (line width 120 nm) has an average transmittance of 65 % over the whole visible range and a sheet resistance of about 10 Omega;/square. To the best of our knowledge, this is the first time that a cost-effective scalable free standing silver nanomesh with uniform linewidth was obtained. Such nanomeshes may find applications in a lot of fields where good flexibility, high transparency, and good electrical conductivity are required simultaneously, such as flexible transparent electrodes.
10:15 AM - T4.05
Tailoring Ni-Ge/Si Core/Shell Reaction Rates through In-situ Microscopy for Ultra-short Channel Nanowire Field Effect Transistors
Binh-Minh Nguyen 1 Yang Liu 2 Wei Tang 3 Shadi A Dayeh 4
1Los Alamos National Laboratory Los Alamos USA2Sandia National Laboratory Albuquerque USA3University of California, Los Angeles Los Angeles USA4University of California, San Diego La Jolla USA
Show AbstractIn order to meet the increasing demand for high performance electronics, transistor technology has scaled down to sub-20 nm with many performance boosting techniques at the material and device levels. The nanowire (NW) device architecture has proven itself as a viable candidate for the sub-20 nm generation transistors[1]. Compared to Si NWs, the Ge/Si core/shell NW alternative can supply larger on-current due to the increased confined hole mobility and ohmic behavior at the drain/source contacts. It has indeed demonstrated superior performance over traditional devices for sub-100 nm channel length [2]. However, sub-20 nm channel length cannot be obtained using conventional solid-state reaction between a Ni lead and a Ge/Si core/shell NW. Remedy to the issue will be discussed here, and transistor performance will be presented.
In this work, Ge/Si core/shell NWs were grown in a low pressure chemical vapor deposition (CVD) system using 5 nm diameter gold colloids. As grown NWs were then transferred on to 30-50 nm thick Si3N4 TEM membranes with pre-deposited metal grids for recording NW coordinates. E-beam lithography was used to define openings for electrical contacts to the NWs. Prior to 130 nm thick nickel contact deposition by e-beam evaporation, different treatments were performed to partially/or wholly remove the Si shell layer in the contact areas. Solid-state reaction of Ni contacts with Ge and Si was observed and controlled in situ using a Gatan heated-stage in a Tecnai F30 Transmission electron microscope (TEM). For wires with Si shell, the reaction occurred at ~265oC, and extended tens of nanometers in the shell before the germanide nucleation in the core. Despite a faster reaction rate of Ni with bulk Ge than with bulk Si, the two reaction fronts at the core and the shell propagated with the same growth velocity, making it impossible to create ultra-short Ge channel without having a conductive nickel silicide shell. The NWs whose Si shells were removed prior to contact formation had a reaction at 240oC first at the Ge core, and the silicide front in the shell lagged by several nanometers behind. This enabled realization of Ge channel lengths as short as 5 nm. Field effect transistor fabrication process followed through the deposition of 10nm thick high-k HfO2 dielectric using atomic layer deposition (ALD) and 100 nm thick Ti/Au top gate contacts. Transistor performance measured at room temperature was compared with numerical simulation to confirm the effect of conductive NiSix shells and their impact on ultra-short channel devices.
Reference:
[1] W. Tang, S. A. Dayeh, S. T. Picraux, J. Y. Huang, and K.-N. Tu, “Ultrashort Channel Silicon Nanowire Transistors with Nickel Silicide Source/Drain Contacts,” Nano Letters, (2012).
[2] Y. Hu, J. Xiang, G. Liang, H. Yan, and C. M. Lieber, “Sub-100 Nanometer Channel Length Ge/Si Nanowire Transistors with Potential for 2 THz Switching Speed,” Nano Letters, 8(3), 925-930 (2008).
10:30 AM - T4.06
Electrical Contacts to Vertically Oriented Silicon Nano and Microdevices for Applications in Flexible Systems
Mark Triplett 1 2 Kazim Gurkan Polat 2 M. Saif Islam 2
1University of California, Davis Davis USA2University of California, Davis Davis USA
Show AbstractFlexible electronic devices have been gaining considerable research attention owing to the popularity of nano and microscale semiconductor materials. Many flexible device architectures have been demonstrated with vertical devices having distinct advantages due to their utilization of the full potential and benefits of nano and micro scale semiconductors. Vertical and flexible devices pose a serious challenge for contact formation as the contacts must be formed either during or after a transfer method removing the active devices from a growth substrate and transferring them to a carrier substrate. We have previously demonstrated methods for successfully transferring vertical arrays of nano and microstructures to flexible polymer layers. This work reports methods for creating contact to these arrays both during and post transfer. We investigated the use of conductive and flexible layers like Pani/PMMA composites, various nanoparticle (NP)/polymer transfer layers including PMMA, PDMS and spandex, and metallic layers with assembled Ag-NP films as force dependent electrical contacts. Representative devices were created from these arrays and layers including tactile sensors, photodetectors, and flexible resistive devices. Electronic, optical, and force characterization was carried out to show the potential of these methods for enabling large-scale integrations of nano and microstructures into vertical and flexible packages. Contact resistance as a function of bending stress, critical bending limits, and bending repeatability measurements were conducted.
10:45 AM - T4.07
Nanowire Device Integration Assisted by FIBID and FEBID Contacting Process for Advanced Nanodevices
Jordi Sama 1 Roman Jimenez-Diaz 1 Juan Daniel Prades 1 Olga Casals 1 Francisco Hernandez-Ramirez 3 4 Sven Barth 2 Albert Romano-Rodriguez 1
1Universitat de Barcelona Barcelona Spain2TU Vienna Austria3Institut de Recerca en Energia de Catalunya (IREC) Sant Adriamp;#224; de Besamp;#243;s Spain4Universitat de Barcelona Barcelona Spain
Show AbstractNanowires have been among the most promising nanostructures because of the potential applications in electronic devices, and due to their high surface volume ratio [1]. One of the most important challenges in fabricating nanodevices is the integration of nanostructures in a reliable and reproducible manufacturing process. Contacting nanowires is a key issue in several applications in which the nanowire acts as functional material, as gas sensors or UV photodetectors based on single nanowire. Integration of these materials into a nanodevice, which requires manipulation and fabrication of contacts in specific locations, is still limited. This work will report several activities that we have carried out on the fabrication of contacts to nanowires by Focused Ion and Focused Electron Beam Induced Deposition (FIBID and FEBID, respectively) with the aim of producing low power advanced gas nanosensors.
Metal oxide materials behave as chemiresistors whose resistance value depends on the presence of gas in the surrounding atmosphere. The resistance change is due to reactions of the gases with the surface of the metal oxide. This principle has been used for the development of commercial devices, a market in which micro and nanostructures will provide enhanced sensing effects due to the high surface to volume ratio, consequence of the 1D shape [2].
For the here presented experiments, monocrystalline tin dioxide nanowires were synthesized by chemical vapor deposition (CVD), using the molecular precursor [Sn(OtBu)4] [3]. Nanowires are pulled off of the substrate, and dispersed on a solvent (ethyleneglycol or isopropanol). A droplet is deposited onto an isolating substrate, typically Si/SiO2, with interdigitated microelectrodes made by photolithography. The sample is introduced in a dual beam SEM / FIB equipped with a Pt injector (precursor: (CH3)3CH3C5H4Pt)), where one nanowire is selected and contacted to the prepatterned electrodes employing the local decomposition of the precursor gas either by the electron or the ion beams. In the here presented methodology both beams are used on a sequential bases to optimize the deposition process, the electrical properties of the deposited layers and to avoid structural damage to the nanomaterial. This methodology is a modification of that presented by the authors for conventional silicon substrates [4].
This contribution attempts to critically discuss the fabrication strategy and parameters, their impact on the electrical behavior of the fabricated nanostructures, the fabrication of operative nanodevices and the capabilities and limitations of the here presented methodology.
[1] X. Huang et al, Sensors Actuators B (2007) 122 659.
[2] P.T. Moseley et al, Solid State Gas Sensors, (1987) Adam Hilger.
[3] S. Mathur et al, Small 1 (2005) 713.
[4] F. Hernandez-Ramirez et al, Nanotechnol. (2006) 17 5577.
11:30 AM - *T4.08
Contact Engineering in Thin Film and Nanowire Germanium Transistors
Kang L. Wang 1 Jianshi Tang 1
1Device Research Laboratory, University of California, Los Angeles Los Angeles USA
Show AbstractContact to semiconductor is a key for fabrication of high-performance transistors [1-2]. In the effort of making high-quality electrical contacts in the fabrication of Ge field-effect transistors (FETs) and related spintronic devices, one has to overcome the Fermi level pinning (FLP) problem in metal/Ge contacts. For Ge thin films, one method to effectively depin the Fermi level is by inserting a thin layer of nitride or oxide between the metal/Ge interface [3-5]. In this talk, first we will discuss the use of a thin Al2O3 layer to reduce the surface trap states, which originally pinned the Fermi level. To further reduce the resistance-area product of these metal/Al2O3/Ge contacts, low-energy ion implantation and rapid thermal annealing (RTA) were utilized to degenerately dope the Ge surface layer to facilitate tunneling transport. Next, we will describe epitaxial growth of a highly doped surface layer and thin MgO tunnel oxide to show similar transport results. Moreover, the epitaxial Fe/MgO/Ge tunnel junction possesses a unique 45 degree rotation between the MgO and Ge lattices to minimize the lattice mismatch of the two and to enhance spin filtering. Indeed, electrical spin injection into Ge thin films up to the temperature of 300 K was realized using Fe/MgO/Ge tunnel junctions.
We will also discuss another approach to make high-quality contacts to Ge nanowires (NWs) as the epitaxial growth of metal/oxide junctions may not work well for cylindrical NWs. A RTA process was used to promote the solid-state reaction between Ge NWs and metal contacts, forming germanides as Schottky contacts [6-8]. In this unique 1D growth mode, formed germanides are single-crystalline with atomically abrupt germanide/Ge interfaces, which help alleviate FLP and enable controllable scaling of the Ge channel down to sub-10&’s nm. Following this method, Ge NW FETs were fabricated with MxGey (M=Cu, Ni and Mn) as Schottky S/D contacts. Specifically, ferromagnetic Mn5Ge3 contacts were formed in Ge NW FETs to exploit spintronic applications beyond conventional scaled FETs. With high-quality interfaces and room-temperature ferromagnetism, the Mn5Ge3 contact made possible to observe spin injection into Ge NWs up to 50 K.
These recent progress in controlling the contact by insertion of thin insulators and formation of high-quality germanides enable engineering of metal/Ge contacts for the fabrication of high-performance Ge FETs and spintronic devices. Similar approaches may be used for other semiconductors.
Reference:
[1] Yeo, et al. ECS Trans., 25, 291(2009).
[2] Toriumi, et al. Microelectron. Eng., 86, 1571(2009).
[3] Lieten, et al. APL, 92, 022106(2008).
[4] Nishimura, et al. APE, 1, 051406(2008).
[5] Zhou, et al. APL, 93, 202105(2008); APL, 94, 242104(2009); PRB, 84, 125323(2011).
[6] Burchhart, et al. Nano Lett., 9, 3739(2009).
[7] Dellas, et al. APL, 97, 263116(2010).
[8] Tang, et al. Nanotechnology, 21, 505704(2010); ACS Nano, 5, 6008(2011); ACS Nano, 6, 5710(2012).
12:00 PM - T4.09
Exploring Electrical Contact Issues of Si Nanowires in TEM
Kai He 1 Jeong-Hyun Cho 2 Yeonwoong Jung 3 Mark Reed 3 Tom Picraux 2 John Cumings 1
1University of Maryland College Park USA2Los Alamos National Laboratory Los Alamos USA3Yale University New Haven USA
Show AbstractRapid progress in nanomaterials and nanofabrication has allowed a variety of nanostructures, such as carbon nanotubes, nanowires, and graphene, to be used for emerging electronic, photonic and energy technologies [1]. Si nanowires (SiNWs) have been intensively studied by semiconductor community, and in nowadays are also among most promising candidates for use of anodic nanostructures of lithium ion battery [2]. As basic building blocks and functional components for different types of nanodevices, SiNWs have to be interfaced with other materials and operated at different biasing conditions, where the contact properties are critical to the overall functionality of the devices. It is of great importance to understand the underlying physics and thus precisely fabricate proper electrical contacts on the nanoscale. For such purpose, we need to perform transport measurements along with in-situ observations of electric potential distribution in Si NW based devices inside a transmission electron microscope (TEM). Electron holography (EH) is a powerful interferometry approach based on TEM, which enables access to quantitative phase shift that is sensitive to local variations of electrostatic potential in materials and devices with a spatial resolution approaching the nanometer scale [3].
In this study, we have carried out in-situ electrical measurements and EH observations of SiNWs that are grown by CVD and have p- and n-type doped segments. The SiNWs are cantilevered onto a special TEM holder and a movable Pt probe is used to touch the nanowire and make electrical contact for applying bias. EH is used to examine the electrostatic potential across the metal-Si interface and p-n junction at different biasing conditions. EH shows an obvious phase shift across the p-n junction, corresponding to an electrostatic potential different (or built-in potential) of 0.57 eV. The IV measurements indicate an inverted rectifying behavior, which is due to the Schottky barrier at the metal-Si interface. The metal-Si contact by direct probe-touching caused a very large contact resistance, which dominated the device behavior and diminished the property of the p-n junction. The obtained information provides useful insights for understanding the scientific issues and thus to solve technical problems in device fabrication.
[1] F. Leonard and A. A. Talin. Nature Nanotechnology 6, 773 (2011).
[2] C. K. Chan, et al., Nature Nanotechnology 3, 31 (2008).
[3] M. R. McCartney and D. J. Smith, Annu. Rev. Mater. Res. 37, 729 (2007).
12:15 PM - T4.11
Effective Ar Plasma Treatment for Germanide Contact Formation of Germanium Nanowire Junctionless (Ge-NW JL) MOSFETs
Young Gwang Yoon 1 Tae Kyun Kim 1 Hyun-Seung Lee 2 Inchan Hwang 2 Byeong-Woon Hwang 1 Jung-Min Moon 1 Yu-Jin Seo 1 Suk Won Lee 1 Moon-Ho Jo 3 Seok-Hee Lee 1
1KAIST Daejeon Republic of Korea2POSTECH Pohang Republic of Korea3Yonsei University Seoul Republic of Korea
Show AbstractGermanium is one of the promising semiconductor materials suitable for switching devices due to its high intrinsic mobility. As CMOS devices are scaling down to the sub-20nm design rule, shallow and abrupt junction formation is essential to reduce short channel effect (SCE).
Recently, as one of the solutions to junction technology, junctionless MOSFETs were suggested based on SOI wafer [1, 2]. However, source/drain(S/D) resistance degradation is the most critical issue of the junctionless MOSFETs without additional implantation on the S/D region. In this work, for germanium nanowire junctionless (Ge-NW JL) MOSFETs, we suggest an effective method of forming the germanide contacts on Ge nanowires at low temperature by Ar pretreatment process on the S/D contact region only, leading to reduced contact resistance compared to that of the chemical treated surface with hydrofluoric acid.
We have synthesized single-crystal germanium nanowires by the vapor-liquid-solid (VLS) method using Au catalytic particles. For intrinsic single-crystalline germanium nanowires, premixed germane gas was used as the precursor and nanowire growth was done. For in-situ p-type doping of germanium nanowires, premixed diborane gas (B2H6) was subsequently injected to the same chamber. The grown nanowires separated from the substrate were dispersed onto the top of SiO2/Si substrate. To make the S/D contact with Ni/Au deposited by an evaporator, e-beam lithography and lift-off process were done. After patterning e-beam photoresist, cleaning processes are following. First, sample was dipped into diluted hydrofluoric acid and DI solution. Second, Ar plasma treatment was done by Inductively Coupled Plasma (ICP) systems to introduce ion-bombardment effect on the Ge-NW surface. After Ni/Au deposition, thermal annealing process was executed in a rapid thermal annealing (RTA) system.
In conclusion, contact resistance of Ar plasma treated device was reduced by more than an order of magnitude by thermal annealing process, which resulted from a successful germanidation between nikel and germanium nanowire surface. By deconvolution of x-ray photoelectron spectra, we show that oxygen deficiency and oxide removal were accelerated and the percentage of low oxidation states was increased by Ar plasma treatment. Electron energy loss spectroscopy (EELS) and energy dispersive spectroscopy (EDS) characterizations were conducted to verify the interface oxide between nikel and germanium nanowire, and germinide contact formation, respectively. As a result, performance of the Ge-NW JL MOSFETs was improved by more than an order of magnitude with Ion/Ioff ratio upto ~ 104. The material and electrical characteristics of the devices will also be discussed in detail during the presentation.
References
1. C. W. Lee, et al., Appl. Phys. Lett. 94 [5] (2009) 053511
2. J. P. Colinge, et al., Nature Nanotechnology 5 [3] (2010) 225
12:30 PM - T4.12
Contact Resistivity Measurements on n-GaN Nanowires Grown by MBE
Paul Blanchard 1 Kris Bertness 1 Todd Harvey 1 Aric Sanders 1 Norman Sanford 1
1NIST Boulder USA
Show AbstractThe contact resistivity ρc of ohmic contacts is an important figure of merit in assessing and optimizing the efficiency of semiconductor devices. While methods to accurately measure ρc in thin-film devices are well established, devices based on semiconductor nanowires (NWs) present a new set of metrology challenges. In particular, the cylindrical morphology and small dimensions of a NW can make traditional transmission line measurement (TLM) techniques difficult or impossible. In addition, uncertainty in the transport properties of the NWs can compound the difficulty of accurately modeling and analyzing devices.
In this report, we use 4-point measurements and a distributed current flow model to establish an upper bound on ρc in devices made from n-GaN NWs. The NWs used here were c-axis GaN:Si, grown by catalyst-free MBE on Si <111>. Test structures on individual NWs were fabricated by dispersing the NWs onto a SiO2 substrate, then depositing four Ti/Al contact pads separated by ~2 µm gaps and annealing. The two-terminal resistance R23 across contacts c2 and c3 was measured. In addition, the four-terminal resistance R23collinear was measured, in which current was forced through c1 and c4 while measuring the voltage drop across c2 and c3.
In attempting to extract ρc from R23 and R23collinear, one might naively assume that the total contact resistance Rc due to c2 and c3 is given by Rc = R23minus;R23collinear. However, this assumption is not valid when the physical length Lc of the contact exceeds the current transfer length Lt. Modeling the distributed flow of current through each contact shows that the current shunts through the metal layers of c2 and c3 in the R23collinear measurement when ρc is sufficiently small. As a result, R23minus;R23collinear can be orders of magnitude less than Rc. Indeed, the experimentally measured R23minus;R23collinear in our devices was approximately zero, indicating that the value of ρc is too small to be measured by this method.
Although ρc could not be directly measured, we were able to place an upper limit ρcmax on its value. In the distributed current flow model, ρc depends in a non-linear way on several parameters: R23, R23collinear, NW radius rNW, contact lengths Lc, gap length Lgap, series resistance Rlead, surface potential Vsurf, fraction f of NW circumference covered by each contact, doping concentration Nd, and bulk NW resistivity ρNW. To find an upper limit on ρc, we employed the numerical optimization method known as particle swarm optimization (PSO) to maximize the calculated ρc with respect to the uncertainty range of each parameter for each device. Among the eight devices tested, the resulting ρcmax ranged from 4×10-6 to 8×10-6 Omega; cm2. It is important to note that ρcmax represents the sensitivity limit of this particular experiment—improvements to the sensitivity of this measurement are the subject of ongoing investigations.