Symposium Organizers
Gilberto Medeiros-Ribeiro Hewlett-Packard Laboratories
Jeremy Levy University of Pittsburgh
Rainer Waser Institut fuer Festkoerperforschung
Harold Hwang Stanford University
K1: Multiferroics: BiFeO<sub>3</sub>
Session Chairs
Mikel Holcomb
Yuri Suzuki
Monday PM, November 29, 2010
Room 302 (Hynes)
9:30 AM - **K1.1
Domain Wall Nanoelectronics.
Ramamoorthy Ramesh 1
1 MSE/Physics, UC Berkeley, Berkeley, California, United States
Show AbstractComplex oxides exhibit a rich spectrum of functional responses, including magnetism, ferroelectricity, highly correlated electron behavior, superconductivity, etc. The basic materials physics of such materials provide the ideal playground for interdisciplinary scientific exploration. Over the past decade we have been exploring the science of such materials (for example, colossal magnetoresistance, ferroelectricity, etc) in thin film form by creating epitaxial heterostructures and nanostructures. Among the large number of materials systems, there exists a small set of materials which exhibit multiple order parameters; these are known as multiferroics. Our model multiferroic is BiFeO3, which has ferroelectric and antiferromagnetic order well about room temperature. We use a combination of MBE and chemical vapor deposition to create our model heterostructures. The physical properties are probed using a combination of piezoforce microscopy, conducting AFM, transmission electron microscopy, photoemission spectromicroscopy and optical techniques. We have discovered that the ferroelectric domain structure significantly influences the coupling between the multiferroic and the ferromagnet. Even more interesting is the observation that certain types of domain walls are conducting, relative to the bulk material. These walls can be controlled with electric fields (i.e., located, erased, relocated). A key aspect of both fundamental and practical importance is the origin of conduction and the mechanisms that control transport through the domain walls. Our dream, of course, is to see if there is the possibility of imposing a insulator-semiconductor transition right at the wall. In this talk, I will describe our progress to date on this exciting possibility.
10:00 AM - K1.2
Epitaxial Thin Films of BiFeO3: Twin Boundaries and the Movement of Ferroelectric Domains.
Chad Folkman 1 , Seung-Hyub Baek 1 , Chang-Beom Eom 1 , Thomas Tybell 2 , Chris Nelson 3 , Xiaoqing Pan 3
1 Material Science, University of Wisconsin Madison, Madison, Wisconsin, United States, 2 Electronics and Telecommunications, Norwegian University of Science and Technology, Trondheim Norway, 3 Material Science and Engineering, University of Michigan, Ann Arbor, Michigan, United States
Show AbstractRhombohedral bismuth ferrite (BFO) is a promising ferroelectric, ferroelastic and antiferromagnetic material, but maximizing its potential for many applications requires an understanding of the primary barriers to domain wall motion. For instance, twin boundaries (ferroelastic domain walls) and coupled coherency defects have been suspected as high energy barriers to the propagation of ferroelectric domain walls, but testing this hypothesis is difficult due to the structural complexity inherent in many real BFO samples. In this work, the BFO microstructure was simplified with (001) epitaxy by RF sputtering on an orthorhombic TbScO3 substrate to produce pairs of highly ordered twin boundaries. Next, using an external in-plane electric field (~200 kV/cm) the ferroelectric domain walls were forced to move parallel and perpendicular to these preexisting twin boundaries. This resulted in a variety of polarization responses measured as a function of applied electric field (P – E). For example, an in-plane electric field applied orthogonal to a (101) twin boundary generated a standard ferroelectric loop with a large hysteresis. However, an in-plane electric field applied along the (101) twin boundary generated linear paraelectric behavior. These P – E results will be presented with congruent piezoresponse force microscopy measurements. The general findings demonstrate that twin boundaries in BFO are high energy barriers to the propagation of ferroelectric domain walls only in specific twin boundary ↔ electric field geometries. This result opens possibilities to engineer twin structures in ferroelectric materials to match a wide range of applications requiring a specific polarization response.
10:15 AM - K1.3
Effect of Electrical Boundary Conditions on Domain Structure and Local Polarization in Multiferroic BiFeO3 Films.
Xiaoqing Pan 1 , Christopher Nelson 1 , Yi Zhang 1 , Alexander Melville 2 , Darrell Schlom 2
1 Materials Science and Engineering, University of Michigan, Ann Arbor, Michigan, United States, 2 Materials Science and Engineering, Cornell University, Ithaca, New York, United States
Show AbstractFerroelectric films typically form multidomains to minimize free energy. Determination of the local polarization is critical for understanding the stability of a domain structure and its switching behaviors under electric field. In this work we carried out a systematic atomic resolution transmission electron microscopy (TEM) study of the polarization change across ferroelectric domains and interfaces in multiferroic BiFeO3 films grown epitaxially on insulating (110) TbScO3 single crystal substrates with/without conducting LaxSr1-xMnO3 (LSMO) buffer layers. Using sub-Å resolution transmission electron microscopy, we quantitatively determine the two-dimentional distribution of atomic displacements and thus directly “image” of the polarization at atomic resolution across 109° and 180° domain walls in (001) BiFeO3 films. This allows us to uncover the electric boundary conditions and the spatial distribution of the electric field within a domain structure. We demonstrated that the depolarization fields near the termination of 109° domain walls at the film/substrate interface leads to the formation of electrically compensating 180° domains with significantly enhanced (>50%) local polarization. The ability to image the polarization in multidomain ferroelectric films will make it possible to fundamentally understand the roles of structural defects, electrodes, and boundary conditions in domain structures and switching.
10:30 AM - K1.4
Intrinsic Electrochromism in Ca-doped BiFeO3.
Jan Seidel 1 , Weidong Luo 2 3 , Phi Nguyen 4 , Alan Lee 1 , Sang-Yong Kim 1 , Chan-Ho Yang 5 , Stephen Pennycook 2 3 , Sokrates Pantelides 2 3 , Ramamoorthy Ramesh 1
1 , Lawrence Berkeley National Laboratory, Berkeley, California, United States, 2 , Vanderbilt University, Nashville, Tennessee, United States, 3 , ORNL, Oak Ridge, Tennessee, United States, 4 , Rutgers University, Piscataway, New Jersey, United States, 5 , KAIST, Daejeon Korea (the Republic of)
Show AbstractWe show that calcium-doped bismuth ferrite Bi0.9Ca0.1FeO3-δ thin films exhibit an electrochromic effect arising from an intrinsic mechanism due to redistribution of carriers, without the need for additional electrolytes that are needed in common electrochromic devices. The absorption change and coloration efficiency at the band edge are 4.8×106 m-1 and 190 cm2/C, respectively, which are among the highest reported values for inorganic electrochromes. These experimental findings are supported by optical absorption calculations from first-principles theory, confirming the strong absorption change at the band edge.
10:45 AM - K1.5
Efficient Photovoltaic Charge Separation at Ferroelectric Domain Walls in BiFeO3.
Joel Ager 1 , Jan Seidel 2 , Seung-Yeul Yang 2 , Steven Byrnes 2 , R. Ramesh 1 2
1 Materials Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, California, United States, 2 , University of California at Berkeley, Berkeley, California, United States
Show AbstractWe have discovered recently a new photovoltaic effect in BiFeO3 (BFO) which can produce photovoltages far in excess of the bandgap [1]. The effect is driven by photovoltaic charge separation associated with directional steps of the electrostatic potential at the 1-2 nm wide walls between ferroelectric domains. With electrode geometries that allow the walls to contribute their photovoltages in series, open circuit voltages as large as 20 V are observed. This domain wall driven effect switches its direction or can be suppressed when the ferroelectric polarization direction is reversed by an external voltage. An analysis of the internal quantum efficiency (IQE) of the effect will be presented. A threshold corresponding to the onset of optical absorption in BFO at 2.5 eV is observed. For above bandgap light, the IQE of individual domain walls may approach 50%. The microscopic mechanism for efficient photovoltaic charge separation at ferroelectric domain walls will be discussed.
Supported by the Helios Solar Energy Research Center, DOE/BES/DMSE.
[1] Yang et al., Nature Nano., 5 143 (2010).
11:30 AM - K1.6
Electric Field Control of Magnetism in an All Oxide Model System of BiFeO3/La0.7Sr0.3MnO3 Heterostructure.
Pu Yu 1 , Stephen Wu 1 2 , Jun-Sik Lee 3 , Shane Cybart 1 2 , Satoshi Okamoto 4 , Weidong Luo 4 , Jinxing Zhang 1 , Michelle Lee 5 , Qing He 1 , Lane Martin 6 , Ying-Hao Chu 7 , Dario Arena 3 , Chi-Chang Kao 3 , Robert Dynes 1 2 8 , Ramamoorthy Ramesh 1 5 2
1 Department of Physics, UC Berkeley, Berkeley, California, United States, 2 Materials Science Division, LBNL, Berkeley, California, United States, 3 National Synchrotron Light Source, BNL, Upton, New York, United States, 4 Materials Science and Technology Division, ORNL, Oak Ridge, Tennessee, United States, 5 Dept. of Mater. Sci. and Eng., UC Berkeley, Berkeley, California, United States, 6 Dept. of Mater. Sci. and Eng., UIUC, Urbana, Illinois, United States, 7 Dept. of Mater. Sci. and Eng., National Chiao Tung University, HsinChu Taiwan, 8 Department of Physics, UC San Diego, La Jolla, California, United States
Show AbstractElectric field control of magnetism has many potential applications in storage, sensors and spintronics. One key pathway to obtain this control is through multiferroic materials, which shows two or more spontaneous orderings. Instead of using direct coupling between ferroelectric (FE) and ferromagnetic (FM) order parameters in a single phase multiferroic material, which only shows a weak magnetoelectric effect, a unique method using indirect coupling through an intermediate antiferromagnetic (AFM) order parameter can be achieved. In this talk, we will present the novel magnetoelectric interactions in an all-oxide model heterostructure system consisting of ferromagnet La0.7Sr0.3MnO3 (LSMO) and multiferrioc (FE and AFM) BiFeO3 (BFO). Our work has demonstrated the existence of magnetic coupling at this interface, manifested in the form of an enhanced coercive field as well as an exchange bias. Using x-ray magnetic circular dichroism, the origin of the significant exchange bias has been attributed to a novel ferromagnetic state in the antiferromagnet BFO sublattice at the interface with LSMO.1 Based on this finding, we demonstrated the electrical control of magnetic coupling using a field effect device employing multiferroic (FE/AFM) BFO as the dielectric and FM LSMO as the conducting channel. The magneto-transport measurement clearly domonstrated a reversiblely switch/control between two distinct exchange bias states by switch the FE polarization of BFO.2 This is an important step towards controlling magnetization with electric fields, which may enable a new class of electrically controllable spintronic devices.1) P. Yu, J. –S. Lee, S. Okamoto et al., Phys. Rev. Lett. in press. 2) S. M. Wu, S. A. Cybart, P. Yu et al., Nature Mater. in press.
11:45 AM - K1.7
Nature of Polarization Fatigue in Epitaxial BiFeO3 Thin Films.
Seung Hyub Baek 1 , Chad Folkman 1 , Jae-Wan Park 1 , Sanghan Lee 1 , Chung-Wung Bark 1 , Chang-Beom Eom 1 , Thomas Tybell 2 1
1 Materials Science and Engineering, UW-Madison, Madison, Wisconsin, United States, 2 Department of Electronics and Telecommunications, Norwegian University of Science and Technology, Trondheim Norway
Show AbstractBiFeO3 has drawn a great deal of attention as a promising candidate for both magnetoelectric devices and ferroelectric memory applications. The spontaneous polarization of BiFeO3 along [111] polar axis, which is the largest value (Pr ~100 µC/cm2) among any known ferroelectric, is an intriguing feature for high-density ferroelectric memory devices. Also, BiFeO3 is the only material discovered so far to have a strong magnetoelectric coupling at room temperature. Both magnetoelectric and ferroelectric devices have the same control knob: polarization switching by electric field. The coupling between the [111] oriented spontaneous electric polarization and the (111) anti-ferromagnetic plane allows to control magnetic order by reorientation of the BiFeO3 unit cell under an external electric field. Due to its rhombohedral symmetry, there exist three possible polarization switching paths: ferroelastic switching (71o, 109o) and ferroelectric switching (180o). Each switching path have different coupling with the antiferromagnetic order. In this sense, the polarization fatigue, the loss of switchable polarization with cycles, will directly restrict the reliable performance of both magnetoelectric and ferroelectric devices. Therefore, it is highly desirable to understand the intrinsic fatigue behavior of each switching path. In order to remove extrinsic effects due to pre-existing domain walls, we have used monodomain epitaxial BiFeO3 thin films as a model system. We have selectively controlled each switching path relying on three different orientations of epitaxial BiFeO3 films, (001), (110), and (111). We have analyzed the fatigue behavior of each switching path macroscopically with electrical measurements and x-ray diffraction, and microscopically with piezoforce response microscopy. We will discuss the mechanism of switching-path-dependent fatigue behavior. The results broaden our understanding on polarization fatigue in low symmetry materials and guide the geometry of devices in order to implement only fatigue-resistant switching.
12:00 PM - **K1.8
Topological Size Effects in Polarization Controlled Electron Transport through Ferroelectric Surfaces.
Peter Maksymovych 1 , Pu Yu 2 , Jan Seidel 2 , Ramamoorthy Ramesh 2 , Arthur Baddorf 1 , Sergei Kalinin 1
1 Center for Nanophase Materials Sciences, Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States, 2 Department of Materials Sciences and Engineering and Department of Physics, University of California, Berkeley, California, United States
Show AbstractRecent burst of nanoscale electron transport measurements has rapidly advanced the concept of ferroelectric tunneling into experimental realm. Polarization-controlled transport effects have been detected in tunnel junctions, thin films, single crystals and at domain walls. Yet, virtually no attention has been drawn to finite dimensionality of domains as well as the field-induced dynamics of ferroic topological defects as a possible source of new transport phenomena.We will present seminal experimental observations of such effects on thin films of Pb(Zr0.2Ti0.8)O3 (PZT) and BiFeO3 (BFO). Earlier we have shown that both materials exhibit pronounced polarization-controlled electroresistance [1]. Upon closer inspection, the I-V curves have revealed a reproducible region of negative differential conductance following ferroelectric switching. This anomaly may originate from extrinsic processes, due to oxygen vacancies or charge injection. We have invoked a non-linear bias waveform to decouple the bias-dependence of the transport mechanism from the electric-field induced changes of the electronic state of the material. Remarkably, this measurement unequivocally points to variation of conductance with the size of the polarization domain in plane of the surface (2). The I-V anomaly is therefore traceable to a comparatively slow expansion of the domain following polarization switching. Subsequently we have shown that by tuning the built-in field through the epitaxial composition, it is possible to reverse the domain expansion in a controlled way, and probe a quasi-continuous spectrum of domain dimensions. The resulting, tunable conductance is closely reminiscent of analog memristors, even through though polarization in PZT is bistable. Memristive behavior has also been detected and analyzed at 109o ferroelectric domain walls in BiFeO3 (3). Based on phase-field modeling, we suggest that this effect originates from head-to-head polarization orientation formed in transient domain structures in an applied electric field. As such, this behavior is likely to be universal to ferroics with significant in-plane polarization.Ferroic memristive behavior is a striking departure from the conventional picture of discrete electron transport states in ferroelectrics. In addition to a quasi-continuous spectrum of conductance states, there arises a possibility of new transport properties controlled by secondary parameters localized to topological defects. Research conducted at Center for Nanophase Materials Sciences, sponsored by Division of Scientific User Facilities, U.S. Department of Energy.(1) Maksymovych et. al, Science 324 (1421) 2009(2) Maksymovych, Yu, Ramesh, Baddorf, Kalinin, “Topological Size Effects in Polarization Controlled Electron Transport through Pb(Zr0.2Ti0.8)O3 Thin Films”, (2010) subm.(3) Maksymovych, Seidel, Wu et. al, Polarization-induced Conductivity and Memory Effects at Ferroelectric Domain Walls, Nat. Mat. subm. (2010).
12:30 PM - K1.9
Phase-field Simulation of Morphotropic Phase Boundary in (001) BiFeO3 Thin Films.
Guang Sheng 1 , Jingxian Zhang 1 , Jinxing Zhang 2 , Robert Zeches 2 , Alexander Melville 3 , Jon Ihlefeld 1 2 , Darrell Schlom 3 , Venkat Gopalan 1 , Lane Martin 4 , Ramamoorthy Ramesh 2 , Zi-Kui Liu 1 , Long-Qing Chen 1
1 Department of Materials Science and Engineering, The Pennsylvania State University, University Park, Pennsylvania, United States, 2 Department of Materials Science and Engineering, University of California, Berkeley, Berkeley, California, United States, 3 Department of Materials Science and Engineering, Cornell University, Ithaca, New York, United States, 4 Department of Materials Science and Engineering, University of Illinois at Urbana-Champaign, Urbana, Illinois, United States
Show AbstractA strain-driven morphotropic phase boundary was observed in (001) BiFeO3 thin film deposited on YAlO3 and LaAlO3 substrates. In this study, we constructed the strain-temperature phase stability diagram for (001) BiFeO3 thin film using both thermodynamic calculation and phase-field simulations. The predicted tetragonal to distorted rhombohedral phase boundary is around 4.3% compressive strain at room temperature from thermodynamic analysis, which is consistent with the density function calculations. The phase diagram from phase-field simulations and experimental observations are in very good agreement with BiFeO3 films grown on LaAlO3 and YAlO3 with -4.29% and -6.8% strains respectively. Interestingly, our simulations taking into account domain structures predicted a three-phase mixture region of T and distorted R phases as well as a monoclinic phase near the morphotropic boundary. The volume fraction changes of these different phases as a function of temperature and external electric field near the morphotropic phase boundary will be also presented. We will also discuss the enhanced ferroelectric and piezoelectric properties of BiFeO3 thin films near this morphotropic phase boundary from phase-field simulations.
12:45 PM - K1.10
Scanning Tunneling Microscopy and Spectroscopy of Local Electronic Properties at Domain Walls in Multiferroic BiFeO3.
Ya-Ping Chiu 1 , Yu-Ting Chen 1 , Bo-Chao Huang 1 , Min-Chuan Shih 1 , Jan-Chi Yang 2 , Qing He 3 , Chen-Wei Liang 2 , Jan Seidel 3 , Yi-Chun Chen 4 , Ramamoorthy Ramesh 3
1 Department of Physics, National Sun Yat-sen University, Kaohsiung 804 Taiwan, 2 Department of Materials Science and Engineering, National Chiao Tung University, HsinChu 300 Taiwan, 3 Department of Physics, University of California, Berkeley, CA 94720, California, United States, 4 Department of Physics, National Cheng Kung University, Tainan 701 Taiwan
Show AbstractDomain walls in multiferroic materials have attracted much attention because of the intriguing science originated from boundary conditions of various order parameters, which have been proposed for next generation nanoelectronics. Recently, the room-temperature electronic conductivity at ferroelectric domain walls in the oxide BiFeO3 multiferroics has been successfully demonstrated.[1] The fundamental mechanism responsible for the striking result mainly occurred with structurally driven changes at domain boundary in locally electronic structures. As motivated by the significance of this discovery, in the work, by using cross-sectional scanning tunneling microscopy (STM), the direct evidence of structurally driven changes in electronic configurations at multiferroic domain walls (2 nm) of BiFeO3 are quantitatively characterized. A combination of scanning tunneling spectroscopy (STS) and analysis of the ferroelectric domain walls on electronic structures suggests that domain walls in the oxide BiFeO3 multiferroics reveals a significant decrease in the band gap and the asymmetrically built-in potential barrier due to the polarization discontinuity. Our STM and STS studies provide direct experimental insight into the origin and nature of the electronic behaviors at multiferroic domain walls of BiFeO3.Ref:[1] J. Seidel and et al., “Conducting domain walls in oxide multiferroics”, Nature Mater. 8, 229 (2009).
K2: Metal Insulator Transition in Oxides
Session Chairs
Darrell Schlom
Jianhua Yang
Monday PM, November 29, 2010
Room 302 (Hynes)
2:30 PM - K2.1
Epitaxial Growth of V2O3 on Al2O3 by Reactive MBE.
Leander Dillemans 1 , Md. Nurul Kabir Bhuiyan 1 , Tomas Smets 1 , Mariela Menghini 1 , Jin Won Seo 2 , Jean-Pierre Locquet 1
1 Department of Physics and Astronomy, KU Leuven, Leuven Belgium, 2 Department of Metallurgy and Materials Engineering, KU Leuven, Leuven Belgium
Show AbstractVanadium oxides are strongly correlated electron systems that are of interest both from a fundamental scientific point of view and for possible future applications including memory, sensors etc.. In this contribution, we report on the epitaxial growth of single crystalline V2O3 thin films on c-Al2O3 (0001) with Molecular Beam Epitaxy and atomic oxygen. The main interest in V2O3 stems from the fact that it shows a metal-to-insulator transition (MIT) around 160 K, accompanied by an abrupt change in resistivity. Films deposited at different substrate temperatures have been characterized with X-ray diffraction (XRD) and in-situ Reflection High Energy Electron Diffraction (RHEED). We observe an expansion of the V2O3 c-axis with increasing temperature and the appearance of two phases in films grown at lower temperatures. The electrical properties – in particular the MIT – are characterized and related to the structural properties.
2:45 PM - K2.2
Vanadium Dioxide Films Grown on Chromium Oxide Based Buffer Layers for Multifunction Device Applications.
Tsung-Han Yang 1 , Chunming Jin 2 , Roger Narayan 2 , Jagdish Narayan 1
1 Material Science and Engineering, NC state University, Raleigh, North Carolina, United States, 2 Biomedical Engineering, University of North Carolina, Chapel Hill, Chapel Hill, North Carolina, United States
Show Abstract For many advanced technological applications, we need to integrate different functionalities on the same chip. In this work, we integrated epitaxial vanadium dioxide (VO2) films with chromium oxide (Cr2O3) buffered on c-sapphire substrates by pulsed laser deposition (PLD). Cr2O3 is a magnetoelectric (ME) material, which shows that the magnetic fields are induced by electric fields. Thus, the device for VO2 films grown Cr2O3 buffer layer can be used for magnetic, electrical, and optical device applications. Also, we found VO2 has three different orientations in the film plane which are rotated by 60 degrees from each other by twin boundary. The epitaxial relationships between VO2, Cr2O3, and c-sapphire substrate have been explained in the framework of domain matching epitaxy (DME). Electrical resistivity measurements as a function of temperature showed a sharp transition (2.6 K) with a small hysteresis width (5.4 K), and large resistance change (~3.8 x 10^4) from semiconductor to metal phase. We discuss the correlations of the semiconductor-to-metal transition characteristics with epitaxial growth and formation of twins. * This research was sponsored by the National Science Foundation (DMR-0803663)
3:00 PM - K2.3
Magnetic and Electrical Transport Properties of Epitaxial VO2 Thin Films Grown on Si (100): Role of Defects.
Alok Gupta 1 , Sudhakar Nori 1 , Jagdish Narayan 1
1 Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina, United States
Show AbstractVanadium oxide (VO2) is an important material that has a tremendous potential for applications in thermal and optical switching devices and smart windows, owing to its near room temperature ultrafast (~10-12 s) first-order phase transition. In VO2 bulk single crystals, the transition from a monoclinic semiconducting phase to a tetragonal metallic phase occurs at ~341 K within a small range of temperature of about 2-3 K. Epitaxial VO2 thin films have been deposited on Si(100) substrates with an epitaxial YSZ buffer layer to prevent the formation of silicides and avoid polycrystallinity. The epitaxial VO2 films on Si(100) are critical for integrating their functionality with silicon microelectronics to create smart sensors. Defects play an important role in controlling and establishing the structure-property correlations for the semiconductor to metal transition (SMT). We have used laser irradiation to introduce defects, in a controlled manner, in these films and have further investigated the nature and propagation of such defects that are responsible for the marked changes in electrical and magnetic properties. While VO2 is non-magnetic in its bulk form, the field-dependent magnetization of the as-deposited and laser irradiated VO2 thin films on Si substrates shows ferromagnetic-like behavior with magnetic hysteresis. The coercivities ranged from ~240 Oe at low temperatures to 60 Oe at room temperature. Mechanism behind the modified magnetic properties when subjected to laser irradiation will be presented. Beyond a certain laser irradiation energy, the nature of the SMT changes from a diffusion-less transformation to that of a second-order transition. This change in the nature of SMT will also be discussed from the point of view of introduction of defects. Also, the effect of laser irradiation conditions on SMT parameters such as width, sharpness, the magnitude of change of resistance, thermal hysteresis, saturation magnetization and coercivity will be discussed. Ref: 1. A. Gupta, R. Aggarwal, P. Gupta, T. Dutta, R. J. Narayan, and J. Narayan, Appl. Phys. Lett. 95, 111915 (2009). 2. S. Mal, J. Narayan, S. Nori, J. T. Prater, and D. Kumar, Solid State Commun. (in press, 2010)
3:15 PM - K2.4
Investigation of Electronic States in W-doped VO2 Thin Films by Hard X-ray Photoemission Spectroscopy.
Hidefumi Takami 1 , Teruo Kanki 1 , Shigenori Ueda 2 1 , Keisuke Kobayashi 2 , Hidekazu Tanaka 1
1 , Osaka University, Ibaraki, Osaka, Japan, 2 , NIMS Beamline Station SPring-8, Sayo, Hyogo, Japan
Show Abstract Vanadium dioxide (VO2) has electronically attractive properties, showing orders of magnitude changes in resistivity at metal-insulator transition temperature (TS), around 340 K. This change is caused by a structural deformation between the monoclinic insulating phase at low temperature and the rutile metallic phase at high temperature. A Mott–Hubbard or Peierls transition mechanism has been discussed in relation to these phenomena. From a practical viewpoint, the abrupt change of resistivity in this material is applicable to uncooled bolometers. The temperature coefficient of resistance (TCR), defined as (1/ρ)(dρ/dT), has been applied as a performance index. The TCR value of VO2 is usually over 70 %/K; however, the maximum value of TCR (TCRmax) is obtained only at a fixed and narrow high temperature of around 340 K. This makes it inconvenient for the application in practical devices working at room temperature (RT). Dopant control of mother VO2 materials is a promising method for obtaining a high TCR, with a wide range, around RT. It is known that TS is reduced by doping transition metal elements such as W, Mo, and Nb. Among these various dopants, W is most effective for reducing TS. We have controlled on TMI by the small amount of doping level with few % of W and consequently obtained a high TCR (Temperature Coefficient on Resistivity defined as (1/ρ)(dρ/dT)) at room temperature. However, the detail physical description of change in TMI with keeping high TCR is not clearly understood. In this research, we prepared V1-xWxO2(x=0-0.05) thin films deposited on Al2O3 (0001) single-crystal substrates using a pulsed laser deposition technique. We investigated the electronic states of V1-xWxO2 thin films including the valence band states and the inner core states of V and W by a hard X-ray photoemission spectroscopy (HX-PES). It was found that the chemical state of doped W in VO2 takes only a 6+ valence state. Moreover, the satellite structure of the V2p3/2 main peak, which corresponds to the metallic–coherent screened state, was enhanced with increasing dopant concentration. By analyzing the asymmetric shoulder structure, we estimated the hybridization between the central V 3d and a coherent state at Fermi level (intensity of the hybridization: V*). Then, we found that the V* and photoelectron intensity at Fermi level I(EF) increased as doping concentration (x) increased. It indicates that the electron correlation plays an important role in this material and electron doping plays an important role in the control of MIT, making the metallic states more stable. In this meeting, we will discuss the detail description between the electronic states and the transport properties.
3:30 PM - K2.5
Electronic Structure of Strained Vanadium Dioxide Thin Films Using Soft X-ray Spectroscopy.
Louis Piper 1 , Jude Laverock 1 , DeMasi Alex 1 , Sang-Wan Cho 1 , Bo Chen 1 , Andrew Preston 1 , Kevin Smith 1 , Jiwei Lu 2 , Stuart Wolf 2
1 Department of Physics, Boston University, Boston , Massachusetts, United States, 2 Department of Materials Science, University of Virginia, Charlottesville, Virginia, United States
Show AbstractThe metal-insulator transition (MIT) in VO2 has been a subject of debate for several decades and whose origin has presented an important problem for condensed matter physics. The change from high temperature metallic rutile phase to low temperature insulating monoclinic occurs abruptly at 360 K for bulk VO2. The origin of the MIT, whether structural (i.e. Peierls-like transition due to V-V dimermizing and tilting along the cR axis) or electronic (i.e. Mott-Hubbard transition due to strong electron correlation effects) or some combination of the two still remains a matter of debate. Moreover, nano-scale thin films (≤ 40 nm) VO2 can tailor the cR lattice constant and severely alter temperature of the MIT.[Appl. Phys. Lett. 80 (2002) 583]Here we report of our recent studies of nano-scale strained VO2 films grown on TiO2(001) and (011) substrates. We employed V L3-edge resonant x-ray emission spectroscopy (O K-edge x-ray absorption spectroscopy) to probe the change in the occupied V 3d (unoccupied hybridized O 2p –V 3d) partial density of states (PDOS) above and below the strained MIT (i.e 300 K and lower). We note distinct changes in the V 3d-O 2p hybridization associated with the difference in p-d overlap associated with the rutile and monoclinic phases. The important role of the structure is also observed by clear differences in the occupied V 3d PDOS from comparisons between the insulating phases of the strained and bulk films. Finally, we will also discuss our recent progress in the preparation of atomically-clean, well-ordered nano-scale films for photoemission spectroscopy measurements.
3:45 PM - K2.6
In-situ X-ray Photoelectron Spectroscopy Providing Insight to the SrRuO3 Thickness Driven Metal-insulator Transition.
Gertjan Koster 1 , Bouwe Kuiper 1 , Jeroen Blok 1 , Paul-Christiaan Spruijtenburg 1 , Wolter Siemons 2 , Guus Rijnders 1 , Dave Blank 1
1 , University of Twente, Enschede Netherlands, 2 , University of California, Berkeley, Berkeley, California, United States
Show AbstractSrRuO3 is commonly used as an electrode material in the field of oxide electronics. It has a perovskite ABO3 stoichiometry and itinerant ferromagnetic properties up to 160K in the bulk phase. However, epitaxially grown SrRuO3 thin films up to 4 monolayers thick on SrTiO3 were found to be insulating [1] and an exchange bias effect was reported, indicating anti-ferromagnetic ordering. The mechanism which drives this metal-insulator transition is still being debated [2, 3]. Kim et al [4] put forth a possible way to measure the degree of electron correlation using core level X-Ray photoelectron spectroscopy (XPS). They predicted the manifestation of satellite structures for a Mott-Hubbard system by calculating the core level spectra of a single- band Hubbard model with core-hole potential using dynamical mean-field theory (DMFT). The strength of a shakedown satellite peak gives an indication of the value of W/U. By measuring the XPS spectra of PLD films grown in-situ, surface contaminations are limited to a large extent. To reveal the mechanism for the metal-insulator transition in more detail it is possible to vary the thickness of the SrRuO3 layer, but this is not the only degree of freedom. A different epitaxial strain can be introduced by growing on different substrates — like the perovskite materials DyScO3 or LaAlO3— which causes a change in the degree of rotation in the perovskite’s oxygen octahedral. To keep surface reconstructions under control and to keep the crystal structure as isotropic as possible, a capping film can be deposited, usually of the same material as the substrate.Measuring the effect of all of these parameters allows us to get a better insight in the mecha- nisms that drive these metal-insulator transitions.References[1] Jing Xia, W Siemons, G Koster, M. R Beasley, and A KAPITULNIK. Critical thickness for itinerant ferromagnetism in ultrathin films of srruo3, Jan 2009.[2] Priya Mahadevan, F Aryasetiawan, A Janotti, and T Sasaki. Evolution of the electronic struc- ture of a ferromagnetic metal: Case of srruo3. Phys Rev B, 80(3):035106, Jul 2009.[3] W Siemons, Jeroen Blok, Zhicheng Zhong, Paul J Kelly, Guus Rijnders, Dave H.A Blank, and Gertjan Koster. Correlation driven metal insulator transition as a function of thickness in srruo3 films (unpublished). 2010.[4] HD Kim,HJ Noh,KH Kim,and SJ Oh. Core-level xray photoemission satellites in ruthenates: A new mechanism revealing the mott transition. Physical Review Letters, 93(12):126404, 2004.
4:30 PM - K2.7
Observation of Metallic Phase Formation by a Bias Voltage in Correlated Insulator VO2 Thin Films.
Teruo Kanki 1 , Hidefumi Takami 1 , Hidekazu Tanaka 1
1 ISIR, Osaka University, Ibaraki, Osaka, Japan
Show AbstractVanadium dioxide (VO2) acts as a correlated insulator at room temperature and shows a nonlinear transition to metallic states by applying a bias voltage. It is an indispensable material to create exotic oxide devices using the nonlinearity. For the insulator-to-metal transition (IMT) by an electric field, the metallic phases are formed in the insulating matrix and their size depends on amount of the current and/or voltage, giving suggestions for bias-control in the motion of metallic domains and expecting novel memories or switching effects. In this research, we dynamically observed metallic phase formations in the insulating matrix and tried to clarify their formation mechanism. VO2 thin films were deposited on Al2O3(0001) substrates using a pulsed laser deposition technique. The metallic phases in VO2 were dynamically observed by the optical microscope (KEYENCE VH-Z500R). We observed that the metallic phases were generated by applying voltage and immediately separated to two metallic domains in a sample. Such complicated behaviour can not be understood only by the Joule heating effect. In this meeting, we will report the detail experimental results and their analysis.
4:45 PM - K2.8
Solid State Thermally Tunable Capacitor Utilizing Correlated Oxide Metal-insulator Transitions.
Zheng Yang 1 , Changhyun Ko 1 , Shriram Ramanathan 1
1 School of Engineering and Applied Sciences, Harvard University, Cambridge, Massachusetts, United States
Show AbstractThermally tunable capacitance has potentially interesting device applications for on-chip thermal sensors, nonlinear circuit components and memory devices. A thermally tunable capacitor device is demonstrated based on gated vanadium dioxide (VO2) capacitor devices on silicon. The capacitor shows over one order of magnitude capacitance increase with temperature rise from 20 °C to 100 °C The mechanism leading to the enhancement in capacitance arises from the metal-insulator transition (MIT) in VO2 in the vicinity of 60 °C that is accompanied by a significantly sharp change in its dielectric properties. Furthermore, fundamental semiconducting properties of thin film VO2 to advance the understanding of the microscopic MIT mechanisms are comprehensively studied based on the quantitative capacitance-voltage analyses of the VO2 thermally tunable capacitor. The temperature dependence of the dielectric constant and carrier conduction in VO2 thin films are derived based on the accumulation and inversion capacitances of VO2 layer, respectively. The relative dielectric constant of VO2 increases by four orders of magnitude from ~300 K to ~370 K across the phase transition. The electron carrier concentration of the VO2 thin films derived from capacitance analyses shows about four orders of magnitude increase from room temperature to the temperature near the MIT consistent with Hall measurements.
5:00 PM - K2.9
Lattice-symmetry-driven Phase Competition in Vanadium Dioxide.
Alexander Tselev 1 , Igor Lukyanchuk 3 , John Budai 1 , Jonathan Tischler 1 , Evgheni Strelcov 2 , Andrei Komakov 2 , Sergei Kalinin 1
1 , Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States, 3 , University of Picardie Jules Verne, Amiens France, 2 Physics Department, Southern Illinois University, Carbondale, Illinois, United States
Show AbstractVanadium dioxide VO2 is a prototypical strongly-correlated electron oxide, which exhibits an abrupt, first-order metal-insulator transition (MIT) on cooling at a temperature of about Tc = 68 °C in bulk with a few orders of magnitude change of electrical conductivity within a sub-100 fs intrinsic time scale. These features of the transition make the materials an excellent candidate for numerous applications in optical, electronic, and opto-electronic devices. In particular, VO2 is considered the most promising candidate for realization of a Mott field-effect transistor, a novel fast electronic switch based on an electrostatically-induced MIT. However, the exact physical mechanism of the MIT is still not completely understood, which hampers many of the potential applications of the material. There is strong evidence that the main driving force for the transition is electron-electron correlations, however the low-temperature phase should not be considered as a conventional Mott insulator due to a significant lattice contribution in the formation of the band gap, which turns out to be a significant obstacle in achieving a MIT without a change of the lattice structure based on the monoclinic phase M1, which is stable in pure VO2 under ambient temperature and pressure. Recently, a number of previously unknown aspects of the metal-insulator transition (MIT) in this oxide were found. In particular, several reports demonstrated that the MIT in VO2 nanobeams can proceed through competition between two monoclinic phases – M1 and M2 – and the tetragonal (metallic) R phase – with the M2 phases being a pure Mott insulator, where MIT and structural transition can be decoupled. The nature of such phase behavior has been remained unclear. Here we show that the competition between M1 and M2 phases is purely lattice-symmetry-driven. Within framework of the Ginzburg-Landau formalism, both M phases correspond to different directions of the same four-component structural order parameter, and as a consequence, the M2 phase can appear under a small perturbation of the M1 structure such as doping or stress. This description is confirmed by previously obtained experimental data as well as by our own experiments on strained VO2 nanoplatelets. Within thin framework, the M2 phase does not play a special role, such as an intermediate phase, in the MIT in comparison with the M1 phase. These insights open the door towards systematic approaches to synthesis of VO2 nanostructures in desired phase states at room temperature and to use of external fields in the control of the VO2 phase states. Research at ORNL's CNMS was sponsored by the Division of Scientific User Facilities, OBES, U.S. DOE. The research at SIUC was supported through NSF ECCS-0925837 and SISGR-DOE ERKCM67. JDB and JZT were supported by the Division of Materials Sciences and Engineering, OBES, U.S. DOE.
5:15 PM - K2.10
Tunable Work Function in Vanadium Oxide Thin Films by Proximity to Metal-Insulator Transition
Changhyun Ko 1 , Zheng Yang 1 , Shriram Ramanathan 1
1 School of Engineering and Applied Sciences, Harvard University, Cambridge, Massachusetts, United States
Show Abstract Single crystal vanadium oxide (VO2) shows a reversible and sharp conductivity change of almost five orders in magnitude around 67°C along with a structural transition between monoclinic and tetragonal phases. This metal-insulator transition (MIT) of VO2 is of great interest considering the fact that conductivity state switching can be triggered by controlling external parameters such as temperature, electric field, photo-excitation, etc. This creates a link to applications in devices including bolometers, electro-optic devices, memristors, and advanced data storage elements. Moreover, VO2 has been of great interest as a model system to explore the mechanisms of MIT in correlated electron systems. Despite rapidly emerging interest in nanoelectronic devices using VO2 thin layers, work function, an important parameter for designing electronic devices, has hardly been studied in VO2 films. Moreover, adjustable work function of VO2 driven by MIT provides a new possibility to make CMOS circuit design more flexible with oxide gates of dual work function. Also, temperature-variable work function across MIT might be linked to understanding band structure change mechanisms via MIT. In this study, we have measured work function of VO2 film surface by Kelvin Force Microscopy (KFM) technique that allows surface potential mapping with high spatial resolution under varying temperatures from room temperature to 100°C. Using RF sputtering technique, we have prepared ~ 200 nm-thick polycrystalline VO2 films of nano-size grains (~ 100 nm) on (0001) Al2O3 substrates showing almost four order magnitude conductivity jump via MIT. By temperature-variable KFM mapping, work function has been clearly observed to increase significantly in the vicinity of transition temperature (~ 70°C). Inhomogenity of work function was also seen with a correlation with grain structure likely representing existence of nonstoichiometric phases along grain boundaries. The microscopic measurements of work function along with its changes due to microstructure and vicinity to the phase transition will be discussed in detail.
K3: Poster Session: Multiferroics
Session Chairs
Tuesday AM, November 30, 2010
Exhibition Hall D (Hynes)
9:00 PM - K3.1
Exchange Bias Effects in Epitaxial Fe3O4 /BiFeO3 Heterostructures.
Tianliang Qu 1 , Pu Yu 1 , Jinxing Zhang 1 , John Heron 1 , Guneeta Bhalla 1 , Morgan Trassin 1 , Yonggang Zhao 2 , Ramamoorthy Ramesh 1
1 Physics, UC Berkeley, Berkeley, California, United States, 2 physics, Tsinghua University, Beijing China
Show AbstractMultiferroic materials have attracted much attention recently due to their application for memory, sensor, and spintronics devices. [1,2] Among rare multiferroic materials, BiFeO3 is the most promising one because it exhibits mutual coupling between ferroelectric and antiferromagnetic orderings at room temperature. [3] Recently, an approach was demonstrated to achieve electric field control of magnetism in devices with ferromagnetic film on top of BFO film through the exchange bias effect between antiferromagnetic and ferromagnetic materials. [4,5] Fe3O4 is a noval ferrimagnetic material with a high curie temperature of 860 K and a famous Verwey transition around 120 K (Tv). [6] Therefore exciting electrical and magnetic properties are expected in Fe3O4/BFO heterostrctures, especially the exchange bias effects.High quality Fe3O4 (5~20 nm)/BFO (50-200 nm) heterostructures with atomic smooth surface have been grown by pulsed laser deposition on STO (100) substrate. Large exchange bias effects have been observed below Tv. The exchange bias decrease exponentially when increase temperature, and doesn’t change after annealing in 0.1T field from high temperature to RT across TN of BFO. A possible mechanism was given based on the interface spin of BFO film induced by super-exchange coupling between Fe3O4 and BFO. Below TV, charge and orbital ordering appears in Fe3O4, inducing interface spin in BFO. Moreover, the possibility of using electric field to control the magneto-transport in Fe3O4 thin films will be also shown in this study. Our work shed light on the study of exchange bias effect in BFO system as well as the application for magnetoelectric devices.Reference:[1]. W. Eerenstein1, N. D. Mathur, J. F. Scott, “Multiferroic and magnetoelectric materials”, Nature 442, 759 (2006).[2]. R. Ramesh, “Multiferroics: progress and prospects in thin films”, Nature Materials, 6, 21 (2007).[3]. T. Zhao, A. Scholl, F. Zavaliche, K. Lee, M. Barry, A. Doran, M. P. Cruz, Y. H. Chu YH, C. Ederer C, N. A. Spaldin, R. R. Das, D. M. Kim, S. H. Baek, C. B. Eom, R. Ramesh R, “Electrical control of antiferromagnetic domains in multiferroic BiFeO3 films at room temperature”, Nature Materials, 5, 823 (2006).[4]. J. Nogues, I. K. Schuller, “Exchange Bias”, JMMM, 192, 203 (1999).[5]. Y. H. Chu, L. W. Martin, M. B. Holcomb, M. Gajek, S. J. Han, Q. He, N. Balke, C. H. Yang, D. Lee, W. Hu, Q. Zhan, P. L. Yang, A. Fraile-Rodriguez, A. Scholl, S. X. Wang, R. Ramesh, “Electric-field control of local ferromagnetism using a magnetoelectric multiferroic”, Nature Materials, 7, 478 (2008).[6]. G. Joaquin and S. Gloria, “The Verwey transition—a new perspective”, J. Phys.: Condens. Matter 16 (2004) R145–R178.
9:00 PM - K3.10
Thickness Effects in PZT/LSMO Heterostructures.
Charles Frye 1 , Jinling Zhou 3 1 , Mikel Holcomb 1 2
1 Physics, West Virginia University, Martinsburg, West Virginia, United States, 3 , National Chiao Tung University, Hsinchu Taiwan, 2 , Lawrence Berkeley National, Berkeley, California, United States
Show AbstractMaterials which exhibit Magnoelectric coupling between ferromagnetic and ferroelectric polarization domains have the potential to revolutionize the development of many technologies, including the improvement of digital storage devices and the possible manipulation of magnetic polarization through an external electric field. Known materials display weak magnoelectric coupling; however layer combinations of two different materials can produce a greater level of coupling along the combined materials’ interface. Through spectral analysis and photoemission electron microscopy (PEEM), we study the magnoelectric coupling between wedge samples of ferroelectric PZT and ferromagnetic LSMO from 30 K to room temperature.
9:00 PM - K3.11
Electronic and Magnetic Properties of Small Co-O Quantum Dots and Wires.
Liudmila Pozhar 1
1 Physics, University of Idaho, Moscow, Idaho, United States
Show AbstractCobalt oxide nanoclusters exhibit ferromagnetic, antiferromagnetic, metallic or semiconductor behavior of significant interest for novel nanoelectronic device development, and in particular, for oxide spintronics and quantum information processing. Thus, electron spin states localized on exchange-biased Co-O quantum dots (QDs) and wires (QWs) attracted attention as possible robust quantum bit carriers that can be used to satisfy unique requirements applied to design and control of nanoelectronic devices for integrated information storage-processing and secure communications, and necessary to facilitate orders-of-magnitude increase in efficacy and reliability of electronic hardware of the future. In the work reported here ab initio, quantum many body theoretical and computational methods, including Hartree-Fock (HF), configuration interactions (CI), complete active space (CAS) self-consistent field (SCF), and multiconfiguration SCF (MCSCF) approximations, have been used to study electronic and magnetic properties of small Co-O QDs and QWs. Among other findings, the results of this study point out at miscroscopic mechanisms that may be responsible for the development or loss of exchange bias in small Co-O nanoclusters. Small Co-O clusters are molecules from chemical standpoint, with the direct optical transition energies from 1 eV to 5 eV and uncompensated electron spins localized on Co atoms. In larger Co-O molecules such spins are primarily parallel, thus providing for “ferromagnetic” nature of the molecules. Smaller Co-O molecules are “antiferromagnetic” singlets, with their uncompensated electron spins antiparallel. Spatial isomers of such smaller molecules also exist and can be “ferromagnetic” triplets or pentets with the ground state energies very close to those of the singlets. Moreover, larger “ferromagnetic” Co-O molecules have deep ground state energy minima. The length of Co – O bond can stretch to about 170% in the studied cases as compared to its length in bulk Co – O, enabling the development of the spatial isomers. Thus, with an increase in temperature thermal fluctuations may cause (1) geometrical changes in small “antiferromagnetic” singlets converting them to “ferromagnetic” triplets and pentets, and (2) “polymerization” of small Co-O singlets to larger Co-O triplets and pentets, leading to electron spin re-alignment. In small core (Co) - shell (Co-O) clusters such re-alignment should be accompanied by re-construction of Co/Co-O interface, facilitating a change in the clusters’ “surface” energy, and thus leading to loss of exchange bias. Alternatively, when thermal fluctuations are frozen out, the Co – O bond length may decrease, causing structural transitions in smaller Co – O molecules and de-polymerization of larger ones, leading to an increase in quantity of “antiferromagnetic” Co – O molecules, and thus establishment of exchange bias effects.
9:00 PM - K3.12
Structure-property Relationship of Self-assembled Multiferroic CoFe2O4-PbTiO3 Nanocomposites.
Mengchun Pan 1 2 , YuZi Liu 2 , Guoren Bai 2 , Seungbum Hong 2 , Vinayak Dravid 1 , Amanda Petford-Long 1 3
1 Materials Science and Engineering, Northwestern University, Evanston, Illinois, United States, 2 Materials Science Division, Argonne National Lab, Lemont, Illinois, United States, 3 Center for Nanoscale Materials, Argonne National Lab, Lemont, Illinois, United States
Show AbstractSelf-assembled multiferroic CoFe2O4(CFO)–PbTiO3 (PTO) nanocomposites films have been fabricated on a SrTiO3 (001) substrate by metalorganic chemical vapor deposition (MOCVD). Ferrimagnetic CFO filaments were observed to form in a ferroelectric PTO matrix, in addition to larger PTO grains of a different orientation which protruded from the nanocomposites film surface. This is believed to be a result of the competition of surface and interfacial energies. Composition distribution was verified by energy dispersive X-ray spectroscopy line scan using transmission electron microscope. Microdiffraction was used to determine the orientation relationship between the CFO filaments, the PTO matrix, and the protruding PTO grains. The <100> direction of the CFO filaments, the PTO matrix and the STO substrate are parallel to each other and to the film normal, whereas the protruding PTO grains contain two different orientations: <001> and <112> parallel to the film normal. High angle annual dark field (HAADF) tomography was performed on both needle-shaped and lamellar samples to better resolve the branched CFO filaments in three dimensions using a scanning transmission electron microscope (STEM). The lower atomic weight of CFO leads to a darker STEM HAADF contrast than the PTO matrix, allowing for a reconstruction of the three dimensional distribution of CFO and PTO. The CFO filaments with branches and the appearance of protruding PTO grains were not observed in reported self-assembled CFO–PTO nanocomposites deposited using pulsed laser deposition [1]. These were attributed to the nature of the chemical reactions during MOCVD deposition, such as different growth rates for CFO and PTO and a gas phase reaction at high deposition temperature. The shape anisotropy arising from the high aspect ratio of the CFO filaments (20 nm in diameter vs. 300 nm in length) aligns the direction of the easy axis of magnetization in the filaments along the out-of-plane [001] direction, as opposed to the in-plane [100] direction favored in blanket CFO thin films [2]. The high density of the CFO filaments may hinder the volume change of the PTO matrix resulting from an applied electric field, which leads to a lack of ferroelectric signal from the matrix when measured using piezoresponse force microscopy. However, a large piezoresponse is observed from the protruding PTO grains. [1] J. Li et al., Appl. Phys. Lett. 87, 072909 (2005)[2] M. Pan et al., J. Appl. Phys. 107, 043908 (2010)
9:00 PM - K3.13
Preparation and Evaluation of Cr2O3/(CrxAl1-x)2O3 Films on Sapphire Substrates.
Nobuyuki Iwata 1 , Yutaka Yonebayashi 1 , Yoshito Tuchiya 1 , Yuuichiro Akima 1 , Kouichi Takase 1 , Hiroshi Yamamoto 1
1 Electronics & Computer Science, CST, Nihon Univ., Chiba Japan
Show AbstractIn order to achieve giant magnetoelectric effect (Giant-ME) at room temperature, defined here as the electric field controlled magnetization, multilayer of (Pt/Co) / r-Cr2O3 was deposited on sapphire substrate using DC-RF magnetron sputtering method. The spins of Cr ions at the film surface have the feature of two dimensional ferromagnetic ordering even though the film has a step-terraces structure after electro-magnetic field cooling to align antiferromagnetic domains. The strong magnetic coupling is expected at the interface between ferromagnetic metals and r-Cr2O3. Epitaxial growth of the r-, a- and c- axis oriented Cr2O3 single layer was confirmed. However, exchange bias field was not able to be observed probably due to low Néel temperature of Cr2O3 thin film than that of bulk single crystal, 307K. The epitaxial Cr2O3 film was fully relaxed with deep holes caused by lattice mismatch of approximately 4%. To obtain epitaxial Cr2O3 without holes, showing same magnetic properties to that of bulk single crystal, buffer layer of (CrxAl1-x)2O3 (CAO) will be deposited before growth of Cr2O3. The substrate temperature and gas flow of Ar : O2 were 793K and 8 : 2 ccm, respectively. The CAO film was sputtered with RF power of 70W for 30min using 2 inches (Cr0.5Al0.5)2O3 metal target. The Cr2O3 film was deposited on the CAO film with DC and RF power of 0.04A and 100W.In X-ray diffraction, Bragg peaks of (n -n 0 2n) (n:integer, 1~3) of CAO and Cr2O3 were observed. Although the single layer of Cr2O3 was fully relaxed, the lattice spacing of multilayer of CAO and Cr2O3 was elongated normal to the plane with 0.5% and 0.4%, respectively, comparing to the bulk value. Inserting the CAO buffer layer, both of the films were compressed in-plane, probably due to the decreasing the lattice mismatch. The full width at half maximum of rocking curve for (1-102) was 0.5 degrees, which was almost same to that of Cr2O3 single layer. The number of deep holes was slightly decreased, and the bunching step-terraces structure was also observed. The relationship of growth condition, mechanism and surface morphology of CAO buffer layer will be discussed. Magnetic properties and exchange bias of stacking ferromagnetic metal are also discussed.
9:00 PM - K3.14
Band Gap Engineering of ZnO and Related Materials through Uniaxial Strain.
Satyesh Yadav 1 , Ramamurthy Ramprasad 1
1 CMBE, University of Connecticut, Storrs, Connecticut, United States
Show AbstractTuning (e.g., decreasing) the band gap of environmentally benign wide band gap semiconductors such as ZnO so that it matches better with the solar spectrum offers attractive possibilities within photovoltaics and photocatalysis. In this work which is based on ab initio computations, we present an universal phenomenon displayed by several Zn-based II-VI semiconductors, namely ZnO, ZnS, ZnSe and ZnTe, that uniaxial compression along the polar direction of the wurtzite crystal structure (i.e., the c axis) causes the band gap of the system to initially increase, go through a maximum, and then decrease. The rate of the band gap decrease depends on the system type, and ranges from a 5% band gap reduction in the case of ZnS to 20% reduction in the case of ZnTe for a 5% uniaxial compressive strain. We present two classes of computations, one based on traditional density functional theory (DFT), and the second one that exploits procedures to correct the DFT band gaps using quasiparticle GW corrections. The latter was necessary as approximations within DFT are known to underestimate the band gaps of insulators (although trends in band gap variations are captured accurately). We will begin by considering the results of traditional DFT calculations [S. K. Yadav, T. Sadowski, and R. Ramprasad, Phys. Rev. B 81, 144120 (2010)] in which the above mentioned uniaxial strain-induced band gap “tuning” was shown to occur. Approximations within DFT such as the LDA and GGA were utilized in this work. Uniform uniaxial stress along polar axis in bulk wurtzite was achieved by systematically varying the c-axis lattice parameter, and optimizing the a-axis lattice parameter and the atomic coordinates. Once the equilibrium geometry for various values of c-axis lattice parameter was determined, the GW corrected band gap was found by updating the Green’s function G until self-consistency is reached. The considered systems display tetrahedral bonding and sp3-hybridization. We find that the band gap increases with small amounts of compressive strain, owing to increased splitting of the “bonding” valence band energies from the “anti-bonding” conduction band energies. Further unaxial compression leads to sp3 to sp2 type phase transformation, which is accompanied by a drastic drop in the band gap values. Band gaps predicted by both DFT and GW follow the same trend upon imposition of uniaxial stress, but the ones predicted using GW are expected to be more accurate. We note that the GW band gaps for all systems at equilibrium are close to experimental values. Strain-induced band gap engineering may open new avenues for broader utilization of wider band gap semiconducting oxides.
9:00 PM - K3.16
Structural, Electrical, and Magnetic Properties of Sr(Ti1-xFex)O3 Thin Films.
Dong Hun Kim 1 , Lei Bi 1 , Hyun-Suk Kim 2 , Gerald Dionne 1 , Caroline Ross 1
1 Department of Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 2 , Samsung Advanced Institute of Technology, Gyeonggi-Do Korea (the Republic of)
Show Abstract We report on the structural, electrical, magnetic and ferroelectric properties of perovskite-structure Sr(Ti1-xFex)TiO3 (0≤x≤100) thin films grown on a YSZ/CeO2 buffered Si(100) substrate by pulsed laser deposition. According to -ray diffraction and transmission electron microscopy analysis, Sr(Ti1-xFex)TiO3 thin films grow either as a single crystal epitaxial film or as a self-assembled nanocomposite consisting of (110) nanopillars embedded in a (100) matrix (a ‘double-epitaxial’ thin film). The latter structure forms to relieve strain in the (100) film, and the (110) pillars, which nucleate after the (100) film reaches a certain thickness, have a conical shape with a diameter that increases with film thickness. Typical pillar spacings are 20 – 400 nm depending on composition. SrTiO3 and SrFeO3 show no magnetic hysteresis, while Sr(Ti0.9Fe0.1)TiO3, Sr(Ti0.8Fe0.2)TiO3, Sr(Ti0.65Fe0.35)TiO3, Sr(Ti0.6Fe0.4)TiO3, Sr(Ti0.575Fe0.425)TiO3 have a highly anisotropic magnetic hysteresis, according to vibrating sample and superconducting quantum interference device magnetometry. These films have an out-of-plane easy axis, and a saturation magnetic moment of ~0.5 µB/Fe. The magnetization depends on deposition conditions but values up to 70 emu/cm3 were obtained. The saturation magnetic moment abruptly decreases when the Fe content is larger than 50%. Single crystal films show some evidence of Fe ion clustering, while the double-epitaxial films have a homogeneous Fe distribution. From x-ray photoelectron spectroscopy, the Fe has a mixed valence, mainly +3 and +4, with no evidence of metallic Fe, and the ferromagnetism is attributed to ferromagnetic superexchange between Fe of different valence states on adjacent sites. The strong out-of-plane anisotropy is due to the presence of magnetoelastic Fe4+ ions and the compressive in-plane strain in the films. In the double epitaxial films, the (110) pillars can be imaged by magnetic force microscopy as single-domain particles with ‘up’ or ‘down’ magnetization. The Curie temperature is on the order of 1000 K. Piezoresponse force microscopy analysis at room temperature shows a different ferroelectric domain structure between single crystal films and double epitaxial thin films. The double-epitaxial film exhibits a lower electrical leakage current and two domain orientations, with the (110) pillars having an out-of-plane polarization and the (100) matrix an in-plane polarization. Our results demonstrate that Sr(Ti1-xFex)TiO3 thin films at a specific composition range have both ferroelectric and ferromagnetic properties at room temperature providing potential application for multiferroic devices.
9:00 PM - K3.17
Dielectric Properties of BST/(Y2O3)x(ZrO2)1-x/BST Trilayer Films.
Santosh Sahoo 1 , D. Misra 1
1 Electrical and Computer Engineering, New Jersey Institute of Technology, Newark, New Jersey, United States
Show AbstractThin films of Ba1-xSrxTiO3 (BST) are being actively investigated for applications in dynamic random access memories (DRAM) because of their properties such as high dielectric constant, low leakage current, and high dielectric breakdown strength. Several approaches have been used to improve the dielectric properties of BST thin films such as doping, graded compositions, and multilayer structures. We have found that inserting a ZrO2 layer in between two BST layers results in a significant reduction in dielectric constant as well as dielectric loss. In this work the effect of Y2O3 doped ZrO2 on the dielectric properties of BST/ZrO2/BST trilayer structure is studied. The structure Ba0.8Sr0.2TiO3/(Y2O3)x(ZrO2)1-x/Ba0.8Sr0.2TiO3 is deposited by a sol-gel process on platinized Si substrate. The composition (x) of the middle layer is varied while keeping the total thickness of the trilayer film constant. The dielectric constant and loss tangent reduces with the increase of Y2O3 amount in the film and hence suitable for microwave device applications.
9:00 PM - K3.18
Electronic States and Magnetic Coupling in Fe/Fe3O4 Junctions.
Junichiro Inoue 1 , Takashi Kida 1 , Syuta Honda 2 , Hiroyoshi Itoh 2 , Hideto Yanagisawa 3 , Eiji Kita 3 , Ko Mibu 4
1 , Nagoya University, Nagoya Japan, 2 , Kansai University, Suita Japan, 3 , University of Tsukuba, Tsukuba Japan, 4 , Nagoya Institiute of Technology , Nagoya Japan
Show AbstractIron spinel ferrite Fe3O4, is a common ferromagnetic material with stable magnetization and high Curie temperature, yet it shows interesting features, a charge ordering at low temperature and half-metallic electronic state. Because of the latter characteristics, there reported several attempts to utilize Fe3O4 as a spintronics materials. However, no successful result has been reported. Recently, a strong antiferromagnetic exchange coupling (EC) has been reported for Fe/ Fe3O4 [1] and Fe/MgO/γ-Fe2O3 [2] junctions. The magnitude of the antiferromagnetic EC is ~1.5 erg/cm2, which is a same order of magnitude with that observed in Co/Ru trilayers. Finding of the strong EC in these junctions may provide a possibility to utilize Fe/ Fe3O4 junctions in spintronics devices. The mechanism of the antiferromagnetic EC, however, has not yet been clarified, and therefore more detailed study especially on the magnetic and electronic states at Fe/ Fe3O4 interface is desirable experimentally as well as theoretically. Here we report results obtained in ab-initio calculations of electronic and magnetic states in bcc Fe/ Fe3O4 (001) junctions. We use the first principles band calculation given by the VASP package, in which the PAW psuedopotential method and a spin-polarized GGA-PW method are adopted. Among possible lattice structures of Fe/ Fe3O4 junctions, we have chosen a few lattice structures by examining Mössbauer spectra from Fe57 atoms embedded at the interface of Fe/ Fe3O4 junctions. Mössbauer spectra observed have following characteristics: the spectrum from 2Å Fe573O4 at the interface may be decomposed into two components, one is from Fe57 on A-site and the other is from Fe57 on B-site, and the spectrum from 2 Å bcc Fe at the interface could be decomposed into two spectra from Fe57 on B-site and from Fe57 on bcc lattice. The analysis suggests that the junction contact is made of bcc Fe and Fe3O4 layer with B-site Fe and O atoms. Based on the experimental analysis, we assumed a most plausible structure and performed ab-initio calculations for the electronic and magnetic structures and the coupling energy between bcc Fe and Fe3O4 layers. We have found that the coupling energy is dependent on the distance between bcc Fe and Fe3O4 layers as well as on a distortion of lattice structure near the interface. Discussion on the EC will be presented taking into account a role of anti-phase structure of Fe3O4. The work was supported by Elements Science and Technology Projects of MEXT, Japan.[1] H. Yanagihara, Y. Toyoda, A. Ohnishi, and E. Kita, Appl. Phys. Exp. 1 (2008), 111303.[2] H. Yanagihara, Y. Toyoda, and E. Kita, J. Appl. Phys. 101, 09D101 (2007).
9:00 PM - K3.19
Control of Orbital-ordered Phases by Impurity Substitution in Heavily-doped Nd1-xSrxMnO3 Crystals.
Yukari Izuchi 1 , Suguru Mashimo 1 , Mitsuru Akaki 1 , Daisuke Akahoshi 2 , Hideki Kuwahara 1
1 , Sophia University, Tokyo Japan, 2 , Toho University, Funabashi Japan
Show AbstractAn impurity substitution effect for perovskite manganites has been extensively studied, which revealed that the magnetic and electronic properties are quite sensitive to the kind and amount of impurities on the Mn sites. A typical example is Nd0.5Ca0.5MnO3 system, in which the charge-ordered (CO) antiferromagnetic (AFM) insulating state is changed to the ferromagnetic (FM) metallic one by substitution of Mn by Cr. However, the roles of impurity still remain unclear, because most works on impurity substitution focus only on melting of CO states. Therefore, we have concentrated on heavily-doped Nd1-xSrxMnO3 (0.52<x<0.625) system which has an A-type AFM (A-AFM) phase with 3dx2−y2orbital ordering, since its hole-doping levels are apart from those of CO-AFM insulating phases (0.48≤x≤0.52). Comparing the substitution effects by magnetic and nonmagnetic ions with the same valence is expected to uncover the roles of impurity, i.e., the effects of local lattice distortion, spin randomness, and exchange interaction between Mn and impurity ions. In this study, to clarify the impurity substitution effects, we have systematically investigated the magnetic and electronic properties of Nd0.45Sr0.55Mn1-yByO3 (B = Cr, Fe, and Ga, 0≤y≤0.05) single crystals grown by the floating zone method. Moreover, we have explored a novel gigantic magnetoresistive effect induced by impurity substitution.We measured the magnetization and resistivity of the grown crystals as a function of temperature in magnetic fields. The y=0 sample shows the barely metallic conductivity with the FM correlation below room temperature and the bad metallic behavior with the A-AFM and orbital-ordered state below 220 K in a zero field. Increasing Cr concentration y(Cr), the FM correlation is increased and expanded toward lower temperatures as evidenced by the enhanced magnetization. In y(Cr)=0.05, the resistivity shows an abrupt jump at 170 K, which arises from the magnetic phase transition where the FM correlation is quenched and sharply changed to the A-AFM state with orbital ordering. The transition temperature is 50 K lower than that of y=0. When we applied magnetic field to the y(Cr)=0.05 sample, the transition temperature to the A-AFM state becomes lower. The A-AFM and orbital-ordered state is completely collapsed in a field of 12 T, which is extremely small compared with that of y=0. We measured also the magnetic field dependence of magnetization and resistivity of y(Cr)=0.05 at several fixed temperatures. At 5 K, the metamagnetic phase transition is induced at 9.4 T, which is also drastically reduced by about 15 T from that of y=0. The magnetoresistance at 5 K and 12 T reaches more than two orders of magnitude. Consequently, it can be concluded that the Cr substitution for Mn site is a highly effective way to collapse the A-AFM and orbital-ordered state. We will also present and discuss the results of comparative study of the substitution effects by the nonmagnetic impurity of Ga.
9:00 PM - K3.2
Memristive Effects at Multiferroic Domain Walls.
Jan Seidel 1 , Peter Maksymovych 2 , Ying-Hao Chu 3 , Pinping Wu 4 , Art Baddorf 2 , Long-Qing Chen 4 , Sergei Kalinin 2 , Ramamoorthy Ramesh 1
1 , Lawrence Berkeley National Laboratory, Berkeley, California, United States, 2 , ORNL, Oak Ridge, Tennessee, United States, 3 , National Chiao Tung University, Hsin Chu Taiwan, 4 , Penn State University, University Park, Pennsylvania, United States
Show AbstractTopological boundaries in polarization, strain and magnetic structure of multiferroic materials hold promise of novel functionality on the nanoscale. Here we report on local conductivity measurements of domain walls in multiferroic BiFeO3. We have revealed memristive behavior, i.e. controllable resistance states at these walls, allowing the domain wall conductance to be tuned by about an order of magnitude using an applied electric field.
9:00 PM - K3.21
Magnetization Effects in Bulk YFeO3 and Their Dependency on Electric Field Strength and Temperature as a Basis for Thin Film Investigation of Multiferroic Technology.
Adam Hinckley 1 , R. Gupta 1 , K. Ghosh 1 , P. Kahol 1
1 , Missouri State University, Springfield, Missouri, United States
Show AbstractMultiferroics, the study of materials which possess ferromagnetic and ferroelectric ordering in a single phase, has become an area of prominent research. Moreover, this behavior has been extensively studied in materials which possess a perovskite crystal structure such as BiFeO3 and YMnO3. However, due to their weak saturation magnetic moment, rare-earth orthoferrites are currently of extreme interest. Utilizing a solid-state reaction between Y2O3 and Fe2O3 while incorporating long-term heating at 900 °C to eliminate impurities, we have developed the rare-earth orthoferrite YFeO3 and conducted a bulk material study to determine this material’s availability for thin film multiferroic research. The absence of Y2O3 impurity was confirmed using Copper-Kα XRD. Examination of the dependence of the magnetization on the temperature was conducted to determine the reliability of multiferroic behavior across varying temperatures in conjunction with the investigation of the dependence of the magnetization on the electric field strength. Results clearly display ferromagnetic behavior in the bulk material, providing ample evidence that the bulk material is an excellent candidate for thin film studies. Future studies on multiferroic YFeO3 thin films grown via pulsed laser deposition on different substrates will be conducted. Detailed data will be provided via XRD and SQUID to confirm magnetic properties while impurities are non-existent in our thin films.
9:00 PM - K3.22
A Structural and Magnetic Study of Interfacial Spin Coupling in Fe-MgO Ferromagnetic-dielectric Thin Film Composites.
Steven Spurgeon 1 , Christopher Winkler 1 , Brian Kirby 2 , David Seidman 3 , Mitra Taheri 1
1 Materials Science and Engineering, Drexel University, Philadelphia, Pennsylvania, United States, 2 Center for Neutron Research, National Institute of Standards and Technology, Gaithersburg, Maryland, United States, 3 Materials Science and Engineering, Northwestern University, Evanston, Illinois, United States
Show AbstractMetal-oxide heterostructures that couple ferromagnetic spin polarization and dielectric polarization have received much attention for their potential use in novel spintronics devices, such as spin valves and low power memories. The application of an electric field to a dielectric substrate results in an internal electronic polarization and a reduction in the applied external field, similar to the behavior of a traditional capacitor. It is currently believed that this screening phenomenon unevenly distributes free surface charge between majority- and minority- spin states in the adjacent ferromagnetic layer, creating an interfacial spin polarization.A model system of Fe-MgO was studied to better understand this mechanism. Early first principles calculations have predicted that the formationof a Fe-O oxide will affect the free electron density at the film-substrate interface. Preliminary transmission electron microscopy (TEM) studies have also confirmed the presence of this oxide in nearly all Fe-MgO films. It may be possible to tune the composition of the oxide and adjust the interfacial defect population to control magnetoelectric coupling. To do so it is necessary to examine both the spatial and magnetic structure of the composite.Ferromagnetic Fe films were deposited at various temperatures on dielectric MgO (001) substrates using electron beam evaporation and then capped with a protective layer of Au. We combined the use of various techniques, including TEM, atom probe tomography, polarized neutron reflectometry (PNR), and soft x-ray scattering, to explore relationships between spin transport, structure, and chemistry. Our results offer insight into a fundamental mechanism of ferromagnetic-dielectric coupling that may advance studies of other oxygen-mediated devices, such Fe-doped SrTiO3 capacitors, as well as magnetoelectric composites, such as Ba0.7Sr0.3TiO3(BST) – La0.7Sr0.3MnO3(LSMO) superlattices.
9:00 PM - K3.23
Dynamic Magneto-dielectric Coupling in Biferroics PZT/LSMO Superlattices.
Sandra Dussan 1 , Ashok Kumar 1 , Ram Katiyar 1
1 Department of Physics, and Institute for Functional Nanomaterials, University of Puerto Rico, San Juan United States
Show AbstractSimultaneous existence of ferroics properties in the same material has attracted scientific and technological interest in the last decade due its potential applications in novel types of memories devices and magnetic field-sensors. Early experimental analysis on heterostructures comprising a ferro-or piezoelectric and a carrier-mediated magnet suggest the possibility of artificially engineered multiferroics in which the coupling is mediated through an electrostriction near interface. We report the fabrication of series nanocapacitors formed by epitaxial superlattices (SL) with 12-17 unit cell / 3 unit cell periodicities of ferroelectric PbZr0.52Ti0.48O3 (PZT) and ferromagnetic La0.67Sr0.33MnO3 (LSMO) materials. The samples were grown on LaAlO3 (100) substrates by pulsed laser deposition technique. We utilized LSMO layer as bottom electrode as well as a constituent of heterostructure. The x-ray diffraction patterns of superlattices with different periodicities showed well defined satellite peaks without any secondary phase. F scan around the (202) plane by fixing the 2θ value calculated from the (100) peak position of substrate exhibits four fold symmetry and the low FWHM values of rocking curve confirms that the SL are grown epitaxial cube on cube basis. The topographic view clearly shows well defined grains having average roughness less than 1.5 nm. Room and low temperature magnetization-field (M-H) exhibited good magnetization hysteresis loops, well saturated and low coercivity. The electrical properties of the superlattices exhibited spontaneous polarization (~ 28 μC/cm2) at room temperature and high dielectric constant (~1000). We observed a giant anomaly in the real part of the dielectric permittivity near the ferromagnetic to paramagnetic phase transition of LSMO in all SLs suggesting dynamic magneto-electric coupling due to magnetostriction or phase transformation in LSMO from semi-metallic paramagnetic to metallic ferromagnetic with lowering of temperature
9:00 PM - K3.24
Coexistence of Ferroelectric and Ferromagnetic Properties at Room Temperature in Gd and Fe Co-Substituted Bi4Ti3O12 Thin Films.
Ricardo Melgarejo 1 , Maharaj Tomar 1 2 , Reji Thomas 1 , Ram Katiyar 1
1 Physics, University of Puerto Rico, San Juan United States, 2 Physics, University of Puerto Rico, Mayaguez United States
Show AbstractThe multiferroic (MF) materials exhibit ferroelectric/ferrielectric/antiferroelectric properties in combination with ferromagnetic/ferrimagnetic/antiferromagnetic and/or ferroelastic properties. Possible applications of these kinds of materials include multiple-state memory elements, electric field controlled ferromagnetic resonance devices and variable transducers with either magnetically-modulated piezoelectricity or electrically-modulated piezomagnetism and hence the recent interest is driven by the long-term technological aspirations. One of the room temperature multiferroic materials is BiFeO3 and has been widely investigated in the past years. However, weak magnetic property and relatively high leakage current density foiled BFO’s practical electronic applications. Recently, to realize room temperature multiferroicity in materials, researchers opted heterostructures (multiphase system) and substitution of elements in the ferroelectric materials (single phase) with magnetically active elements. The present work is based on the later route to realize multiferroicity in layered ferroelectric material with co-substitution of gadolinium and iron in the lattice.Thin films of Gd and Fe co-substituted Bi4Ti3O12, i.e., (Bi4-xGdx)(Ti2.95Fe0.05)O12 (BGTF) for (x = 0, 0.26, 0.36. 0.46, 0.56, 0.65, 0.75, and 0.85), were fabricated on Pt/Ti/SiO2/Si substrates by chemical solution deposition. The structures of the films were analyzed using x-ray diffraction and Raman spectroscopy. These films were polycrystalline with highly preferred orientation (117). Surface morphology, dielectric properties, and the leakage current behavior were systematically investigated. Magnetic (M-H) and electric (P-V) hysteresis loops of BGTF films were also studied as a function of the Gd content for a fixed Fe content at the Ti-site. Dielectric constant and loss tangent of (Bi3.54Gd0.46)(Ti2.95Fe0.05)O12 films at 100kHz were 300 and 0.048, respectively. Remnant polarization, Pr was > 25 µC/cm2 and week ferromagnetism is observed at room temperature. The spontaneous appearance of magnetization in BGTF at room temperature suggesting that the spins in the Gd and Fe containing sublattices is responsible for the multiferroicity in BGTF. Additionally, the room temperature leakage current density was around 10-7A/cm2, very much lower than the BFO, and hence this modified single phase novel MF material is of great interest in realizing the proposed magnetoelectric multifunctional devices.
9:00 PM - K3.25
Structural, Electrical and Magnetic Properties of Pb(Zr0.53Ti0.47)1-x(Fe0.5Nb0.5)xO3 Electroceramics.
Dilsom Sanchez 1 , Ashok Kumar 1 , Ram Katiyar 1
1 Physics, University of Puerto Rico, Rio Piedras campus, San Juan , Puerto Rico, United States
Show AbstractComplex perovskite oxides exhibit a rich spectrum of properties, including magnetism, ferroelectricity, strongly correlated electron behavior, superconductivity and magnetoresistance, which have been research areas of great interest among the scientific and technological community for decades. There are very few single phase materials in nature which exhibit multiple functional properties; one such class of materials is called the multiferroics. It is interesting because they exhibit simultaneously ferromagnetic and ferroelectric polarizations and might be coupling between them. Due to the nontrivial lattice coupling between the magnetic and ferroelectric domains, the magnetic polarization can be switched by applying an electric field; likewise the ferroelectric polarization can be switched by applying a magnetic field. As a consequence, multiferroics offer rich physics and novel devices concepts. Polycrystalline electroceramic materials with compositional formula Pb(Zr0.53Ti0.47)1-x(Fe0.5Nb0.5)xO3 were prepared by a conventional solid state method. The substitution parameter x was varied from 0.1 to 0.4 in steps of 0.1. Single phase perovskite structure was confirmed by x-ray diffraction patterns for all compositions. Secondary Electron Microscopy (SEM) images show well defined grain of size ~1um at x=0.1 that increased as increase the x value. We present here the dielectric behavior and capacitance-loss tangent in the frequency range from 100 Hz to 1MHz with an oscillating voltage of 100mV. AC and DC conductivity were carried out over wide range of temperatures and frequencies suggest low leakage behavior. All the compositions showed well ferroelectric polarization and ferromagnetic properties at room temperature, the values of polarization decreases and magnetization increases with increase in Fe and Nb compositions.
9:00 PM - K3.26
Coupling of Defect Fields to Domains and Phase Transition Characteristics of Ferroelectric Thin Films with Charged Defects.
Burc Misirlioglu 1 , Hale Nur Cologlu 1 , Mehmet Yildiz 1
1 Faculty of Engineering & Natural Sciences, Sabanci University, Istanbul Turkey
Show AbstractWe simulate the effect of charged defects on the phase transition characteristics and electrical properties of ferroelectric thin films using a non-linear thermodynamic model in a two-dimensional system. The analysis takes into account the electrostatic boundary conditions, presence of charged point defects as well as the elastic energy associated with the film-substrate misfit and various polarization configurations. Depending on the density and local strengths of point charges, we demonstrate that these charged defects can dramatically alter the properties of thin films especially with dead layers. In ultrathin films (<10 nm), the defect-domain coupling is much stronger than thicker films (>10-12 nm). We show that a single domain state could be stable in not too thin films as long as the electrodes are either perfect without any dead layer formation or if the dead layer is not too thick when charged point defects exist asymetrically near the interfaces. Even in the presence of a misfit strain favoring out-of-plane polarization when below the Curie point, an induced in-plane polarization around the defects is possible due to local electric fields. We show that there can arise a strong competition between extrinsic and intrinsic effects in ferroelectric thin films. The temperature dependent properties will also be shown.
9:00 PM - K3.27
Complex Polarization and Dielectric Phenomena in PbTiO3 Nanowires: An Ab Initio Computational Study.
Ghanshyam Pilania 1 , R. Ramprasad 1
1 IMS, CMBE, University of Connecticut, Willimantic, Connecticut, United States
Show AbstractCircular, toroidal, skyrmion-like and vortex-like closure domains of magnetic spin vectors have been anticipated several decades ago with their existence experimentally verified in the recent past. Along the same lines, recent experimental and theoretical work has contributed to the mounting evidence for the presence of such domains of electric dipole polarization vectors in ferroelectric nanostructures. However, conclusions concerning such exotic electric dipole polarization states are based on experimental studies relying on indirect evidence, and theoretical studies involving parameters fitted to phenomenological theories or first principles data. Here, for the first time using parameter-free ab initio density functional theory (DFT) based computations, we not only show the existence of a non-rectilinear vortex polarization state in PbTiO3 [001] nanowires but also predict a significant enhancement of dielectric constant in the nanowires as compared to the bulk PbTiO3. Furthermore, strain induced phase-transitions between the vortex and the expected axial polarization states have also been revealed.Our computations involved relaxed and axially strained free-standing PbTiO3 [001] nanowires with varying sidewall terminations and diameters. While stress-free nanowires with their sidewalls terminated by PbO surfaces displayed purely rectilinear axial polarization at all sizes, the TiO2-terminated nanowires, at a critical diameter of 16 Å, display a non-rectilinear vortex polarization transverse to the nanowire axis. Moreover, we predict the existence of novel stress-induced phase transitions between the mutually exclusive vortex and the axial polarization states in both the PbO- and TiO2-terminated nanowires. Normal mode vibrational frequency analysis of these nanowires further confirms these results. Density functional perturbation theory based calculations showed an enhancement in both electronic and ionic contributions to the dielectric constant of nanowires as compared to the bulk. Moreover, the total dielectric constant was found to be significantly high in the nanowires with the vortex polarization states as compared to those with the axial polarization state indicating that exotic unconventional polarization states can significantly improve the dielectric properties of ferroelectric nanostructures.
9:00 PM - K3.28
Proton-irradiation-induced Anomaly in the Electrical Conductivity of a Hydrogen-bonded Ferroelastic System.
Se-Hun Kim 1 , Cheol Eui Lee 2 , Kyu Won Lee 2 , Kwang-Sei Lee 3
1 Science Education, Jeju National University, Jejusi Korea (the Republic of), 2 Department of Physics and Institute for Nano Science, Korea University, Seoul Korea (the Republic of), 3 Department of Nano Systems Engineering, Center for Nano Manufacturing, Inje University, Gimhae Korea (the Republic of)
Show AbstractAn anomalous abrupt drop in the electrical conductivity has been observed at the ferroelastic phase transition of a proton-irradiated system of hydrogen-bonded TlH2PO4. As a result of the high-resolution 31P NMR chemical-shift measurements, distinct changes in the atomic displacements due to the irradiation were identified in the ferroelastic and paraelastic phases. Besides, 1H NMR spin-spin relaxation measurements revealed a change due to the irradiation in the proton dynamics at the ferroelastic phase transition, apparently accounting for the much-reduced electrical conductivity in the paraelastic phase of the irradiated system.
9:00 PM - K3.29
Phase-field Modeling of Ionic/Electronic Transport in Ferroelectrics.
Ye Cao 1 , Saswata Bhattacharya 1 , Clive Randall 1 , Longqing Chen 1
1 Materials Science and Engineering, Pennsylvania State University, University Park, Pennsylvania, United States
Show AbstractWe have developed a phase-field model to study the dielectric degradation behavior of ferroelectric crystals by investigating the effect of defects, space charge distributions and domain structures on ionic/electronic transport, local electric field distribution, and current density evolution. An applied voltage difference leads to the segregation of oxygen vacancies near the cathode side and depletion of oxygen vacancies near the anode side. We observe the current density increase of at least one order of magnitude indicating the breakdown of the dielectric capacitors. We have also studied the effect of 180 degree and 90 degree domain walls and different electrode/ferroelectric contacts on the degradation behavior of single crystal dielectrics.
9:00 PM - K3.30
Study of Noise in Current-perpendicular-to-plane Giant Magnetoresistance Devices with Current Screen Layer.
Ryota Nakao 1 , Kazuo Shiiki 1
1 Applied Phyiscs and Phisico-Informatics, Keio University, Yokohama Japan
Show AbstractCurrent-perpendicular-to-plane giant magnetoresistance (CPP-GMR) devices, which consist of a pinned ferromagnetic layer, a nonmagnetic layer and a free ferromagnetic layer, are expected to be next-generation magnetic read sensors. The magnetoresistance ratio has been improved by inserting a current screen layer (CSL) in the nonmagnetic layer of CPP-GMR devices. The CSL is a nano-oxide layer with a current-confining path. However, the CSL has been reported to generate noise in such devices. Therefore, the present study investigates noise in CSL-CPP-GMR devices.The dependence of the noise intensity on the sensing current was investigated. The noise was measured using a spectrum analyzer (HP, 8560E). A positive current signifies that the current flows from the free layer to the pinned layer. For antiparallel magnetizations, the noise increased with increasing current, whereas the noise was independent of current for parallel magnetizations. This result suggests that fluctuations are induced in the magnetization of the free layer by spin torque, and that these fluctuations are manifested as noise. The noise intensity at 77 K was larger than that at 294 K. This noise is thought to be mainly spin torque noise, since thermal spin fluctuations decrease as the temperature decreases. Furthermore, the noise intensity for a positive current was larger than that for a negative current, which indicates that the noise intensity increases when spins flow to the free layer because the magnetization of the free layer fluctuates readily.These results imply that the noise is generated by fluctuations in the magnetization of the free layer that are caused by spin torque.Moreover, plots of the noise intensity of the devices against the applied field strength contain many sharp peaks, several of which correspond to the resistance change. The large magnetization fluctuation caused by magnetization reversal induced these sharp increases in the noise intensity.Some peaks were independent of the resistance change. In addition, some peaks at high magnetic fields seem to be saturated. This result shows that a tiny change in the magnetization state, which is independent of the resistance change, can cause abrupt increases in the noise intensity.This study clarified that noise in a CSL-CPP-GMR device is induced by spin torque and that the noise intensity increases abruptly due to a change in the magnetization state, especially for noise associated with the magnetization reversal.
9:00 PM - K3.31
Polarization Dependence and Relaxation of the Current in Polycrystalline Ferroelectric Pb(ZrTi)O3 Film.
L. Delimova 1 , V. Yuferev 1 , A. Ankudinov 2 , E. Gushchina 2 , I. Grekhov 1
1 Solid State Electronics Division, Ioffe Institute of the RAS, St.Petersburg Russian Federation, 2 Solid State Physics Division, Ioffe Institute of the RAS, St.Petersburg Russian Federation
Show AbstractScanning Spreading Resistance Microscopy and direct current-voltage measurements are used to study a polycrystalline (111) oriented 100-nm-thick Pb(ZrTi)O3 (PZT) film deposited on Ir/PbTiO3/SiO2/Si substrate. Spreading current topography maps reveal that the PZT grain boundaries are much more conductive than the PZT grains. The current value averaged over the whole scanned area grows with a scan velocity increase, that is, with the increase in the voltage rise rate, which is a typical for a transient capacitive current. The current-voltage spectroscopic measurements made on a single polarized PZT grain show the dependence of the current magnitude on the polarization direction: the current is much larger when the applied to the probe positive bias is directed against the polarization than that if the bias direction coincides with the polarization. The current magnitude is found to increase with the voltage rise rate and demonstrates long relaxation time of ~100 s.The direct current-voltage measurements are made on the same PZT film using a top Pt electrode. The bias voltage is applied to the structure as a sequence of steps having the same amplitude and duration. The voltage rise rate is changed within the range of 1-0.001 V/s by variation of the step amplitude and duration. The current measurements are done in the film, which is firstly polarized. The measured current depends on the polarization direction as well as in case of the current-voltage spectroscopic study, but here this effect is observed both at the positive and negative bias. It is found that the transient current, which is a response of the structure to the applied voltage step, contains two components. The first component relaxes for a short time of ~0.03 s, it is the capacitive current related with variation of the film polarization. The second component demonstrates a long relaxation with the constant time of ~30 s, and its magnitude depends on the voltage rise rate. A similar long relaxation with the constant time of ~10 s is found in the current-voltage measurements with decreasing of the applied bias back to zero. Moreover, in this case a clockwise hysteresis current is observed. The current of the down-going branch reaches zero and changes its sign to the opposite one at the bias value, which is much higher than the coercive strength, so that there is an appreciable region, where the current flows against the applied bias. We believe that we observe the long relaxation of the transport current flowing through PZT grain boundaries. Polarization charge located on grain boundaries generates a depolarization field, which effects on the transport current through variation of the grain boundary resistance. The long relaxation is related with response of the polarization charge to the applied voltage rise rate.The study is supported by Grant RFFI #10-02-00562a, and the Program of the RAS Presidium “Fundamental study of nanotechnology and nanomaterials”.
9:00 PM - K3.32
Fabrication of Transparent AMOLED Using Boron-doped Oxide Semiconductors.
Woo-Seok Cheong 1 , Sung-Mook Chung 1 , Chi-Sun Hwang 1
1 Oxide semiconductor research team, Electronics and Telecommunications Research Institute, Daejeon Korea (the Republic of)
Show AbstractSince 2003, oxide semiconductor-based TFTs using ZnO, ZnO-In2O3 (ZIO), ZnO-In2O3-Ga2O3, (ZIGO) and ZnO-In2O3-SnO2 (ZITO) have showed high mobility over 10 cm2/Vs, low SS (subthreshould swing) below 0.2 V/decade, and so they have received many expectations for applications, typically as a potential candidate for device applications to 3-D memory and ring oscillator, and for backplanes of both active matrix-organic light emission diode (AMOLED) and thin-film transistor-liquid crystal display (TFT-LCD). For the practical applications to these products, it is important to develop a reliable oxide TFT to meet the required electrical properties. On the other hand, oxide semiconductor TFTs can be applied to transparent devices such as transparent AMOLED, transparent RFID, and transparent circuit, due to the wide band-gap over 3.0 eV, with transparent conductive oxide (TCO).In this study, we fabricated transparent AMOLED using boron doped-ZITO TFTs, where it has high transparency over 60%, as shown Fig.1. Additionally, boron doped ZITO TFTs had higher electrical stability than non-doped ZITO TFTs, where boron stabilized the film structure with strong binding energy.Fig. 1. Transparent AMOLED with boron dopped ZITO TFTs
9:00 PM - K3.33
Surface Band Gap Shrinkage in CdO: Angle-resolved Photoemission and Calculations of Many-body Effects in Quantized Electron Accumulation Layers.
Philip King 2 , Tim Veal 1 , Chris McConville 1 , Jesus Zuniga-Perez 5 , Vicente Munoz-Sanjose 4 , Maria Fuglsang Jensen 3 , Emile Rienks 3 , Philip Hofmann 3
2 School of Physics and Astronomy, University of St Andrews, St Andrews United Kingdom, 1 Physics, University of Warwick, Coventry United Kingdom, 5 CRHEA, CNRS, Valbonne France, 4 Applied Physics, University of Valencia, Valencia Spain, 3 Physics, University of Aarhus, Aarhus Denmark
Show AbstractTraditional semiconductors, such as Si and GaAs, exhibit a depletion of electrons at the surface. However, the oxide semiconductors CdO, ZnO and In2O3 have recently been shown to support an accumulation of charge [1-3] that can form a two-dimensional electron gas (2DEG) at the surface. This is associated with a pronounced downward band bending of the conduction band relative to the Fermi level, creating a quantum well. Its surface localized nature provides the opportunity to spectroscopically probe a semiconductor 2DEG using angle-resolved photoemission spectroscopy (ARPES). This provides novel insights into not only the electronic structure of the 2DEG, but also an unexpectedly important influence of many-body interactions [4]. Here we report ARPES measurements for CdO, where we find an electronic structure that is inconsistent with the conventional picture of surface space-charge in semiconductors. Instead, the interactions between particles within the accumulation layer lead to a depth-dependent shrinkage of the band gap, resulting in a smaller band gap at the surface than in the bulk of the material.
[1] L.F.J. Piper, T.D. Veal et al., Phys. Rev. B 78, 165127 (2008)
[2] M.W. Allen, C.H. Swartz, T.H. Myers, T.D. Veal, C.F. McConville, and S.M. Durbin, Phys. Rev. B 81, 075211 (2010)
[3] P.D.C. King, T.D. Veal, et al., Phys. Rev. Lett. 101, 116808 (2008)
[4] P.D.C. King, T.D. Veal, C.F. McConville, J. Zuniga-Perez, V. Munoz-Sanjose, M. Hopkinson, E.D.L. Rienks, M. Fuglsang Jensen, and Ph. Hofmann, Phys. Rev. Lett. 104 (2010) in press, issue 25.
9:00 PM - K3.34
Investigation of ALD Al2O3 and ZrO2 Gate Dielectric InGaAs Surface Channel MOSFETs with Large Effective Oxide Thickness Range.
Fei Xue 1 , Han Zhao 1 , Yen-Ting Chen 1 , Yanzhen Wang 1 , Fei Zhou 1 , Jack Lee 1
1 Electrical engineering , University of Texas at Austin, Austin, Texas, United States
Show AbstractIn0.53Ga0.47As surface channel metal-oxide-semiconductor field-effect-transistors (MOSFETs) with atomic layer deposition (ALD) gate dielectrics were studied in this paper. The device performance of Al2O3 (5nm to 20nm thick) and ZrO2 (6nm to 15nm thick) gate dielectrics were systematically analyzed and compared including interface trap density, effective channel mobility, subthreshold swing, transconductance, and maximum drain current. The large range of oxide thickness difference is designed to enhance ones understanding of the high-k dielectrics/channel interface and high-k dielectric bulk property. Our device was fabricated on molecular beam epitaxial (MBE) In0.53Ga0.47As substrate (MBE grown on 2-inch InP p+ substrate, followed by 100nm, p-type doped 1e18 cm-3 In0.52Al0.48As buffer layer, and 400nm p-type doped 5e16 cm-3 In0.53Ga0.47As channel). Source and drain were selectively ion implanted by Si dose. Implantation activation was done by rapid thermal anneal (RTA) at 700°C 10s. After surface clean and passivation, ALD dielectric Al2O3 (5nm, 7nm, 9nm, 11nm, 15nm, 20nm) or ZrO2 (6nm, 8nm, 10nm, 15nm) were grown directly on III-V substrate. Post-deposition anneal was down at 450-500°C for 1min. TaN was deposited as gate electrode by PVD. Source and drain metal AuGe Ni Au was defined by ebeam evaporation and lift off process, followed by 400°C 30s RTA. Measured effective channel mobility shows similar trend for both oxide systems. The peak mobility increases with thicker oxide (1050 cm2/Vs to 2100cm2/Vs for Al2O3, 500cm2/Vs to 650cm2/Vs for ZrO2). This is believed to be due to reduced effective electric field for thicker dielectric. Subthreshold swing decrease with shrinking gate dielectric thickness (180mV/dec to 120 mV/dec for Al2O3, 162mV/dec to 125mV/dec for ZrO2) resulting from stronger gate control over channel. Dit measured using conductance methods suggests similar interface trap density for different oxide thickness (around 1.0e12 eV-1cm-2 for Al2O3, 3.9e12 eV-1cm-2 for ZrO2). Low temperature measurements at 77K were done to give more detailed information of oxide/channel interface.
9:00 PM - K3.4
Structural and Ferroelectric Properties of Large c/a Phase Bismuth Ferrite Thin Films Prepared by Ion Beam Sputtering.
Seiji Nakashima 1 , Yosuke Tsujita 1 , Hironori Fujisawa 1 , JungMin Park 2 , Takeshi Kanashima 2 , Masanori Okuyama 3 , Masaru Shimizu 1
1 Grad. School of Engineering, Dept. of Electrical Engineering and Computer Sciences, University of Hyogo, Himeji Japan, 2 Grad. School of Eng. Sci., Dept. of Systems Innovation, Osaka University, Toyonaka Japan, 3 Institute for Nano Science Design, Osaka University, Toyonaka Japan
Show Abstract Among multiferroic materials, BiFeO3 (BFO) is the most investigated material from view points of material design of multiferroics and lead-free ferroelectrics because of its attractive properties of simultaneous coexistence of excellent ferroelectricity and antiferromagnetism at room temperature. It is known that BFO has large c/a ratio (~1.25) phase, and morphotropic phase boundary of normal and large c/a phases driven by strain in thin film form. 1)-3) However, detailed properties of the large c/a ratio BFO have not been clarified yet. In this study, BFO thin films have been deposited on SrRuO3-buffered SrTiO3 (001) substrate by ion beam sputtering (IBS) process. IBS can be generate sputtered particles having higher kinetic energy of more than 10 eV due to its high kinetic energy (~1 keV) and inclined incidences of sputtering ions to a target. In the BFO thin films on SRO/STO, large c/a phase was found. 250-nm-thick BFO thin films were deposited on SRO (50nm) / SrTiO3 (001) single crystal substrate at various substrate temperature by IBS using 3 cm φ Kaufman source. Bi1.05FeO3 ceramic plate (5 inch φ) was used as target. Ar+ ions with beam current of 50 mA accelerated by beam voltage of 1000 V were used for sputtering. Ar and O2 partial pressures were fixed at 2.9x10-2 and 4.0x10-3 Pa during deposition, respectively. From wide area 2θ-ψ XRD mapping of the BFO thin film deposited at 412oC show large c/a phase. Diffraction peaks from the large c/a phase BFO were obtained with the same extinction rule as those from normal BFO phase. Lattice parameters of the large c/a BFO estimated from BFO (103) and (003) diffraction spots of reciprocal space mappings were a = 0.377 nm, c = 0.467 nm, c/a = 1.239. These results show well agreement with previous reports.1) To confirm ferroelectricity, domain switching characteristics of BFO thin films have been also observed by piezoelectric force microscopy (PFM). Ferroelectric domain switching could be observed with voltage application of +15 Vdc in 1 μm x 1 μm area in the large c/a phase BFO thin film. This work was partly supported by a Grant-in-Aid for Research Activity Start-up (21860069) and a Grant-in-Aid for Challenging Exploratory Research (22656075) from the Japan Society of Promotion of Science (JSPS) and The Japan Securities Scholarship Foundation. References: 1) R.J.Zeches et al. Science 326, (2009) 9772) D.Rcinschi et al. Ferroelectrics 335, (2006) 1813) H.Bea et al. PRL 102, (2009) 217603
9:00 PM - K3.6
Magneto-transport on Domain Walls in Multiferroic BiFeO3.
Qing He 1 , Guneeta Bhalla 1 , Maren Daraktchiev 2 , Gustau Catalan 3 , Elke Arenholz 4 , Andreas Schroll 4 , Arantxa Fraile-Rodriguez 5 , John Heron 6 , Seung-Yeul Yang 1 , Pu Yu 1 , Jie Wu 1 , Zi Qiu 1 , Ying-Hao Chu 7 , Lane Martin 8 , James Scott 2 , R. Ramesh 1 6 9
1 Physics, University of California, Berkeley, Berkeley, California, United States, 2 Earth Science, University of Cambridge, Cambridge United Kingdom, 3 Institució Catalana de Recerca i Estudis Avançats (ICREA) and Centre d'Investigació en Nanociència i Nanotecnologia (CIN2), Campus Universitat Autònoma de Barcelona, Bellaterra Spain, 4 Advanced Light Source, Lawrence Berkeley National Laboratory, Berkeley, California, United States, 5 Swiss Light Source, Paul Scherrer Institut, Villigen Switzerland, 6 Materials Science and Engineering, University of California, Berkeley, Berkeley, California, United States, 7 Materials Science and Engineering, National Chiao Tung University, HsinChu Taiwan, 8 Materials Science and Engineering, University of Illinois, Urbana-Champaign, Urbana, Illinois, United States, 9 Materials Science Division, Lawrence Berkeley National Laboratory, Berkeley, California, United States
Show AbstractOver the past few years, much attention has been given to the growth and characterization of artificially created hetero-interfaces in complex oxide systems. Such interfaces have been found to possess novel properties and functionality not found in the bulk materials used to create such interfaces. At the same time, researchers have also reported the formation of intriguing properties at intrinsic interfaces (i.e., grain boundaries, domain walls, etc.) in a wide array of materials. In fact, symmetry analysis of certain functional materials reveals the possibility of creating polarized, magnetized, and even magnetoelectric domain walls in materials. Through a combination of theoretical calculations and experimental studies, a holistic picture of the connection between processing, structure, and properties brings to light the role of magnetism at ferroelectric domain walls in determining the magnetic properties in BiFeO3. By controlling domain structures through epitaxial growth constraints and probing these domain walls with exchange bias studies, x-ray magnetic dichroism based spectromicroscopy, and high resolution transmission electron microscopy we demonstrate that the formation of certain types of ferroelectric domain walls (i.e., 109° walls) can lead to enhanced magnetic moments in BiFeO3. In our previous work, we have reported that domain walls in BiFeO3 are much more conducting (in semiconductor range) than the bulk material. Combining this information with the magnetism, about 60% negative magnetoresistance has been observed along the direction of 109° domain walls in an external magnetic field up to 7 T; while in the direction that is perpendicular to the 109° domain walls or with other kind of domain walls, the transport measurement shows no magnetoresistance effect. Such phenomena open a pathway to future applications on nanoelectronics. This work is supported by the Department of Energy.
9:00 PM - K3.7
Selectable Spontaneous Polarization Direction and Magnetic Anisotropy in CoFe2O4 - BiFeO3 Epitaxial Nanostructures.
Nico Dix 1 , Rajaram Muralidharan 1 , Josep Rebled 1 2 , Sonia Estrade 2 , Francesca Peiro 2 , Manuel Varela 3 , Josep Fontcuberta 1 , Florencio Sanchez 1
1 , Institut de Ciencia de Materials de Barcelona - CSIC, Bellaterra Spain, 2 , Dep. D’Electrònica, MIND-IN2UB, Univ. de Barcelona, Barcelona Spain, 3 , Dep. de Fisica Aplicada i Optica, Univ. de Barcelona, Barcelona Spain
Show AbstractStrain engineering is usually an effective method to tune functional properties of epitaxial films. In contrast, this method has been much less investigated in epitaxial nanocomposites, in spite of the increasing relevance of these artificial materials. For example, nanocomposites formed by ferroelectric and ferromagnetic oxides constitute an alternative to the scarce room temperature multiferroic single phase materials. The most popular multiferroic composite is formed by epitaxial nanocolumns of ferromagnetic CoFe2O4 (CFO) in a ferroelectric BiFeO3 (BFO) matrix, usually grown on SrTiO3(001) substrates. Aiming to determine the possibilities of manipulating nanocomposite properties by strain engineering, we have investigated the limits of sustainable epitaxial strain in BFO-CFO columnar nanocomposites, as well as the impact on the ferroic properties. Since the lattice mismatch between BFO and CFO is high (above 5%), the range of substrate induced epitaxial strain preserving epitaxy of both phases is expected, a priori, to be very short. However, we have found that under a notable range of compressive lattice strains, epitaxial growth is preserved, whereas the functional properties are critically influenced. The main effects are: i) the magnetic anisotropy of CoFe2O4 is totally tuneable from parallel to perpendicular controlling the CoFe2O4 strain with proper combinations of substrate and ferroelectric phase; and ii) the selection of the used substrate allows to grow the stable rhombohedral phase of BFO or the metastable nearly tetragonal one, which implies to rotate the ferroelectric polar axis from [111] towards the [001] direction. The results are of direct relevance for the development of biferroic oxide nanostructures, and moreover they suggest that strain engineering will be also effective to tune properties in other epitaxial nanocomposites with distinct functionalities.
9:00 PM - K3.8
Suppression of Octahedral Tilts and Associated Changes of Electronic Properties at Epitaxial Oxide Heterostructure Interfaces.
Albina Borisevich 1 , Hye Jung Chang 1 , Ramamoorthy Ramesh 2 , Sergei Kalinin 1 , Steven Pennycook 1
1 , Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States, 2 , UC Berkeley, Berkeley, California, United States
Show AbstractThe unique electronic and dielectric properties of oxide heterostructures have recently propelled these systems to the forefront of condensed matter physics. Controlling charge transfer across the interfaces gives rise to spectacular behaviors including interface mediated conduction, superconductivity, magnetic effects and improper ferroelectric responses. However, the full spectrum of electronic behaviors at the ferroelectric-oxide interfaces that includes interface reconstructions and coupling to octahedral rotations, remains enigmatic and largely unexplored. Here we utilize direct structural mapping by high-resolution scanning transmission electron microscopy (STEM) to determine lattice parameters, polarization, and oxygen octahedral rotations at an epitaxial BiFeO3 - La0.7Sr0.3MnO3 (BFO-LSMO) interface. This structural information is combined with electronic structure imaging by low-loss electron energy loss spectroscopy (EELS). This allows us to directly correlate the atomic structure, polarization, strain fields and dielectric behavior on the atomic level. Combined with density functional calculations the data reveal the formation of a mesoscopic interface–induced phase at the BFO-LSMO interface such that a thin layer of BFO transforms into a phase with reduced band gap or possibly metallic behavior. This interface layer is independent on polarization orientation in the BFO layer. For the latter, the formation of frozen-in polarization in the LSMO layer and its interaction with polarization in BFO is explored and the interfacial electric fields are recovered from measured atomic positions and Born effective charges. These results illustrate that controlling the octahedral tilting behavior can provide a new, and virtually unexplored, dimension to the behavior of the ferroelectric and multiferroic films. Selectively tuning (either suppressing or enhancing) the tilts using the appropriate substrates can thus be used to establish new phases with novel properties, extending the concept of the strain- and polarization controlled interfaces to a broad new class of tilt-controlled phenomena.The research is sponsored by the Division of Materials Sciences and Engineering, Office of Basic Energy Sciences, U.S. Department of Energy.
Symposium Organizers
Gilberto Medeiros-Ribeiro Hewlett-Packard Laboratories
Jeremy Levy University of Pittsburgh
Rainer Waser Institut fuer Festkoerperforschung
Harold Hwang Stanford University
K4: Resistive Switching Oxides and Memristance
Session Chairs
Gilberto Medeiros-Ribeiro
Tuesday AM, November 30, 2010
Room 302 (Hynes)
9:30 AM - K4.1
Non-volatile Memory Effects in Heterostructured Oxide Nanowires.
Takeshi Yanagida 1 2 , Kazuki Nagashima 1 , Keisuke Oka 1 , Masaki Kanai 1 , Jin-Soo Kim 3 , Bae Ho Park 3 , Tomoji Kawai 1 3
1 , Osaka University, Osaka Japan, 2 , JST-PRESTO, Saitama Japan, 3 , Konkuk University, Seoul Korea (the Republic of)
Show AbstractResistive switching (RS) memory effects of a metal/oxide/metal junction, frequently called “ReRAM” and/or “Memristors”, have attracted much attention due to the potential applications toward next generation non-volatile memories alternative to current flash memory technology but also for artificial neural computing systems beyond Boolean computing. Although the importance of nanoscale physical events on RS has been highlighted in previous studies based on thin film RS devices, investigating the occurrence of RS at nanoscale beyond the limitation of current lithographic length scales and extracting the exact nanoscale RS mechanisms have been difficult. However such knowledge as to nanoscale RS events is crucial to achieve reliable and high-density RS devices. Self-assembled oxide nanowire-based RS offers an alternative approach not only to reduce the size of the cells beyond the limitation of current lithographic length scales but also to extract the underlying nanoscale RS mechanisms. Here we report the fabrication of well-defined oxide nanowires via VLS mechanisms, the construction of heterostructured oxide nanowires and the nonvolatile resistive memory switching phenomena within a single oxide nanowire down to 10 nm scale. Single crystalline NiO and Co3O4 heterostructured nanowires were fabricated by newly developed in-situ formation technique. We constructed highly stable RS junctions with the endurance up to 10^8 by utilizing self-assembled nanowires and well-defined nano-gap electrodes. The importance of nanoscale redox events was clarified for the bipolar RS. The presented approaches by utilizing self-assembled oxide nanowire/metal junctions offer an important system and platform to investigate not only nanoscale RS mechanisms but also various nanoscale confined physical properties of transition metal oxides.References:[1]Appl. Phys. Lett., 90, 233103 (2007) [2] Appl. Phys. Lett., 91, 061502 (2007) [3] Appl. Phys. Lett., 93, 153103 (2008) [4] J. Am. Chem. Soc., 130, 5378 (2008) [5] Appl. Phys. Lett., 92, 173119 (2008) [6] Appl. Phys. Lett., 95, 133110 (2009) [7] Appl. Phys. Lett., 95, 053105 (2009) [8] Appl. Phys. Lett., 96, 073110 (2010) [9] J. Am. Chem. Soc., 131, 3434 (2009) [10] Nano Lett., 10, 1359 (2010) [11] J. Am. Chem. Soc., 132, 6634 (2010).
9:45 AM - K4.2
Gradual SET and RESET TiN/Al2O3/Pt Resistive Switching Device with Sub-20μA Current.
Yi Wu 1 , Shimeng Yu 1 , Byoungil Lee 1 , H. -S. Philip Wong 1
1 , Stanford University, Stanford, California, United States
Show AbstractResistive random access memory (RRAM) using TiN/Al2O3/Pt stack is fabricated. The device area is scaled down to 50nmx50nm active area and the active resistive switching layer is 5nm-thick Al2O3 dielectric deposited via the atomic-layer-deposition method using H2O and TDMA as precursor at 300oC. Bipolar switching characteristic is obtained using TiN as anode and RESET current as low as sub-20μA was achieved by using a current-limiting transistor as a selective device (1T1R) during the SET process. By changing the transistor gate bias, the current level through the memory cell as well as the filamentary conduction paths are controlled, resulting in the control of the resistance value of the low resistance states (LRS). Specifically, the LRS continues to decrease from 3KΩ to 800Ω when the current of the limiting transistor gradually increases from 20μA to 1mA in accordance to the ramping up series transistor gate bias. In addition, high resistance states (HRS) can be modulated over the range of 300KΩ to 10MΩ by varying the magnitude of RESET voltage from -5V to -10V. HRS to LRS ratio around 103 over 103 DC endurance test cycles is demonstrated and less than 10ns switching time was observed both for SET/RESET operations. The average switching energy is 2pJ for RESET and 0.02pJ for SET. It is noticed that unipolar switching mode can also be realized when Pt is used as the anode but the programming current level is very high compared to that in the bipolar scheme. Based on the results, we propose a conceptual model based on oxygen vacancy ions migration near the anode after filament formation. With TiN as anode which suffers from oxidation with the attracted oxygen during SET process, an oxidized interfacial barrier layer may exist between TiN and dielectric and tampers the filament breakdown by Joule heating, therefore a negative RESET voltage is necessary to drift the oxygen ions back to the bulk oxide. On the other hand, with Pt as the anode, barely any oxidized layer is generated, and the filament is easily ruptured due to Joule heating, causing the oxygen ions to diffuse to the anode. The gradual SET can be explained by the gradual increase of the filament size or the number as a function of the compliance current. The gradual RESET can be explained by gradual filament annihilation as larger RESET voltage causes more oxygen vacancies to be combined.
10:00 AM - **K4.3
Interface-modified Random Circuit Breaker Network Model Applicable to Both Bipolar and Unipolar Resistance Switching.
Tae Won Noh 1 , Shin Buhm Lee 1 , Seo Hyoung Chang 1 , Hyang Keun Yoo 1 , Jae Sung Lee 1 , Byungnam Kahng 1
1 Department of Physics and Astronomy, Seoul National University, Seoul Korea (the Republic of)
Show AbstractResistance switching (RS) phenomena have been reported in numerous materials, including oxides, organics, and electrolytes, since the early 1960s. Recently, because existing semiconductor industry materials and technologies are approaching their physical limits, much effort has been directed to investigating nano-scale RS phenomena for technology breakthroughs in materials and devices. However, in spite of the scientific and technological interest, considerable confusion and controversy have arisen over the essential aspects and understanding of the physical mechanisms.The most important issue causing this confusion is the electrical polarity dependence of RS phenomena. All RS phenomena can be classified into two RS-types, depending on their electric polarity dependence. In unipolar-(URS) and bipolar-resistance switching (BRS), reversible changes between bistable resistance states occur when bias voltages of the same- and opposite-polarity are applied, respectively. So far, little effort has been directed to understanding how such differences in the RS types occur. Is it possible to explain all of the RS effects in one unified model?Recently, to explain the reversible changes between high and low resistance states in URS, we introduced a percolation model, called “the random circuit breaker (RCB) network model” which approximates the switching medium as a network of “circuit breakers” with two switchable metastable states. This new statistical model can explain numerous aspects of our experimental data on URS in terms of connectivity changes in the percolating network of nano-scale conducting filaments.In this talk, we present a generalized RCB network model that explains both BRS and URS in a unified scheme. We initially report our experimental observations that both BRS and URS can occur in a polycrystalline strontium titanate (STO) thin film by controlling an external bias. In addition, type changes between BRS and URS can occur reversibly as well as irreversibly, in a Pt/STO/Pt capacitor. These rare occurrences put very strict constraints on any attempt to develop a unified BRS-URS model. We also found that the electrode-bulk interface plays an important role in deciding which RS types occur. To include such interface effects, we generalized the RCB network model with additional metastable resistance states near the electrode-bulk interface. This interface-modified RCB network model lets us explain both BRS and URS in one unified theory. Furthermore, we can also explain the occurrence of BRS and URS in one sample and the interchanges between these RS-types. Our simulations also provide us with new insight into many of the experimental observations of BRS that cannot be explained by earlier theoretical models. Moreover, it can provide us some predictions how to tackle some obstacles for the memory applications.
10:30 AM - K4.4
From Sub-micrometric to Nanometric Electrical Investigation of Resistance Switching Operations in NiO Films Dedicated to Resistive RAM Applications.
Damien Deleruyelle 1 , Carine Dumas 1 , Marion Carmona 1 , Christophe Muller 1 , Sabina Spiga 2 , Marco Fanciulli 2 3
1 Departement Micro et Nanoelectronique, Institut Microelectronique Nanosciences de Provence (IM2NP) - Universite d'Aix-Marseille, Marseille France, 2 , Laboratorio MDM, IMM-CNR, Agrate Brianza Italy, 3 Dipartimento di Scienza dei Materiali, Università degli Studi di Milano-Bicocca, Milano Italy
Show AbstractResistive random access memory (RRAM) is attracting a growing interest for its ability to push the limits of non-volatile memories toward the nanometer scale. In the field of resistive switching memories, devices based on nickel oxide (NiO) are one of the most promising candidates due to their high resistance ratio between high and low resistance states, their reversible unipolar switching and their compatibility with CMOS process. In this work, we focused on NiO films deposited by atomic layer deposition on top of a W pillar bottom electrode, with a peculiar interest on switching operations (SET/RESET) and retention at a nanoscale. Beyond conventional I-V measurements obtained on pad-size devices (with plug diameter ranging from 0.18 to 1µm) exhibiting good capabilities in terms of retention (>1E6s) and resistance ratios (R_High/R_Low~1E4) up to 125°C, conductive AFM (C-AFM) measurements were conducted on dedicated structures. Current mappings were recorded by biasing a conductive tip acting as nanometric top electrode to mimic READ, SET and RESET operations. Both appearance and disappearance of discrete conduction within nano-sized, localized and random «hot spots» were demonstrated when applying tip bias larger than 3V on the NiO layer. In this work, retention measurements were also demonstrated at a nanoscale showing the persistence of these conductive regions even after 40 days.These results are in perfect agreement with the filamentary conduction proposed to describe the switching mechanism in transition metal oxides. Moreover, these experimental evidences demonstrate the great downscaling capabilities of NiO-based RRAM devices for sub-32nm technological nodes.
11:15 AM - K4.5
Properties and Applications of Oxide Based Memristive Nanodevices.
J. Joshua Yang 1 , John Paul Strachan 1 , Julien Borghetti 1 , Matthew Pickett 1 , Feng Miao 1 , Qiangfei Xia 1 , Max Zhang 1 , Doug Ohlberg 1 , Duncan Stewart 1 , Ribeiro Medeiros-Ribeiro 1 , R. Williams 1
1 , Hewlett-Packard Laboratories, Palo Alto, California, United States
Show AbstractMoore’s law will come to an end in a decade or so and the emphasis in electronics design will have to shift to devices that are not just increasingly infinitesimal but increasingly capable. Here, we demonstrate the concept and realization of a class of such nanodevices, oxide based memristive devices with extremely simple structures. I will first briefly talk about the electroforming mechanism (1)and switching mechanism (2)of these devices. The electroforming in a metal/oxide/metal switch is an electro-reduction process caused by electric field enhanced by Joule heating. The forming process is essentially eliminated by using a thin oxide layer in the device. The switching involves changes to the electronic barrier at the Pt/TiO2 interface due to the drift of positively charged oxygen vacancies under an applied electric field, which enables a class of reconfigurable two-terminal electronic circuit elements behaving as networks of memristive switches and rectifiers in parallel and series combinations (3). In order to use the devices in a circuit, one needs to be able to engineer control the device properties (4). Then I will demonstrate how to engineering control these micro- and nanoscale TiO2 junction devices by seeding nanoscale switching centers. I will show that thermal diffusion of a reactive adhesion layer metal up through the bottom electrode of oxide-based nano-crosspoint switches can unexpectedly control the polarity and reproducibility of electronic memristive switching. Energy-filtered transmission electron microscopy and x-ray photoelectron spectroscopy show trace amounts of the adhesion metals Ti and Cr can diffuse at low temperatures 150-250 oC via grain boundaries through 15 nm thin film Pt bottom electrodes to locally reduce the 40 nm Ti oxide active switching layer. These localized TiO2-x ‘seeds’ define the electrical switching polarity of the devices and tune other device properties, including increased device yield to ~98%. Finally, I will introduce some recent circuit application demonstrations with these nanodevices in our Lab, including stateful logic (5) and hybrid circuits (6).References:1 J. Joshua Yang et al, Nanotechnology 2009, 20, 215201.2 J. Joshua Yang et al, Nature Nanotechnology 2008, 3, 429.3 J. Joshua Yang et al, Adv. Mater. 2009, 21, 3754.4 J. Joshua Yang et al, Adv. Mater. 2010, (in press.).5 J. Borghetti et al, Nature 2010 464, 873.6 Q. F. Xia et al, Nano Lett. 2009, 9, 3640.
11:30 AM - K4.6
Peering Inside Nanoscale Metal-oxide Electronic Devices.
John Paul Strachan 1 , J. Joshua Yang 1 , Matthew Pickett 1 , Shaul Aloni 2 , A. David Kilcoyne 3 , Martin Holt 4 , Gilberto Medeiros-Ribeiro 1 , R. Stanley Williams 1
1 Information and Quantum Systems Lab, Hewlett-Packard Labs, Palo Alto, California, United States, 2 Molecular Foundry, Lawrence Berkeley Labs, Berkeley, California, United States, 3 Advanced Light Source, Lawrence Berkeley Labs, Berkeley, California, United States, 4 Advanced Photon Source, Argonne National Labs, Argonne, Illinois, United States
Show AbstractA wide range of transition-metal oxides exhibit electrically-driven resistance switching when arranged in metal-insulator-metal structures. Although well-characterized electrically, an understanding of the physical changes induced during this switching has been limited due to the challenges of directly observing subtle material changes occurring in a small volume between metal contacts. Here we describe [1] a set of experiments which measures the chemical, electronic, and structural properties within functioning resistance switching devices with nanoscale spatial resolution. These measurements have allowed us to identify several changes of phase within the resistance switching material, including the generation and ordering of oxygen vacancies in a localized region of functioning TiO2 based devices which serves as a conductive channel and the focal point for subsequent resistance switching. Significant heating is also observed to occur in nanoscale volumes during electrical operation, which can further serve to accelerate the electrochemical and ionic processes involved. We describe the generality of the observed switching mechanism to other systems such as tantalum oxide and how this material knowledge has yielded improvements in the device engineering. [1] J. P. Strachan, et al. Direct Identification of the Conducting Channels in a Functioning Memristive Device. Adv. Mater. Available online, DOI: 10.1002/adma.201000186.
11:45 AM - K4.7
Mapping Thermodynamics and Kinetics of Oxygen Vacancies on the Nanoscale.
Amit Kumar 1 , Stephen Jesse 1 , Sergei Kalinin 1
1 CNMS, Oak Ridge National Lab, Oak Ridge, Tennessee, United States
Show AbstractOxygen vacancies play a significant role in determining the functionality of electro-resistive devices, non-volatile memories based on resistive switching and solid oxide fuel cells. Traditionally, the study of the role of oxygen vacancies in these processes is limited by high activation temperature and macroscopic measurement techniques. Here, we demonstrate spatially resolved local probing of the thermodynamics and kinetics involving the generation and diffusion of oxygen vacancies by utilizing chemical expansivity of these oxides upon application of concentrated electric fields. Using Band excitation piezoresponse force microscopy (PFM), a strongly confined electric field at tip is used to drive the oxygen vacancies in these oxide materials and the resulting localized electrochemical strain is detected. A high frequency periodic bias is applied on the oxide material and the PFM tip acts as a probe of the local displacement arising due to migration of oxygen vacancies. Local strain hysteresis loops driven by vacancy diffusion have slow dynamics and thus open up. Mapping the loop opening as a function of the final bias allows establishment of the onset and kinetics of the diffusion process. Signal relaxation measurements enable us to locally characterize the diffusion dynamics of the vacancies. In mixed ionic-electronic oxide systems, we also utilize current-voltage measurements to probe the electronic transport . Correlated mapping of the local oxygen vacancy movement and diffusivity has been achieved with a resolution of 30 nm. The mapping of vacancies is demonstrated on purely ionic oxides (Yttrium stabilized zirconia), mixed ionic electronic conductor oxide (Lanthanum Strontium cobaltate) and ferroelectric oxides with mixed ionic electronic conductivity (Bismuth Iron oxide).This research was conducted at the Center for Nanophase Materials Sciences, which is sponsored at Oak Ridge National Laboratory by the Division of Scientific User Facilities, U.S. Department of Energy.
12:00 PM - K4.8
Titanium Dioxide Nanoparticles for Memristive Nanoelectronics.
Zachary Rice 1 , Magnus Bergkvist 1 , Joseph Van Nostrand 2 , Nathaniel Cady 1
1 , College of Nanoscale Science & Engineering, Albany, New York, United States, 2 , Air Force Research Labs, Rome, New York, United States
Show AbstractMemristors have been coined as the forth fundamental circuit element relating electrical charge and flux. These devices have the potential to store information by reversibly changing their resistive state from a highly resistive state (HRS) to a low resistive state (LRS) in a non-volatile manner. The fundamental materials transformations that occur during this transition are still being actively investigated, particularly for metal oxides including TiOx, HfOx, CuOx, and ZnOx, which have all been shown to exhibit resistive switching behavior. While switching behavior has been primarily observed for thin film materials, there is a limited body of published work showing resistive switching within nanomaterials/nanoparticles. This study investigates the ability to control synthesis of titanium dioxide nanoparticles using a variety of solution based techniques, and uses these particles in conjunction with conductive atomic force microscopy (C-AFM) to study the electrical properties within nanomaterials.Titanium dioxide nanoparticles were synthesized from a titanium isopropoxide precursor by a variety of solution-based synthesis methods. The resulting nanoparticles were analyzed using TEM, SEM, XRD, AFM, and zeta potential to determine their size, shape, crystallinity, and charge. TiO2 nanoparticles were then dispersed onto thin films of Cu and Pt for examination of their electrical properties using C-AFM. Current-voltage (IV) measurements were performed on surface-deposited particles using a Pt/Ir tip in contact mode. The substrate in which the nanoparticles were distributed was biased, while the AFM tip was used as the counter electrode to perform measurements through the TiO2 NPs. In addition to single-particle measurements, small clusters of nanoparticles were isolated in nanocavities 70-200 nm in diameter, which were fabricated on top of a Cu substrate. Nanocavities were filled by dispersing TiO2 nanoparticles onto the surface and pressing them into place with an elastomer-based applicator. These structures were then capped with 1um x 1um Pt contacts using focused ion beam (FIB) deposition. Electrical characterization of particles on thin films has shown diode-like behavior for all particle synthesized, most likely due to high contact resistance between tip and particle. Pt-capped nanocavity structures have demonstrated ohmic contact behavior and are currently being used as a test system for understanding the memristive properties of TiO2 nanomaterials, as well as those formed from other metal oxides.
12:15 PM - **K4.9
The Role of Temperature Gradients and Thermophoresis on Resistive Switching.
Dmitri Strukov 1
1 , UC Santa Barbara, Santa Barbara, California, United States
Show AbstractDespite the fact that memristive switching in transition metal oxide thin film devices was observed more than half a century ago the physics of this phenomenon is still largely under debate. Earlier our group proposed a model for resistive switching in titanium dioxide devices based on oxygen vacancy profile modulation. In this talk we extend our earlier work by including thermal effects arising due to Joule heating upon switching. In particular, we show that consideration of temperature gradients and thermophoresis could explain coexistence of unipolar and bipolar switching in transition metal oxide devices and play crucial role in switching dynamics. We then briefly discuss implications of self heating on the performance characteristics of memristive devices.
K5: Multifunctional Oxides
Session Chairs
Peter Maksymovych
Xiaoqing Pan
Tuesday PM, November 30, 2010
Room 302 (Hynes)
2:30 PM - **K5.1
Novel Properties in Artificial Ferroelectric Superlattices.
Guus Rijnders 1 , Jeroen Blok 1
1 Faculty of Science and Technology and MESA+ Institute for Nanotechnology, University of Twente, Enschede Netherlands
Show AbstractIn recent years, the effect of strain and symmetry on the properties of epitaxial ferroelectric perovskites ABO3 has been studied by many groups. However in most cases the studied systems were grown on (001) oriented substrates. Growing ferroelectrics in the <111> direction allows us to apply strain in a different way and, furthermore, if [1:1] superlattices are grown of two different materials it would result in films where the neighbouring atoms on both A-site and B-site are always different. The symmetry in such double perovskites will be altered and interesting as well as unique ferroelectric properties are expected. We fabricated such double perovskite superlattices in the <111> direction. Using strain matching (that is selecting materials with equal, but opposite strain), we managed to keep the surface of the superlattice atomically smooth even after the growth of 100 monolayers. We will show this for the growth of a CaTiO3 - SrMnO3 as well as CaTiO3 – BiFeO3 superlattices on a (111) LaAlO3 and SrTiO3 substrate. We have used X-ray diffraction to show that the superlattice is fully strained to the substrates. In this contribution we will focus on the growth and structural properties of the superlattices, as well as the resulting properties.
3:00 PM - K5.2
Strain-induced Enhancement of Magnetoresistance in La0.7Sr0.3MnO3 Films.
Franklin Wong 1 2 , Shaobo Zhu 1 , Jodi Iwata 1 , Yuri Suzuki 1 2
1 Materials Science and Engineering, University of California, Berkeley, Berkeley, California, United States, 2 Materials Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, California, United States
Show AbstractThere has been considerable effort toward investigating the class of perovskite manganites that exhibit colossal magnetoresistance (CMR). Though it is generally agreed upon that double exchange alone cannot account for CMR in these materials, particularly in the ones with the highest values of magnetoresistance (MR), a complete understanding of the role of phase separation and lattice distortions has yet to be achieved. We focus on the role of strain on La0.7Sr0.3MnO3 (LSMO) thin films. Bulk crystals of this alloy composition show a high Curie transition temperature (Tc), but modest MR values. For a given carrier concentration, lattice distortions can profoundly alter the properties and phase stability of these manganites. To this end, we have exploited the different strain states that (100), (110), and (111) LaAlO3 substrates impose on overlying LSMO films to study the relationship between structure and MR in LSMO. X-ray diffraction data show that films on (100) substrates are coherently strained, while films on (111) substrates are fully relaxed. The films on (110) substrates are coherently strained along the in-plane [001] direction but relaxed along the [1-10] direction. Therefore, the three different substrate orientations yield three distinct strain states. A close look at the MR of the three films reveals marked differences. The relaxed film on the (111) substrate has a maximum MR of -25% at 50 kOe near its Tc of 350 K and a dramatic decrease of MR with lower temperature, similar to the behavior of the bulk. On the other hand, the coherently strained film on the (100) substrate, with Tc of about 338 K, exhibits an MR of -64% at 5 K and values still greater than -35% for T < 250 K. MR values of such magnitudes in the given temperature range have never been reported in bulk crystals of the same stoichiometry. At temperatures well below their Tc’s, the MR values of the partially relaxed (110) and fully relaxed (111) film are substantially lower. Enhanced low-temperature MR in coherently strained LSMO films suggests possible avenues of exploiting heteroepitaxial strain to control phase stability and possible phase coexistence for tuning the magnetic and transport properties of thin-film manganites.
3:15 PM - K5.3
Thickness Dependent Electric/Magnetic Response and Electric Field Induced Anisotropic Transport Properties of Phase Separated (La0.4Pr0.6)0.67Ca0.33MnO3 Thin Films on NdGaO3 Substrates.
Hyoung Jeen Jeen 1 , Rafiya Javed 1 , Amlan Biswas 1
1 Physics, University of Florida, Gainesville, Florida, United States
Show Abstract(La0.4Pr0.6)0.67Ca0.33MnO3 (LPCMO) exhibits micrometer scale phase coexistence in a certain temperature range. Each magnetic phase is coupled with an electronic phase i.e. the ferromagnetic metallic phase (FMM), the antiferromagnetic charge ordered insulating phase (AFM-COI), and the paramagnetic insulating phase (PMI). The resistivity and metal-insulator transition temperature in LPCMO are not only susceptible to magnetic fields but can also be tuned by electric fields [1, 2]. To understand the underlying physical principles of the electric field effect, we have addressed two questions viz. 1) Does micrometer scale phase coexistence show different electronic and magnetic behaviors as a function of film thickness due to lattice mismatch strain relaxation? 2) What is the effect of an electric field at the micrometer scale? To answer the first question, we grew atomically smooth 30-nm-thick and 240-nm-thick LPCMO thin films on NdGaO3 (NGO) substrates. We observed a single domain to multi-domain transition in the 30-nm-thick LPCMO thin film and no domain transition in the thicker film. From the transport and magnetization measurements of the 30-nm-thick film, we have also confirmed reduction of the AFM-COI phase due to substrate induced tensile strain. To answer the second question, we grew 20-nm-thick LPCMO thin films on NGO substrates, and then fabricated micrometer scale cross structures using photolithography and wet etching. From simultaneous resistance measurements along two perpendicular directions of the cross structure, we have observed an electric field induced anisotropic resistance. Our data suggest that the colossal electroresistive behavior in manganites can be explained using a combination of the dielectrophoresis and dielectric breakdown models [3, 4]. [1] M. Uehara, S. Mori, C. H. Chen, and S.-W. Cheong, Nature, 399, 560 (1999) [2] T. Dhakal, J. Tosado, and A. Biswas, Phys. Rev. B, 75, 092404 (2007)[3] S. Dong, H. Zhu, and J.-M. Liu, Phys. Rev. B, 76, 132409 (2007)[4] P. D. Beale and P. M. Duxbury, Phys. Rev. B, 37, 2785 (1988)
3:30 PM - K5.4
Role of Strain in 2DEG at LaAlO3/SrTiO3 Heterointerfaces on SrTiO3 Templates.
Chung Wung Bark 1 , David Felker 2 , Ho Won Jang 1 , Chad Folkman 1 , Jae Wan Park 1 , Seung Hyub Baek 1 , Mark Rzchowski 2 , Chang-Beom Eom 1 , Yi Zhang 3 , Xiaoqing Pan 3 , Evgeny Tsymbal 4
1 Department of Materials Science and Engineering, University of Wisconsin-Madison, Madison, Wisconsin, United States, 2 Department of Physics, University of Wisconsin-Madison, Madison, Wisconsin, United States, 3 Deptartment of Materials Science and Engineering, Univresity of Michigan, Ann Arbor, Michigan, United States, 4 Department of Physics and Astronomy and Nebraska Center for Materials and Nanoscience, University of Nebraska–Lincoln, Lincoln, Nebraska, United States
Show AbstractThe recent discovery of a two-dimensional electron gas (2DEG) at the SrTiO3/LaAlO3 heterointerface has been made possible by advances in growth techniques that allow the creation of atomically-abrupt interfaces between complex oxide materials. Extensive research suggests that the conducting layer can be localized within a few nanometers of the interface and that an electronic reconstruction is responsible for the emergence of this new interfacial material. Despite the rich nature of the strain effect in ferroelectric thin films, the relationship between the strain and electrical properties in two-dimensional electron gas (2DEG) at the LaAlO3/SrTiO3 heterointerface has remained unclear. By using the LaAlO3 layer on Ti-terminated quasi-single-crystal (001) SrTiO3 templates as a model system, we have studied 2DEG behavior at the LaAlO3/SrTiO3 interface under different bi-axial strain states. We have found that tensile strain suppresses to form 2DEG at the LaAlO3/SrTiO3 interface while compressive strain is allowed to form 2DEG at the LaAlO3/SrTiO3 interface through formation polarization discontinuity. We will also discuss the ability to form reversible conducting nanostructures by conductive AFM in order to explore oxide nanoelectronic devices on a scalable substrate platform.
3:45 PM - K5.5
In-situ X-ray Scattering of Ferroelectric/Dielectric Superlattices in Response to Applied Electric Field.
Ji Young Jo 1 , Rebecca Sichel 1 , Pice Chen 1 , Eric Dufresne 2 , Ho Nyung Lee 3 , Serge Nakhmanson 4 , Paul Evans 1
1 Department of Materials Science and Engineering, University of Wisconsin-Madison, Madison, Wisconsin, United States, 2 Advanced Photon Source, Argonne National Laboratory, Argonne, Illinois, United States, 3 Materials Science and Technology Division, Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States, 4 Materials Science Division, Argonne National Laboratory, Argonne, Illinois, United States
Show Abstract The responses of complex oxides to external electric fields provide functionalities including the switching of remnant polarization and electromechanical distortion. In ferroelectric/dielectric superlattices with nanometer-scale repeating units both components are predicted to possess non-zero remnant polarization and consequently can be expected to have large electromechanical responses via piezoelectricity. The responses of each components of the superlattice have not previously been probed individually due to a lack of in situ experimental methods. To study the electromechanical responses arising from the ferroelectric and dielectric components, we have performed a synchrotron time-resolved x-ray microdiffraction study of a 2(BaTiO3)/4(CaTiO3) superlattice while an external electric field was applied. The overall strain of the superlattice obtained from the shift of the superlattice reflections within a range of electric fields up to 1 MV/cm shows two regimes. In the high-field regime above 0.4 MV/cm, the strain is proportional to the applied electric field, consistent with piezoelectric properties arising from the remnant polarization, and exhibiting a piezoelectric coefficient of 54 pm/V. Comparing kinematic x-ray diffraction predictions of the superlattice diffraction pattern with the experimental results shows that both ferroelectric and dielectric components contribute equally to the overall piezoelectric strain. These results agree with density functional theory predictions that both ferroelectric and dielectric components develop large piezoelectric distortions in response to an applied field. In the low-field regime (below 0.4 MV/cm), the strain is a non-linear function of the applied electric field, possibly due to the initial incomplete switching of domains. Recent theoretical and experimental studies of the ferroelectric/dielectric superlattices have reported the existence of domains with two opposite directions in zero external field, but the dynamics of domains at applied electric field have not yet been studied. To study the initial distortion arising from the applied electric field, the electromechanical response to nanosecond-duration electric-field pulses was experimentally measured. In this regime, the strain increases with time and then reaches a maximum. The time required to reach the maximum strain decreases as the magnitude of the applied electric field increases.
4:30 PM - **K5.6
Ferroelectric-magnetic Imaging with Photoemission Electron Microscopy.
Mikel Holcomb 1 , Jinling Zhou 1 , Charles Frye 1 , Disheng Chen 1 , Evan Wolfe 1 , Andreas Scholl 2 , Ying-Hao Chu 3
1 Physics, West Virginia University, Morgantown, West Virginia, United States, 2 Advanced Light Source, Lawrence Berkeley National Laboratory, Berkeley, California, United States, 3 Materials Science & Engineering, National Chiao Tung University, HsinChu Taiwan
Show AbstractRecently discovered ferroelectric contributions to linear dichroism bring x-ray absorption spectroscopy (XAS) and photoemission electron microscopy (PEEM) into the forefront of techniques that can study the properties of magnetoelectrics and buried interfaces. Since XAS and PEEM are sensitive to both magnetism and ferroelectricity, care has to be taken to separate the contributions in order for these techniques to capitalize on their usefulness. Angular studies of the ferroelectric contribution to x-ray linear dichroism reveal a similar dependence to that of magnetic samples, allowing a formula for linear dichroism in complex samples. In materials with both ferroelectric and magnetic contributions, temperature dependent measurements reveal the weighting of the components. This development allows this dynamic approach to be used to study the effect of ferroelectricity on interface coupling in a variety of material combinations, such as LSMO/PZT wedged thin films. These measurements are complemented by surface and interface sensitive second harmonic generation studies.
5:00 PM - K5.7
Charge Transfer at La1-xSrxMnO3 / SrTiO3 Interfaces Probed by Spectroscopic Imaging in an Aberration-corrected STEM.
Julia Mundy 1 , Lena Fitting Kourkoutis 1 , Yasuyuki Hikita 2 , Takeaki Hidaka 2 , Harold Hwang 2 3 , David Muller 1
1 Applied and Engineering Physics, Cornell University, Ithaca, New York, United States, 2 Dept of Advanced Materials Science, University of Tokyo, Kashiwa, Chiba, Japan, 3 , Japan Science and Technology Agency, Kawaguchi, Saitama, Japan
Show AbstractAs a function of temperature, pressure and magnetic field, transition metal oxides can access almost every physical ground state known. Due to the close lattice match of many materials in this class, engineered heterostructures can be created by epitaxially growing one oxide on another; the properties at this oxide-oxide interface can be wildly different from those in the bulk. For the successful integration of these exotic phases into devices understanding the chemical and electronic reconstructions at the interface is crucial as it can dominate the properties of the thin films. Here, we show how atomic-resolution electronic structure information can be reliably extracted from spectroscopic imaging and related to physical and transport properties. Using these techniques we explore growth and intrinsic effects at interfaces of a series of La1-xSrxMnO3 (LSMO) oxides grown on 0.01 wt% Nb-doped SrTiO3 (STO). Scanning Transmission Electron Microscopy (STEM) in combination with Electron Energy Loss Spectroscopy (EELS) allows for spatially resolved, chemically sensitive investigations of oxide interfaces. We used a Nion 5th-order aberration corrected 100 keV dedicated STEM to collect spectroscopic images of five LSMO / STO interfaces ranging from x = 0 (LaMnO3) to x = 0.5 (La0.5Sr0.5MnO3). There is substantial chemical inter-diffusion in the LaMnO3 interface not manifest in the Sr doped samples (x>0). In addition to understanding this chemical inter-diffusion, detailed analysis of the EELS fine structure across the interface lends insight into the corresponding electronic reconstruction.In particular, we tracked the interfacial fine structure changes of the Mn L2,3 edge as a function of doping. The formal Mn valence ranges from +3 to +3.5 as the Sr concentration is increased from x=0 to x=0.5. At the interface, we observe a decrease in the Mn valence as expected from the polar discontinuity model. To quantify this valence change, we used multivariate curve resolution (MCR) on the LaMnO3/STO interface to extract an interfacial Mn component. All five spectroscopic images were then fit with a linear combination of Mn reference spectra. This allows us to quantify the excess charge at the individual interfaces to directly compare to the polar discontinuity model. We further connect the presence of this interfacial charge with transport measurements performed on the samples.
Symposium Organizers
Gilberto Medeiros-Ribeiro Hewlett-Packard Laboratories
Jeremy Levy University of Pittsburgh
Rainer Waser Institut fuer Festkoerperforschung
Harold Hwang Stanford University
K9: Poster Session: Heterostructures and Metal-Insulator Transitions
Session Chairs
Wednesday PM, December 01, 2010
Exhibition Hall D (Hynes)
K6: Epitaxial Structures and System Synthesis I
Session Chairs
Gilberto Medeiros-Ribeiro
Darrell Schlom
Jianhua Yang
Wednesday PM, December 01, 2010
Room 302 (Hynes)
9:45 AM - K6.1
Manipulating the Bandgap of SrTiO3 via Strain-controlled Phase Transitions. Part I--Theory.
Robert Berger 1 , Craig Fennie 2 , Jeffrey Neaton 1
1 Molecular Foundry, Lawrence Berkeley National Laboratory, Berkeley, California, United States, 2 School of Applied and Engineering Physics, Cornell University, Ithaca, New York, United States
Show AbstractSrTiO3 is a representative of the property-rich perovskite family, and a material whose ability to convert solar photons to H2 fuel would be more efficient if its wide optical bandgap (3.25 eV) better matched the solar spectrum. For both reasons, there is interest in modifying the crystal structure of SrTiO3 to tune its electronic structure and bandgap. Varying biaxial strain and temperature have been shown in past experiment and theory to modify the SrTiO3 crystal structure via ferroic distortions. In this work, density-functional theory (DFT) within the local-density approximation (LDA) is used to study the effects of biaxial strain and temperature on the bandgap of SrTiO3. In-plane lattice parameters are constrained to values within ±4% of the optimized cubic lattice parameter, and all other structural parameters are allowed to relax. Room-temperature structures of strained SrTiO3 are constructed using a Landau-Ginzburg-Devonshire model. As DFT-LDA is known to underestimate bandgaps compared to experiment, the validity of DFT-LDA bandgap trends is explored using many-body perturbation theory within the GW approximation. We show that experimentally achievable conditions can be expected to tune the gap of SrTiO3 by 10–20%. General symmetry arguments are used to rationalize the observed trends in bandgap vs. strain and structural distortion, suggesting that similar trends hold across the perovskite family. This work is supported as part of the Energy Materials Center at Cornell (EMC2), an Energy Frontier Research Center funded by the U.S. Department of Energy, Office of Science, Office of Basic Energy Sciences under Award Number DE-SC0001086.
10:00 AM - K6.2
Manipulating the Bandgap of SrTiO3 via Strain-Controlled Phase Transitions. Part II--Experiment.
Charles Brooks 1 2 , Dagmar Chvostova 3 , Vladimir Trepakov 3 , Nik Podraza 4 , Lena Fitting Kourkoutis 5 , Tassilo Heeg 1 , Juergen Schubert 7 , Margitta Bernhagen 6 , Reinhard Uecker 6 , David Muller 5 , Alexandr Dejneka 3 , Darrell Schlom 1
1 Materials Science and Engineering, Cornell University, Ithaca, New York, United States, 2 Materials Science and Engineering, Penn State University, University Park, Pennsylvania, United States, 3 Institute of Physics ASCR, Na Slovance 2, Prague 8, 182 21, Czechia, 4 Electrical Engineering, Penn State University, University Park, Pennsylvania, United States, 5 Applied and Engineering Physics, Cornell Unviersity, Ithaca, New York, United States, 7 Institute of Bio and Nanosystems, Research Center Jülich, Jülich, D-52425, Germany, 6 Institute for Crystal Growth, Max-Born-Strasse 2, Berlin, D-12489, Germany
Show AbstractSrTiO3 is a representative of the property-rich perovskite family and a material whose ability to convert solar photons to H2 fuel would be more efficient if its wide optical bandgap (3.25 eV) better matched the solar spectrum. For both reasons, there is interest in modifying the crystal structure of SrTiO3 to tune its electronic structure and bandgap. Varying biaxial strain and temperature have been shown in past experiment and theory to modify the SrTiO3 crystal structure via ferroic distortions. In this study, films of SrTiO3 are deposited by reactive molecular beam epitaxy (MBE) under a range of biaxial strain conditions to control the optical bandgap of SrTiO3. Selecting epitaxial strain conditions from 2.9% compressive strain to 2.2% tensile strain enables tuning of the bandgap by 10% (0.3 Ev). In order to ensure the films are fully commensurate, the SrTiO3 is grown to thicknesses of 20 nm or 10 nm depending on the magnitude of the biaxial strain. Structural quality is verified by high-resolution x-ray diffraction (XRD) and all films have substrate-limited rocking curve full width at half maximum with values as narrow as 20 arc sec (0.006°). Film microstructure is examined by high-resolution transmission electron microscopy (HRTEM). Spectroscopic ellipsometry is used to establish the dielectric function in the VIS-UV spectral range and determine the bandgap of the strained SrTiO3 films. Bandgap behavior as a function of strain is in close agreement with theoretical predictions.
10:15 AM - **K6.3
2-D Growth of Smooth Cubic Epitaxial Oxides on GaN.
Elizabeth Paisley 1 , Tony Rice 1 , Ramon Collazo 1 , Zlatko Sitar 1 , Brady Gibbons 2 , Jon-Paul Maria 1
1 Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina, United States, 2 Mechanical, Industrial, and Manufacturing Engineering, Oregon State University, Corvallis, Oregon, United States
Show AbstractEpitaxial integration of complex oxides with wide band gap polar semiconductors such as GaN (0002) presents the possibility for high-power, high-frequency, and nanoscale electronics by virtue of 2-D charge carriers at polar interfaces and possible access to non-linear dielectric properties. This work describes synthesis and characterization of such thin film heterostructures by MBE, with specific attention given to controlling film growth. Our previous work has shown growth of high-quality MgO (111) and CaO (111) films on GaN with measured valence band offsets > than 1 eV and conduction band offsets ~ 3.2 eV (MgO) and ~2.5 eV (CaO). However, the terminal 3D rocksalt growth surface is determined by the tendency for the high-energy (111) polar orientation to form (100)-oriented lower-energy facets, and the interfacial symmetry between cubic MgO and hexagonal GaN. Realizing smooth oxide films on GaN which can support high mobility 2-D carrier populations remains a synthesis challenge, and requires the ability to overcome the rocksalt (001) faceting tendency. In this presentation we will discuss a newly-developed surfactant approach to MBE growth of rocksalt oxides utilizing water vapor to hydroxylate CaO and MgO (111) surfaces in situ, which changes the equilibrium crystal habit from cubic to octahedral, eliminating the (100) faceting tendency and enabling layer-by-layer growth. RHEED oscillations and AFM images of such films show 2D growth, suggesting that regulating the surface chemistry with a transient surface species plays a predominant role in determining the preferred surface orientation. We demonstrate through electrical property measurements the impact of smooth (111) rocksalt surfaces: leakage current densities for thin CaO films are reduced by two orders of magnitude when films of equivalent thickness are grown using a 2-D vs. a 3-D mode.
11:30 AM - **K6.5
Effects of Confinement on Carriers in Oxide Nanostructures.
Warren Pickett 1 , Pardo Victor 1 , Swapnonil Banerjee 1 , Rajiv Singh 1
1 Physics, UC Davis, Davis, California, United States
Show AbstractOxide heterostructures have generated much recent interest, with polar discontinuities and catastrophes playing a large part. However, non-polar heterostructures may also produce unconventional behavior. Our density functional based studies of multilayer (TiO2)m/(VO2)n nanostructures (d0-d1 difference but no polar discontinuity) reveal a metal-insulator transition with respect to the VO2 layer thickness, but one of an unconventional (and unique) type.1 For n>4 layers, the VO2 slab is a half-metallic ferromagnet, while being and insulating antiferromagnet for n = 1 and 2. The metal-insulator transition occurs through a new point Fermi surface phase for n = 3 and 4. This phase is reminiscent of graphene with its Dirac points, but is distinct in all other ways. Quantum confinement, rather than interfacial physics, cause this unique band structure. The electron and hole dispersion is massless [vk] along the 2D zone diagonal in k-space and is massive [k^2/2m*] along the perpendicular direction. Consequences of this unusual dispersion will be presented, and an illustrative tight binding model with this behavior will be discussed. In a distinct example, a unique type of Mott insulating state in the nickelate La4Ni3O8 – with its cuprate-like 3d configuration --will be described. The observed insulating behavior seems to require charge disproportion and order d8+2d9 charge states. However, we obtain the insulating state from LDA+U calculations where the basic correlated orbital is not the Ni atomic d orbital, but a molecular (Ni1-Ni2-Ni1) orbital.1.V. Pardo and W. E. Pickett, Half-Metallic semi-Dirac Point Generated by Quantum Confinement in TiO2/VO2 Nanostrucutres. Phys. Rev. Lett. 102, 166803 (2009). 2.S. Banerjee, R. R. P. Singh, V. Pardo, W. E. Pickett, Tight-binding modeling and low-energy behavior of the semi-Dirac point, Phys. Rev. Lett. 103, 016402 (2009).3.V. Pardo and W. E. Pickett, Quantum confinement induced molecular Mott insulating state in La3Ni3O8, preprint.
12:00 PM - K6.6
Thermal Transport in Atomic-scale Superlattices.
Darrell Schlom 3 , Aleksandr Chernatynskiy 2 , Simon Phillpot 2 , Alexander Melville 3 , Carolina Adamo 3 , Che-Hui (Kevin) Lee 3 , David Cahill 4 , Mark Zurbuchen 1
3 Department of Materials Science and Engineering, Cornell University, Ithaca, New York, United States, 2 Department of Materials Science and Engineering, University of Florida, Gainesville, Florida, United States, 4 Department of Materials Science and Engineering, University of Illinois, Urbana, Illinois, United States, 1 , University of California, Los Angeles, Los Angeles, California, United States
Show AbstractThermal control is becoming increasingy important to microelectronics, and there is a need for the development of layers with controlled (low or high) thermal conductivity to enable future thermal management technologies. A superlattice approach has been taken to probe the absolute limits of modification of the thermal conductivity of crystalline solids. An extensive experimental-simulation coupled study was performed across all applicable length scales – from single crystal to multilayer to superlattice to atomic-scale superlattices. We studied the cross-plane nanoscale thermal transport in these materials with controlled layering down to the atomic scale, a regime beyond any previous thermal transport study, by creating engineered superlattices as well as natural superlattice phases. The study closely tied synthesis and simulation to yield a powerful predictive tool-set for studying thermal control layers for microelectronic devices. The insight gained into the relative contributions of several superlattice parameters – spacing, atomic mass variation, and so on – allows for an informed exploration of this space, and points to several promising new paths to take toward ultra-low thermal-conductivity oxides. A cross-over from a bulk-like wave regime to a particle regime for heat conduction by both simulation and synthesis experiments was observed in two separate systems, implying that these layers can be tuned for maximum thermal insulation for a given application temperature. Results of synthesis and simulation expewriments will be presented, along with characterization of these layers.
12:15 PM - K6.7
Field-induced Electronic Doping in Epitaxial Oxide Thin Film Heterostructures.
J. Eastman 1 , B. Veal 1 , P. Baldo 1 , M. Highland 1 , D. Fong 1 , P. Zapol 1 , H. Iddir 1 , B. Kabius 1 , P. Fuoss 1
1 Materials Science Division, Argonne National Laboratory, Argonne, Illinois, United States
Show AbstractEfficient electron or hole doping through cation substitution and/or oxygen partial pressure (pO2)-driven changes in oxygen vacancy concentration is essential to obtaining high electronic conductivity in oxide semiconductors. At the low-to-moderate temperatures of interest in oxide nanoelectronics, slow oxygen diffusion rates, and low oxygen surface exchange rates may place severe kinetic limitations on the number of carriers that can be generated and the rate at which an oxide semiconductor’s carrier concentration can be modified in response to a change in pO2.Here we describe experiments in which the electron or hole doping level in epitaxial thin film electronic or mixed conductors, including In2O3, Co3O4, or SrTiO3, is controlled by transport of oxygen vacancies across an epitaxial interface with a substrate comprised of an oxygen ion conductor, such as Y2O3-stabilized ZrO2. We are investigating the behavior of these heterostructures through electrical measurements, first-principles calculations, synchrotron x-ray studies, and high resolution electron imaging. Four-point DC electrical measurements have been performed under a variety of pO2 and temperature conditions. When a constant voltage is applied between parallel electrodes on the film surface, we observe large, reversible increases in conductance at rates that depend on temperature and pO2. Furthermore, the magnitude of the conductance increase is observed to systematically vary laterally across the sample, indicating that a gradient in carrier concentration is established in the film in response to the electrical field. We will discuss mechanisms for this interesting and potentially useful behavior, including the possibility that the behavior arises due to asymmetric oxygen entry and exit rates at film/substrate/gas-environment triple junctions.This work is supported by the U.S. Department of Energy, Office of Science, Office of Basic Energy Sciences, under contract No. DE-AC02-06CH11357.
12:30 PM - K6.8
Transport Properties of Oxygen Vacancies in Donor Doped Lead Zirconate Titanate (PZT).
Juergen Fleig 1 , Till Froemling 1 , Herbert Hutter 1
1 , Vienna University of Technology, Vienna Austria
Show AbstractPb(Zr,Ti)O3 (PZT), BaTiO3, and SrTiO3 are perovskite-type materials with enormous importance in applications such as actuators, sensors, thermistors, capacitor or memory devices and with high potential in several fields of oxide nanoelectronics. A high level of understanding into defect chemistry and charge transport properties is available for SrTiO3 and BaTiO3, particularly for bulk materials. Much less information even on bulk properties can be found for PZT. First investigations showed that fundamental defect chemical differences compared to BaTiO3 and SrTiO3 are not to be expected. However, the presumably high Schottky equilibrium constant due to the substantial PbO volatility in PZT is far from being quantified and raises questions such as that on the oxygen vacancy concentration in donor doped PZT. This is particularly critical since oxygen vacancies are often discussed as a major source of degradation / fatigue / aging phenomena in devices based on PZT. Moreover, the role of grain boundaries and other interfaces in mass and charge transport is only fragmentary understood though possibly highly relevant in oxide nanoelectronics.This contribution reports results of an 18O2 exchange/SIMS study on donor doped PZT. 18O2 isotope exchange was performed at temperatures between 350 °C and 680 °C and the resulting 18O concentration profiles were analyzed by secondary ion mass spectrometry (SIMS) with high depth resolution. For analyzing the effect of electric fields on tracer motion, experiments took place with and without application of large voltages during the isotope exchange and also using high field stress after field-free tracer incorporation. Among others, these measurements revealed very fast oxide ion diffusion along grain boundaries; transport properties obtained from these tracer experiments can be compared to those of electrical conductivity measurements. Moreover, a surface-near layer of a few 100 nm thickness is found with strongly different oxide ion transport properties and high fields substantially varied the tracer distribution. All results are discussed in terms of defect chemistry and interfacial space charge layers and demonstrate that even in donor-doped PZT oxygen vacancies and oxide ion transport can play a very important role.
12:45 PM - K6.9
Preparation and Piezo- and Ferroelectric Properties Pb(Zr,Ti)O3 One-dimensional Nanorods and Nanotubes by MOCVD.
Masaru Shimizu 1 , Yasunori Imi 1 , Hironori Fujisawa 1 , Seiji Nakashima 1 , Yasutaka Kotaka 2 , Koichiro Honda 2
1 , Univ. Hyogo, Himeji Japan, 2 , Fujitsu Laboratories Ltd., Atsugi Japan
Show AbstractOne-dimensional (1D) nanosized ferroelectrics, such as nanowires, nanorods and nanotubes, have received considerable attention from the view point not only their unique physical properties but also potential applications. Most commonly, solution–phase decomposition, hydrothermal method and spinning of sol-gel precursor were employed for the synthesis of ferroelectric nanowire, nanorods and nanotubes.In the present study, we demonstrate the fabrication of PbTiO3 and PZT nanorods and nanotubes by MOCVD using a ZnO positive template. Their crystalline and piezo- and ferroelectric properties were investigated.In the first stage of our experiments, ZnO nanorods with high aspect ration were prepared on SiO2/Si by MOCVD using Zn(C2H5) as a source precursor and O2 as an oxidizing gas.In the next stage, PbTiO3 and PZT were deposited onto ZnO nanorods at around 550oC by MOCVD. ZnO/PbTiO3 and ZnO/PZT core-shell heterostructured nanorods with dieters of 200-500nm were successfully fabricated. PbTiO3(113) was grown on ZnO(10-10). From PFM (Piezoresponse Force Microscopy) measurements, ZnO/PbTiO3 and ZnO/PZT core-shell structures showed piezoelectric hysteresis loops, proving to be ferroelectric.In order to obtain PbTiO3 and PZT nanotubes, ZnO/PbTiO3 and ZnO/PZT core-shell structure were dipped into acid solution. By selective etching of core ZnO, PbTiO3 and PZT nanotubes were successfully obtained.Piezoelectric hysteresis loops with polarization reversal were observed from these nanotubes, proving ferroelectricity. PbTiO3 nanotube showed the strain induced by an electric field of 1.2% of the diameter which was larger than that of thin film, 0.4%. This large strain may be caused by the contribution of deformation of the nanotube in addition to the intrinsic piezoelectric displacement of PbTiO3 itself.
K7: Novel Nanostructures and Devices
Session Chairs
Wednesday PM, December 01, 2010
Room 302 (Hynes)
2:30 PM - **K7.1
Oxide Nanoelectronics on Silicon.
Chang-Beom Eom 1
1 Materialas Science and Engineering, University of Wisconsin-Madison, Madison, Wisconsin, United States
Show AbstractReversible nanoscale control over the metal-insulator transition1 in a two-dimensional electron gas (2DEG), formed at the heterointerface between LaAlO3 and SrTiO3 raises the possibility to develop ultrahigh-density oxide nanoelectronics3. Prerequisites to the development of new technologies are integration with existing semiconductor electronics platforms and scaling to a commercially available large wafer process. Here, we demonstrate room-temperature conductivity switching of 2DEG nanowires formed at atomically sharp LaAlO3/SrTiO3 heterointerfaces grown directly on (001) Si substrates. The room temperature electrical transport properties of LaAlO3/SrTiO3 heterointerface on Si are comparable to those formed from SrTiO3 bulk single crystal. The demonstrated ability to form reversible conducting nanostructures directly on silicon wafers opens new opportunities for incorporation of ultra-high-density oxide nanoelectronic memory and logic elements into well-established silicon-based platforms. This works has been done in collaborationn with J. W. Park, D. F. Bogorin, C. Cen, D. A. Felker, Y. Zhang, C. T. Nelson, C. W. Bark1, C. M. Folkman, X. Q. Pan, M. S. Rzchowski, J. Levy
3:00 PM - K7.2
Synthesis of Ultra-thin-walled Pb(Zr,Ti)O3 Nanotubes.
Sang Don Bu 1 , Yong Chan Choi 1 , Jin Kyu Han 1 , Sun A Yang 1 , Sang MO Yang 2 , Tae Won Noh 2 , Duhee Yoon 3 , Hyeonsik Cheong 3
1 Department of Physics, Chonbuk National University, Jeonju Korea (the Republic of), 2 ReCOE & FPRD, Department of Physics and Astronomy, Seoul National University, Seoul Korea (the Republic of), 3 Department of Physics, Sogang University, Seoul Korea (the Republic of)
Show AbstractWe present ultra-thin-walled Pb(Zr,Ti)O3 nanotubes (PZT-NTs) by a template-directed growth in conjunction with the sol-gel process and spin-coating technique. A typical field emission transmission electron microscope (FETEM) image of a free-standing PZT-NT bundle shows that its outer diameter is estimated to be 50 nm with the wall thickness of 5 nm. The appearance of selected area electron diffraction (SAED) rings indicates that the nanotubes are polycrystalline. According to the electron diffraction formula, the major diffraction rings correspond to the (001), (110), (101), (111), (002), (102), and (112) reflections of the tetragonal phase of PZT, which were indexed by comparing the relative ratios of the d spacings with those deduced from JCPDS No. 33-0784. The high-resolution FETEM image shows that the tube wall is composed of crystallites with diameters in the range 3-7 nm. The FETEM characterization of nanometer-sized perovskite crystallites will be described.The ferroelectric switching behavior of the PZT-NTs will be discussed [1]. Well-saturated hysteresis loops was observed in these ferroelectric PZT-NTs with the remnant polarization Pr and the coercive field Ec values of about 1.5 µC cm–2 and 86 kV cm–1 respectively for a maximum applied electric field of 400 kV cm–1.[1] J. Kim et al., Nano Lett. 8, 1813 (2008).
3:15 PM - K7.3
Growth and Transfer of Monolithic Horizontal ZnO Nanowire Superstructures onto Flexible Substrates.
Sheng Xu 1 , Yue Shen 1 2 , Yong Ding 1 , Zhong Wang 1
1 School of Materials Science & Technology, Georgia Institute of Technology, Atlanta, Georgia, United States, 2 College of Engineering, Peking University, Beijing China
Show AbstractAs an excellent member in the family of one-dimensional nanostructures, ZnO nanowire (nanowire) has found spectacular applications in fabricating ultraviolet (UV) lasers, light-emitting diodes, field emission devices, solar cells, as well as piezo-nanogenerators. As a counterpart of the vertically aligned ZnO nanowire arrays, horizontally aligned ZnO nanowire arrays have also been grown by a few approaches. But the horizontal nanowires were rather sparse and random in horizontal orientation, or of a poor alignment. Horizontal alignment of the ZnO nanowires after growth was also achieved by dispersing the nanowires into solvents then applying high frequency alternative electrical field. In our previous contribution, we showed a technique for patterned growth of horizontally aligned ZnO nanowire arrays by a combination of wet chemical method and electron beam lithography [1]. The nanowires were epitaxially grown on the (2-1-10) surface of a single crystalline ZnO substrate with a precisely controlled orientation and distribution. Here we extend this idea one step further [2]. Our results show that all of the nanowires suffered from lateral overgrowth, but the width and length of the nanowires could still be effectively controlled by defining different sizes of the photoresist opennings. All of the nanowires on the substrate grew strictly along the ± c axis no matter what the orientations of the photoresist opennings were. Cross section view of the nanowires by TEM showed a “mushroom-like” structure and the nanowires were enclosed by well defined (01-10) facets and had a close epitaxial relationship with the substrate. We made use of the lateral overgrowth to fabricate novel monolithic multi-segment superstructures by defining arrays of photoresist opennings with different widths. Ultra long horizontal ZnO nanowire arrays with an aspect ratio on the order of ten thousand were also demonstrated by stepped lateral overgrowth. These superstructures have been lifted off and transferred onto a flexible polymer substrate, which may have many potential applications in horizontal ZnO nanowires based nanosensor arrays, light emitting diodes, optical gratings, integrated circuit interconnects, and high output power alternating current nanogenerators [3].[1] Sheng Xu, Yong Ding, Yaguang Wei, Hao Fang, Yue Shen, Ashok K. Sood, Dennis L. Polla, and Zhong Lin Wang, “Patterned Growth of Horizontal ZnO Nanowire Arrays”, J. Am. Chem. Soc. 2009, 131, 6670-6671.[2] Sheng Xu, Yue Shen, Yong Ding, and Zhong Lin Wang, “Growth and Transfer of Monolithic Horizontal ZnO Nanowire Superstructures onto Flexible Substrates”, Adv. Funct. Mater. 2010, 20, 1493-1497.[3] Sheng Xu, Yong Qin, Chen Xu, Yaguang Wei, Rusen Yang and Zhong Lin Wang, “Self-powered nanowire devices”, Nature Nanotech. 2010, 5, 366-373.[4] Research supported by DARPA, DOE and NSF.[5] For more information: http://www.nanoscience.gatech.edu/zlwang/
3:30 PM - K7.4
Luminescent Properties of In-doped ZnO Nanorod Arrays by Solution Grown Method.
Zhonghua Zheng 1 , Mingqiang Wang 1 , Zhonghai Lin 1 , Xiaohai Song 1 , Lizhou Wei 1 , Xi Yao 1
1 Electronic Materials Research Laboratory, Xi’an Jiaotong University, Xi'an, 710049, Shaanxi, China
Show AbstractZnO nanorod arrays were grown on ITO substrates by two-step chemical bath deposition methods(CBD) at low temperature. The morphologies and optical property of as-synthesized nanorods were investigated in detail by X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), field emission scan electronicmicroscope (SEM), and photoluminescence (PL). XRD and XPS results demonstrated that In ions were successfully incorporated into the lattice position of Zn ions in ZnO. SEM image show that both the undoped and In-doped ZnO nanorods have c-axis oriented hexagonal column structure. Photoluminescence (PL) spectra indicated that In doping suppressed the UV emission intensity and caused the blueshift of UV emission position compared with the undoped ZnO nanorods.
3:45 PM - K7.5
Fabrication and Magnetic Properties of Metal Oxide Nanotubes via Electrospinning and Thermal Treatment.
Xing Chen 1 , Karl Unruh 1 , Qi Lu 1 , Ali Bakhtyar 1 , Chaoying Ni 1 , John Xiao 1
1 , University of Delaware, Newark, Delaware, United States
Show AbstractA simple procedure has been developed for preparing high aspect ratio nanotubes of α-Fe2O3 and Co3O4 based on an appropriate heat treatment of electrospun polymeric fibers containing Fe(III) and Co(II) ions. The transformation of the as-prepared fibers to the final nanotube structure has been studied by SEM and TEM imaging, as well as XRD, DSC, TGA, and XPS measurements. Room temperature magnetic properties of the α-Fe2O3 nanotubes have also been studied. The results of these measurements have lead to a semi-quantitative picture of a new nanotube formation process. The process includes the thermal decomposition and volatilization of the polymer component in as-spun fibers, the formation of metal-oxide aggregates on the fiber surface and their aggregation into a continuous shell, and the removal of remaining polymer. This model may provide a useful framework that reveals a new tube formation mechanism, and provides useful guidance for precursor selection and thermal treatment. These measurements have also shown that the saturation magnetization of the α-Fe2O3 nanotubes is significantly larger than that of both α-Fe2O3 nanofibers of similar diameter and the bulk oxide.
4:30 PM - **K7.6
Effect of Stoichiometry on the Interface Conductivity of La1–xAl1+xO3 Samples Grown by MBE.
M. Warusawithana 1 , C. Richter 2 , Lena Fitting Kourkoutis 3 , J. Mundy 3 , A. Pawlicki 1 , S. Paetel 2 , S. Thiel 2 , M. Zheng 4 , B. Mulcahy 4 , C. Hellberg 5 , Tassilo Heeg 6 , W. Zander 7 , Juergen Schubert 7 , J. Eckstein 4 , David Muller 3 , J. Mannhart 2 , Darrell Schlom 6
1 Department of Physics and NHMFL, Florida State University, Tallahassee, Florida, United States, 2 Experimentalphysik IV, University of Augsburg, Augsburg Germany, 3 School of Applied and Engineering Physics, Cornell University, Ithaca, New York, United States, 4 Department of Physics, University of Illinois at Urbana-Champaign, Urbana, Illinois, United States, 5 , Naval Research Lab, Washington, District of Columbia, United States, 6 Department of Materials Science and Engineering, Cornell University, Ithaca, New York, United States, 7 Institute of Bio and Nanosystems, JARA-Fundamentals of Future Information Technologies, Research Centre Juelich, Juelich Germany
Show AbstractThe family of crystal structures known as perovskites are nature’s garbage can, able to accommodate nearly all elements as major constituents in a dense structure that is awash with phase transitions. These phase transitions accompany the many novel properties of perovskites—pyroelectricity, piezoelectricity, ferromagnetism, multiferroicity, non-linear optical effects, superconductivity, and much more—all with huge property coefficients. SrTiO3, the hydrogen atom of perovskites, exhibits many of these extraordinary and useful properties when suitably doped or strained, including superconductivity, high dielectric constant, ferroelectricity, high mobility, is a very stable photocatalyst for water splitting, and is a promising transparent conductor. We show that the bandgap of SrTiO3 can be altered by 10% (0.3 eV) by a ferroelectric phase transition or morphed from indirect to direct bandgap through an antiferrodistortive phase transition. In agreement with theory and experiment, both of these phase transitions can be manipulated using experimentally realizable biaxial strains providing a means to accomplish bandgap engineering of SrTiO3 and related perovskites.
5:00 PM - K7.7
Investigation of the Structural and Electronic Properties of Pure and Ti-doped SnO2 Nanoparticles by First-principles Calculations.
Gianluca Santarossa 1 2 , Konstanze Hahn 1 , Angelo Vargas 2 , Antonio Tricoli 1 , Alfons Baiker 2 , Sotiris Pratsinis 1
1 Department of Materials, ETH Zurich, Zurich Switzerland, 2 Department of Chemistry and Applied Biosciences, ETH Zurich, Zurich Switzerland
Show AbstractSemiconducting metal oxides (e.g. SnO2, WO3 and TiO2) are applied in a wide variety of fields, as components of conductors or isolators, photo catalysts, solar cells, and chemical gas sensors [1-3]. The industrial success of this class of materials in such a diverse range of applications is related to their distinctive structural and electronic properties. Understanding and controlling these properties is becoming essential for the development of novel materials with improved performance. State-of-the-art theoretical modelling of metal nanoparticles consisting of a few hundred atoms has shown promising results in the investigation of the properties in the nanoscale range, providing an accurate and unique description of their geometrical and electronic structure down to the atomic level.In the field of gas sensors, SnO2 nanoparticles are the most utilized material for detection of several analytes such as ethanol [2]. Recently, it was shown that Ti-doping is a promising strategy to decrease the high cross-sensitivity towards humidity of SnO2 based sensors [3]. In the present investigation, rutile (110) surfaces of Ti-doped SnO2 have been studied and compared to pure SnO2 and TiO2 surfaces using density functional theory (DFT) within the Gaussian and Plane Wave (GPW) formalism [4-5]. Initially, the accumulations of Ti impurities in SnO2 bulk and surfaces have been investigated, focusing on the stability of the lattices with homogeneously distributed and surface-segregated Ti atoms. Successively, the response of pure and Ti-doped SnO2 materials to humidity have been studied by simulating the adsorption of hydroxyl groups on the surface of SnO2, TiO2 and of Ti-SnO2 particles. Coupling the results of the simulations with Temperature Programmed Desorption (TPD) experiments allowed the identification of different (H2O and OH-) adsorbed species on the surface of the oxides. The desorption of water molecules from the oxide surface at room temperature is favored by the presence of titanium surface sites, which weaken the water-surface interaction. In conclusion, the combination of theoretical and experimental investigations proved to be a successful strategy for improving our understanding of the surface properties of metal oxides and their interaction with the environment. This approach represents an interesting alternative for the design of metal oxide surface with application in gas sensors, fuel cells and batteries.[1] Righettoni, M.; Tricoli, A.; Pratsinis, S.E. Anal. Chem. 2010, 82, 3581. [2] Tricoli, A.; Graf, M; Mayer, F.; Kuehne, S.; Hierlemann, A.; Pratsinis, S.E. Adv. Mater. 2008, 20, 3005.[3] Tricoli, A.; Righettoni, M.; Pratsinis, S.E. Nanotechnology 2009, 20, 315502.[4] Lippert, G; Hutter, J.; Parrinello, M. Theor. Chem. Acc. 1999, 103, 124.[5] Vandevondele, J.; Krack, M.; Mohamed, F.; Parrinello, M.; Chassaing, T.; Hutter, J. Comput. Phys. Commun. 2005, 167, 103.
5:15 PM - K7.8
Electrically Tunable Dielectric Function in Glass with Tree Like Percolating Pathways of Chargeable Conductive Nanoparticles.
Alberto Paleari 2 , Sergio Brovelli 1 2 , Roberto Lorenzi 2 , Marco Giussani 2 , Alessandro Lauria 2 , Natalia Mochenova 2 , Norberto Chiodini 2
2 Dipartimento di Scienza dei Materiali, Università di Milano-Bicocca, Milano Italy, 1 Chemistry and Applied Spectroscopy, Los Alamos National Laboratory, Los Alamos , New Mexico, United States
Show AbstractThe design of nanostructured materials with specific physical properties is generally pursued by tuning nanoparticle size, concentration or surface passivation. An important step forward is to realize “active” systems where nanoparticles are vehicles for controlling, in situ, some specific, tunable features of a responsive functional material. For this reason, there is a growing interest in hierarchical assemblies of nanocrystal semiconductors, produced by both self- or assisted-assembly of colloidal nanoparticles and through selective segregation of nanophases in composite materials synthesized by solution-based processes. These approaches provide additional degrees of freedom for the design of functional nanocomposites as they allow for fine tuning of the electrical and optical properties of the NC building blocks, and for the simultaneous optimization of the overall response of the material system. In this perspective, we have focused on the rational design of a nanostructured glass with electrically tunable dielectric function obtained by injection and accumulation of charge on embedded conductive nanocrystals. This enables to achieve electrically controlled switching of semiconducting nanophases to charged polarisable states, which could lead to smart, field enhancement applications in nanophotonics and plasmonics. Here we show that such response switching can be obtained if a percolating charge transport mechanism is activated through a disordered tree-like network, as we demonstrate to be possible in SiO2 films where suitable dispersions of SnO2 nanocrystals, with conductive interfaces, are obtained as a result of a new synthesis strategy. The observed increase in the dielectric function, with respect to mean-field effects, is consistent with a polarization process of electrically charged nanocrystals. The quantitative analysis of the nanoparticle charging processes supports the co-existence of charge transport through the nanostructured material and nanoparticle dielectric polarization. This achievement represents a first step towards a new type of functional silicon-compatible nanostructured materials for electrically-responsive systems with switchable plasmonic properties.
5:30 PM - **K7.9
Dispersed Nanoelectrodes for High Performance Gas Sensors.
Antonio Tricoli 1 , Sotiris Pratsinis 1
1 Department of Mechanical and Process Engineering, ETH Zurich, Zurich Switzerland
Show AbstractIntegration of nanoparticles in electronic devices such as sensors, actuators, batteries, solar and fuel cells is a key technological development for advancing their performance and miniaturization. Frequently, however, the benefit of nanoscale is lost by poor electrical conductivity through such nanoparticle structures. As a result, it is challenging to achieve both attractive conductivity and maximal performance by the device. Recently it was demonstrated that flame-made nanoparticles can be directly deposited onto substrates to form porous thick films of controlled thickness for the application as gas sensors.[1] The mechanical stability of FSP-deposited layers can be greatly increased by in situ annealing[1] showing compatibility even with fragile CMOS-based substrates. Here, a novel asymmetric electrode assembly is described that greatly reduces the resistance of a nanostructured layer and maximizes its performance: Nanoparticles with tailored conductivity (e.g. Ag, CuO, Au) serving as electrodes are stochastically deposited by a scalable technique either below or above a functional (e.g. SnO2, TiO2, WO3) film decreasing the effective length of the resistive components.[2] As the distance between electrodes is at the nanoscale, the total film resistance is drastically decreased. The feasibility of this assembly is demonstrated with solid state sensors having controlled resistance and exceptionally high sensitivity.[1]A. Tricoli, M. Graf, F. Mayer, S. Kühne, A. Hierlemann and S. E. Pratsinis, Adv. Mater., 2008, 20, 3005-10.[2]A. Tricoli and S. E. Pratsinis, Nature Nanotechnol., 2010, 5, 54-60.
K9: Poster Session: Heterostructures and Metal-Insulator Transitions
Session Chairs
Thursday AM, December 02, 2010
Exhibition Hall D (Hynes)
9:00 PM - K9.1
Phonons of Tetragonal and Cubic SrTiO3.
Yi Wang 1 , Zi-Kui Liu 1 , Long-Qing Chen 1
1 Materials Science & Engineering, Penn State, University Park, Pennsylvania, United States
Show AbstractPhonon properties of solids are increasingly being determined by first-principles calculations. However, success is mostly limited to ground state crystal structures as imaginary phonon modes are often predicted for their high-temperature structures, in disagreement with measurements where phonon frequencies are always real. This suggests a fundamental flaw in the current understanding of materials such as SrTiO3 dielectrics, La2CuO4-based superconductors, and LaMnO3-based colossal magnetoresistance manganites. Hereby we propose that the observed high-symmetry structures are actually dynamic averages of lower symmetry structures. We apply this theory to predict the phonon dispersions of cubic SrTiO3. The calculated phonon dispersions for the cubic phase, using the force constants calculated from the tetragonal phases, are found to be in remarkably good agreement with existing neutron data, without exhibiting any imaginary phonon modes.
9:00 PM - K9.10
Twin-domain Epitaxial Growth and Metal-insulator Transition of VO2 Thin Film on C-plane Sapphire.
Changhong Chen 1 2 , Yong Zhao 1 , Zhaoyang Fan 1
1 Nano Tech Center and Dept. of Electrical and Computer Engineering, Texas Tech University, Lubbock, Texas, United States, 2 Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, Wuhan China
Show AbstractVO2 experiences an insulator (semiconductor)-metal phase transition at ~ 68 oC, with 3-5 orders of resistivity abrupt change, accompanying with dramatic optical properties variation. These characteristics provide many potential applications in electronics and for microwave/THz/far-IR wave manipulation, especially considering that the phase transition also can be induced by electric-field and photo-excitation. Since VO2 phase transition is a percolation process, crystalline grain boundary has impact on the transition process. In this work, we report the heteroepitaxial growth of VO2 thin film on c-plane sapphire by pulsed dc magnetron sputtering. High-resolution x-ray diffraction and transmission electron microscopy measurements indicate that the film with thickness of 150 nm has twin-domain (020)-epitaxial structure with six-fold rotational symmetry in the basal plane; in particular, off-axis Φ scan of (011) plane show coupled peaks separated by 2 times angle mismatch of β. The epitaxial relationship between VO2 and c-plane sapphire can be concluded as VO2 [010]//Al2O3 (0001) and VO2 [100]//Al2O3 <10-1 0>, with -4.53% (compressive) and 2.17% (tensile) mismatch strains along [100] and [001] directions of the VO2. Temperature dependence of resistivity in Van der Pauw method shows that the resistivity changes by 5 orders of magnitude through the metal-insulator transition (MIT), and MIT temperatures are at 347.1 and 350.1 K for cooling and heating cycles, respectively.
9:00 PM - K9.11
Thermodynamics of Strained Vanadium Dioxide Single Crystals.
Yijia Gu 1 , Jinbo Cao 2 3 , Junqiao Wu 2 3 , Long-Qing Chen 1
1 Department of Materials Science and Engineering, Pennsylvania State University, University Park, Pennsylvania, United States, 2 Department of Materials Science and Engineering, University of California, Berkeley, California, United States, 3 Materials Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, California, United States
Show Abstract Vanadium dioxide (VO2) is a strongly correlated electron material. It undergoes a metal-insulator transition accompanied by structural changes, in which the strain condition plays an important role. In order to understand the strain contribution to the transformation, a phenomenological thermodynamic potential for vanadium dioxide single crystal was constructed. The transformations under the uniaxial stress condition, wire boundary condition, and thin film boundary condition were investigated, and the corresponding phase diagrams were constructed. The calculated transformation temperature (and Curie temperature) shows a strong dependence on the strain condition.
9:00 PM - K9.12
Achieving Near Bulk Semiconductor to Metal Transition Temperature by Complete Relaxation of Misfit Strains in Epitaxial Vanadium Dioxide (VO2) Films.
Alok Gupta 1 , Titas Dutta 1 , Pranav Gupta 1 , Jagdish Narayan 1
1 Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina, United States
Show AbstractWe have been able to achieve near bulk semiconductor to metal transition (SMT) temperature (~341K) in VO2 thin films deposited on c-plane sapphire subtrates. This was achieved by complete relaxation of misfit strain, which leads to negligible tension/compression along VO2 [001], upon introduction of NiO buffer layer on c-plane sapphire substrate. In this presentation, we will discuss the mechanism behind complete relaxation of misfit strain which occurs under the paradigm of domain matching epitaxy, where integral multiple of planes match across the interface. NiO buffer layers were grown in-situ, prior to the VO2 deposition, using pulsed laser deposition technique. X-ray θ-2θ, Φ, and pole figure scans were performed for structural characterization of the VO2/NiO/Al2O3 (0001) heterostructure. Further structural characterization and detailed investigation of the NiO/VO2 interface were performed using high resolution transmission electron microscopy (HRTEM). All the constituent layers of the heterostructures were found to be epitaxial with a following orientation relationship: (020)VO2//(111)NiO//(0001)Al2O3 and <100>VO2//<110>NiO//<10-10>Al2O3 . Electrical resistivity measurements were carried out as a function of temperature to determine semiconductor to metal transition characteristics. Parameters related to SMT, such as hysteresis and transition width will be discussed in correlation with the in-plane orientation and microstructure.
9:00 PM - K9.13
Electronic States of Vanadium Oxide in a Dielectric Sandwich Structure.
Chiyu Zhu 1 , Fu Tang 1 , Xin Liu 1 , Robert Nemanich 1
1 Physics, Arizona State University, Tempe, Arizona, United States
Show AbstractVanadium oxide (VO2) is a narrow band gap material (Eg = 0.7 eV) with a metal-insulator phase transition (MIT) at ~70C, which has been studied as a Mott-transition. It has been proposed that the application of an electric field applied to the VO2 film may increase the carrier density which could drive the transition to the metallic phase. To explore the electron transport of VO2 and to develop an efficient switching device based on this MIT property, we need understand the band relationships between VO2, the sandwich dielectric materials, and the Si substrate. In this study, a sandwich structure was prepared with a 2nm VO2 layer embedded between an oxidized n-type Si(100) surface and a HfO2 layer. The electronic properties were characterized with x-ray and UV photoemission spectroscopy (XPS and UPS). All deposition and characterization measurements were carried out in situ using the following process: 1) remote oxygen plasma of Si substrate, 2) XPS and UPS characterization, 3) deposition of ~2nm vanadium oxide, 4) XPS and UPS characterization, 5) deposition of ~2nm of hafnium oxide, 6) XPS and UPS characterization. After the initial oxidation and anneal, the UPS valence band and Si 2p core level peak indicated flat bands in the Si with a band offset that corresponded to previous photoemission measurements. After VO2 deposition, the Si 2p peak shifted to lower binding energy indicating upward band bending. The Si 2p peak due to the SiO2 layer exhibited a different shift which we interpreted as indicating an internal field in the SiO2. The vanadium oxide valence band maximum (VBM) was identified at 0.6 eV below the Fermi level. After the HfO2 deposition, the Si 2p substrate feature returned to the initial value indicating essentially flat band conditions, and the UPS showed the VBM of HfO2 at 4.0 eV below the Fermi level. The band diagram developed on these results demonstrated the potential of charge storage and switching for the embedded VO2 layer.Supported through the NSF (DMR-0805353).
9:00 PM - K9.14
Ferroelectric Field Effect Control of Metal-insulator Transition in Ultrathin Correlated Oxides.
Taekjib Choi 1 , Shengyong Qin 2 , Zolt Marton 1 3 , An-Ping Li 2 , Ho Nyung Lee 1
1 Materials Science and Technology Division, Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States, 2 Center for Nanophase Materials and Sciences Division, Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States, 3 Department of Materials Science and Engineering, University of Pennsylvania, Philadelphia, Pennsylvania, United States
Show AbstractCorrelated electron oxides have been widely studied due to their richness in materials properties and various intriguing functionalities in view of oxide electronics. In order to take advantage of their complex behaviors, we have fabricated ferroelectric field effect heterostructures, composed of ferroelectric PbZr0.2Ti0.8O3 (PZT) and Sr-doped LaMnO3 (LSMO), to artificially modulate carrier density of the doped manganite ultrathin films by switching the ferroelectric polarization. We have found that the use of highly polar PZT films with an exceptionally large polarization (~80 μC/cm2) is crucial to effectively tune the carrier density in the vicinity of the interface. Moreover, the field-induced modulation results in a clear shift of metal-insulator-transition temperature and a huge change in resistivity exceeding at least three orders of magnitude at room temperature. In this talk, we will present a study on how effectively the transport and magnetic properties can be modulated by systematically changing the hole concentration in LSMO films using ferroelectrics. Furthermore, based on conductive atomic force microscopy and low temperature four point probe measurements, we will also discuss the nanoscale transport property of the ferroelectric/manganite field effect heterostructures via local carrier modulation. *Research sponsored by the Division of Materials Sciences and Engineering (TC, ZM, HNL) and by the Scientific User Facilities Division (SQ, APL), U. S. Department of Energy.
9:00 PM - K9.16
Novel HfO2 Gate Oxide with Lanthanum Titanate (LaTiO) Capping Layer Made by ALD.
Jyun-Yi Wu 1 , Shih-Wei Yu 1 , Tai-Bor Wu 1
1 Materials Science and Engineering, National Tsing Hua University, Hsinchu Taiwan
Show AbstractMetal gate with Hf-based high-κ dielectric such as hafnium oxide (HfO2) has been considered to be inevitable for 45-nm CMOSFET technology node. However, with the trend of scaling down in ITRS roadmap, seeking for higher-κ dielectric will be the key to meet the requirement for 22 nm and 15 nm nodes of CMOS technology.In this study, a thin HfO2 film with a lanthanum titanate (LaTiO) capping layer has been grown by our homemade remote-plasma atomic layer deposition (RPALD) system. Capacitance-voltage (C-V) and current-voltage (I-V) measurement were done to discuss the electrical characteristics, such as equivalent oxide thickness (EOT), flatband voltage (VFB), hysteresis window, and leakage current density (Jg@VFB-1V). Cross-sectional high resolution transmission electron microscopy (HRTEM) images were obtained to examine the morphology and microstructure of the high-κ film. The chemical composition and binding structure of the sample were analyzed by x-ray photoelectron spectroscopy (XPS). From the results, we found that HfO2 thin film capped with LaTiO exhibit lower EOT, higher permittivity, and smaller hysteresis window than pure HfO2.
9:00 PM - K9.17
Phase Equilibria at Si-HfO2 and Pt-HfO2 Interfaces from First Principles Thermodynamics.
Hong Zhu 1 , Chunguang Tang 1 , Rampi Ramprasad 1
1 , Institute of Materials Science, Storrs, Connecticut, United States
Show AbstractAtomic-level control of interfaces between dissimilar materials has become critical in determining the properties of materials. In this work, we present a methodology to investigate the evolution of interface structures as a function of processing conditions by combining density functional theory results and thermodynamics. Two types of interfaces found in the emerging technologically important metal-oxide-Si field effect transistors (MOSFETs) based on high dielectric constant (k) oxide have been studied. Compared to the conventional MOSFET (using SiO2 as dielectric and poly-Si as electrode), the emerging stack utilizes a HfO2-based high-k oxide and a metal with appropriate work functions. Thus, it involves two new interfaces, Si-HfO2 and HfO2-metal, whose atomic-level structure displays a strong dependence on the processing condition. It has been reported that depending on the temperature and O2 pressure, either a low-k SiOx or metallic silicide phase (both of which are undesirable) could form at Si-HfO2 interfaces. The metal-HfO2 interface, however, presents a separate set of issues although these too are related to the interface morphology. While one desires to utilize metal electrodes with appropriate work functions such that the metal Fermi level lines up with either the valence or conduction band edges of the underlying Si substrate, the interfacial chemistries lead to shifts in the work function value from its true vacuum value. Thus, in both cases, it would be valuable to understand the relationship between ambient conditions (e.g., temperature and oxygen pressure) and interface morphologies.The predicted phase diagrams of Si-HfO2 and Pt-HfO2 interfaces are in quantitative agreement with available experiments. In particular, under UHV conditions, we show the correct Si-HfO2 silica-like interface configurations. Likewise, we also show that interfacial oxygen coverage of 0.5-1.0 monolayer is favored under UHV conditions at the Pt-HfO2 interface before rapid oxidation of Pt may be expected (for higher oxygen pressures). The predictive nature of the adopted first principles thermodynamics methodology, and the predicted evolution of interface structures with temperature and oxygen pressure provides guidance for how to finely control such interface structures in practice.
9:00 PM - K9.18
CMOS Compatible Process Development and Optimization of La0.7Sr0.3MnO3/Si Heterojunctions.
Rajashree Rajagopal 1 , S. Kale 2 , R. Pinto 1 , V. Ramgopal Rao 1
1 Electrical Engineering, Indian Institute of Technology-Bombay, Mumbai, Maharashtra, India, 2 Department of Applied Physics, Defence Institute of Advanced Technology, Pune, Maharashtra, India
Show AbstractColossal Magnetoresistive (CMR) manganites such as La0.7Sr0.3MnO3 (LSMO) have attracted much attention due to their device potential in applications ranging from room-temperature spin-polarized transport to microwave tunable resistance. But while there have been earlier reports of integration of LSMO with Si, there has been little communication so far on fabrication efforts required to obtain device repeatability and compatibility with the conventional semiconductor device processes.Here, we report transport studies done on phase-pure La0.7Sr0.3MnO3 (LSMO) thin films deposited on n-type Si (100) substrate under varied process conditions using a pulsed laser (KrF excimer 248 nm, 30 ns pulse duration) deposition (PLD) system. LSMO, a hole-doped manganite, forms a p-n junction with the n-Si substrate wherein the structures were lithographically patterned, etched and established electrical contacts using CMOS-compatible processes. For the first time, the lithographically patterned, 250 nm polycrystallite LSMO thin films were selectively etched from Si(100) substrate using heated citric acid, with a maximum etch rate of 73.6 nm/min. Pre-etch and post-etch characterization such as profilometry, surface AFM studies and C-V measurements have confirmed the property of citric acid to preferentially chelate LSMO metal ions, while being inert to Si and key CMOS process layers such as photoresist, polysilicon and SiO2. Furthermore, I-V characteristics of the fabricated diode structures show that a tightly packed grain structure in LSMO films is critical in achieving repeatable rectifying device characteristics and we present the tuned PLD process parameters for the same. This effect of film morphology on the transport performance of an LSMO-Si device presents a new perspective towards optimizing LSMO films (where morphology dependent in-plane film transport studies have been done) for device applications.
9:00 PM - K9.19
Dynamic Properties of MgZnO-based MESFETs.
Fabian Kluepfel 1 , Alexander Lajn 1 , Heiko Frenzel 1 , Holger von Wenckstern 1 , Marius Grundmann 1
1 Semiconductor Physics Group, University of Leipzig, Leipzig, Saxony, Germany
Show AbstractOxide based electronics play an increasing role in semiconductor technology. The wide band gap and the high carrier mobility of such materials allows the fabrication of transparent electronic circuits suitable for high frequency applications.For that the study and optimization of the dynamic properties is as important as the investigation of the static properties of transistors, e.g. transfer and output characteristics. We investigated the frequency-dependent switching behavior of zinc oxide based metal-semiconductor field effect transistors (MESFETs) for AgOx, PtOy and Au gate materials.The transistors consist of a MgZnO channel layer grown by pulsed laser deposition on a-plane sapphire substrates and structured using photolithography and wet chemical etching. The ohmic contacts are gold or aluminium doped zinc oxide. The gate electrodes were deposited using reactive DC sputtering of Au, Ag, and Pt in the presence of oxygen.The temporal response of these MESFETs was investigated by applying pulsed voltages at the drain and gate electrodes of the transistors while recording the source-drain current. After the voltage change the current exhibits an overshoot or delay, which was fitted by stretched exponential functions. Such effects are already known in literature, e.g. for transistors based on the GaAs [1] and GaN [2] material systems. This so called drain lag and gate lag was attributed to traps located in buffer layers and to surface states. We found average time constants between 5 ms and 50 ms, which were independent of the gate material. However, the transient amplitudes of the gate lag for transistors with Au and PtOy-gated transistors were about one order of magnitude smaller than for AgxO gate contacts. Temperature-dependent recording of the transients permitted the calculation of activation energies and thus the correlation of the observed effects with known defects. In addition to the transient analyses we recorded frequency spectra using a sine shaped gate voltage while measuring the source-drain current amplitude. These measurements showed a nearly unchanged response of devices with Au and PtOy gates up to at least 50 kHz. The current amplitude of AgxO-gated FETs dropped continuously with rising frequency to about 50% at 50 kHz. This is in agreement with the transient analyses and possibly related to the known diffusion of silver into the channel material [3]. The influence of passivation layers on the dynamic properties of the MESFETs has also been investigated.We showed, that the gate material of ZnO-based MESFETs must be chosen in relation to the desired switching speed. The usage of AgxO as gate material limits the operation frequency of the devices. This can be avoided using Au or PtOy, which allow the operation up to at least 50 kHz.[1] Lo and Lee, Trans. on Electron Dev., 41, 1504 (1994)[2] Meneghesso et al., Trans. on Electron Dev., 51, 1554 (2004)[3] von Wenckstern et al., AIP conf. proc., 1199, 122 (2010)
9:00 PM - K9.20
Tunneling Currents in Nanoscale high-κ MOS Structures.
Andres Vercik 1
1 Basic Sciences Department ZAB/FZEA, University of São Paulo, Pirassununga - SP Brazil
Show AbstractThe use of high permittivity (high-κ) gate dielectrics has emerged as the most promising solution for several problems and limitations of transistors with silicon dioxide as insulator, particularly for 1- to 2-nm-thick oxides for which the gate leakage currents affect the standby power consumption and dielectric reliability. Beside this, a deep understanding and correct modeling of the physical phenomena taking place in these structures would help choosing proper material substitutes. For an oxide thickness of few nanometers, compatible with the scaling rules for present MOS transistors, direct tunneling dominates the leakage current from the inversion layer in reverse-biased devices. This mechanism also affects the thermal equilibrium in the semiconductor and, in the limiting case, could prevent the formation of the transistor channel. The non-equilibrium condition is most of times ignored when tunneling is addressed and should be taken into account properly by solving self-consistently the Poisson-Schrödinger-Boltzmann system of coupled equations. Some attempts to solve exactly this system of equations include numerical approaches to overcome the huge computational task, yielding poor quality current-voltage curves. The main problem in simulating tunneling from inversion layers is that the non-equilibrium condition does not allow using equilibrium (Fermi or Boltzmann) statistics as done usually, which in turn makes invalid the proportionality between the tunneling current and the inversion layer population. In this work we analyze how non-equilibrium and tunneling can be included properly in the calculations of currents with different effective oxide thicknesses (EOT), and show that the main known features of the current-voltage characteristics can be accurately simulated considering a simple non-equilibrium model based on Poisson-Continuity equations, within the Drift-Diffusion approximation, using a Boltzmann-Transport-Equation-based supply function and including tunneling as a boundary condition. The discussed model allows us to state a consistency test to be fulfilled by any tunneling expression, which in the limit of vanishing oxides thicknesses should lead to the Schottky diode reverse current, independently of the used high-κ gate stack.
9:00 PM - K9.21
Transport Properties in Perovskite pn Junction.
Tracey Vincent 1 , Shimon Saraf 1 , Miri Markovitch 1 , Tammy Cohn 1 , Avner Rothschild 1
1 , Technion, Haifa Israel
Show AbstractPerovskite oxides have attracted intensive attention for their multi-functional characteristics, such as ferroelectricity, ferromagnetism, ultrafast photovoltaic effect and positive magnetoresistance effect. p-n junctions comprising only oxides have not been investigated in detail, and may exhibit some new and interesting properties that can be developed into novel electronic devices when compared with conventional semiconductor pn junctions. It is important to experimentally investigate the current-voltage behavior of the oxide pn junctions in different modes of operation that test the transport properties of these materials. A thin film SrTi0.99 Fe0.01O3 was deposited on SrTi0.99 Nb0.01O3 (STF01/STN01) by pulsed laser deposition. The current-voltage characteristics of the junction were tested at room temperature in two modes of operation: sweep and alternating modes, over a range of time delays. The junction exhibited good rectifying behavior in both modes and demonstrated a hysteresis effect in the sweep mode of operation. This hysteresis behavior is consistent with polarization effect due to mobile oxygen vacancies.
9:00 PM - K9.23
Improved Electrical Performance of Fluorinated HfO2 Dielectrics on In0.53Ga0.47As MOSFETs.
Yen-Ting Chen 1 , Han Zhao 1 , Yanzhen Wang 1 , Fei Xue 1 , Fei Zhou 1 , Jack Lee 1
1 , UT Austin, Austin, Texas, United States
Show AbstractAggressive downscaling of complementary metal-oxide-semiconductor transistors has pushed Si-based transistors to their limit. High-mobility III-V semiconductor materials have been actively investigated as alternative channel materials. Conventional silicon dioxide cannot easily meet the requirement for the scaling of EOT; as a result, various high k materials have been incorporated onto the III-V semiconductor substrate. There are two challenges for high k/III-V MOSFETs: the poor interface quality and high fixed charge in the high k bulk. It has been reported that on Si[1], Ge[2], and III-V compounds[3], fluorine (F) can reduce the fixed charge in the high k bulk and also passivate the interface traps, providing a possible solution to both interface and fixed charge issues. 1-3 In this work, we demonstrate the effect of F incorporation on ALD HfO2 with In0.53Ga0.47As substrate. In0.53Ga0.47As MOSFETs were fabricated on a p-type In0.53Ga0.47As layer epitaxially grown on a InP p-substrate. After surface pretreatment (1% HF + (NH4)2S), gate oxide (8 nm HfO2) was deposited (EOT= 1.8 nm) on In0.53Ga0.47As substrate. Sample (a) was treated with postgate CF4 plasma at a radio-frequency power of 30W for 3 minutes. To manipulate the F profile in the HfO2 gate dielectric, sample (b) was treated with the CF4 plasma treatment after partial deposition of HfO2 4 nm and followed by 4 nm HfO2 deposition. After 500°C annealing, TaN and AuGe/Ni/Au were deposited for the gate electrode and S/D ohmic contact, respectively.From the SIMS analysis, sample (a) had F concentration of 6.1×1018 atoms/cm3 compared to the control sample of 3.1×1017 atoms/cm3. In sample (b), F concentration was up to 2.6×1019 atoms/cm3. From CV curves of these samples, the VFB of the control sample was -0.34V. While the VFB were -0.28V and -0.19V for sample (a) and sample (b), respectively. A shift toward right suggests less positive fixed charge in the HfO2. The subthreshold swing (SS) of the control sample, sample (a), and sample (b) were 128, 118.9, and 112.3 mV/dec, respectively. According to SS, we estimated the interface trap densities of the control sample, sample (a), and sample (b) were 1.2×1013, 9×1012, and 8.9×1012 cm-2eV-1, respectively. Extrinsic transconductance of the control sample, sample (a), and sample (b) were 8.2, 10.6, and 11.9 mS/mm, respectively. The effective channel mobility of the control sample, sample (a), and sample (b) were 828, 1055, and 1305 cm2/Vs, respectively. We believe that F reduces fixed charge in the HfO2 and also passivates the interface traps, resulting in these improvements. In summary, the impact of F incorporation on HfO2 with In0.53Ga0.47As substrate has been studied. Fluorinated samples have better performance than the control sample. With higher F concentration, even better performance is achieved. References 1. W.-C.Wu, et al, IEDM, 405 (2008) 2. R. Xie, et al, APL 92, 163505 (2008)3. Y.-T. Chen, et al, APL 95, 013501 (2009)
9:00 PM - K9.3
Managing Carrier Transport across Polar-nonpolar Interfaces.
Taekjib Choi 1 , Suyoun Lee 1 2 , Zsolt Marton 1 3 , Ho Nyung Lee 1
1 Materials Science and Technology Division, Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States, 2 Electronic Materials Center, Korea Institute of Science and Technology, Seoul Korea (the Republic of), 3 Department of Materials Science and Engineering, University of Pennsylvania, Philadelphia, Pennsylvania, United States
Show AbstractAtomically-abrupt oxide interfaces can provide useful electronic properties and functionalities. We have explored a possibility of such interfaces for manipulating the flow of energy quanta, e.g. charge carriers, both along and across interfaces in atomically-stacked (LaAlO3)n/(SrTiO3)m superlattices on both (001) SrTiO3 and (001) NdGaO3. The heterostructures offer interfaces with different built-in potential, giving rise to altering electronic states largely depending on the thickness of LaAlO3 layers. While we have found similar thickness dependence of the resistivity change along the interfaces as in the polar catastrophic reconstruction scenario, our superlattices grown in high oxygen pressures show neither high conductivity nor high mobility. The typical carrier density is only in the range of 1012-1013/cm2 with rather low carrier mobility. However, we have found that increasing the thickness of LaAlO3 significantly modifies the electric transport across the interfaces due to the built-in potential difference between interfaces and interface polarity. In this talk, a systematic study on electric transport properties along and across the polar interfaces will be presented. *Research sponsored by the Division of Materials Sciences and Engineering, U. S. Department of Energy.
9:00 PM - K9.5
Atomic Scale Compositional Tuning of Complex Oxide Interfaces by Photo-excitation and Influence on Electrical Properties.
Gulgun Aydogdu Kuru 1 , Shriram Ramanathan 1
1 School of Engineering and Applied Science, Harvard University, Cambridge, Massachusetts, United States
Show AbstractUnderstanding the role of point defects in emergent phenomena at oxide interfaces is presently an outstanding problem. Specifically, how does one de-convolute electron correlation phenomena from electroneutrality considerations leading to non-trivial electrical response of oxide semiconductors? Understanding this seemingly elementary aspect is critical to any electronic device that one may envision with complex oxides containing transition metal cations. We discuss the approach of gentle photo-excitation to control oxygen concentration at interfaces at atomic scale. Mechanisms enabling such atomic scale oxygen incorporation into oxide surfaces will be discussed. Further, representative examples of compositionally tuned metal-insulator transitions in nickel oxide and rare earth nickelate thin films will be discussed. Experimental challenges in investigating defect chemistry at low temperatures will be considered and novel approaches to probe defect mediated conductivity will be discussed.
9:00 PM - K9.6
Transport Anisotropy of Epitaxial VO2 Films Grown on (100) TiO2.
Salinporn Kittiwatanakul 1 , Stuart Wolf 1 2 , Jiwei Lu 2
1 Physics, University of Virginia, Charlottesville, Virginia, United States, 2 Material Science and Engineering, University of Virginia, Charlottesville, Virginia, United States
Show AbstractVanadium dioxide (VO2) exhibits a metal semiconductor transition (MST) at 340 K. Below TMST it is a narrow gap (~0.6 eV) semiconductor with a monoclinic structure. Above TMST, it has a rutile structure and exhibits metallic properties. This transition is accompanied by the abrupt change in the electrical conductivity, optical transmittance and reflectance in infrared region which can be used in the electronic devices such as temperature sensors and electric switches.In this study, Reactive Bias Target Ion Beam Deposition (RBTIBD) was used for epitaxial VO2 thin film growth on TiO2 (100) substrates. The single phase VO2 was then confirmed by out-of-plane XRD spectra. The out-of-plane XRD scans have also been performed to confirm the epitaxial relationship between the film and substrate. The ring shaped top contacts was deposited on the film via the photolithographic process. The in-plane conductivity of the thin film demonstrated an angular dependence due to the conductivity anisotropy in VO2. It is found that the maximum conductivity was parallel to <001> orientation of VO2, while the minimum conductivity was parallel to <010> of VO2. The conductivity anisotropy ratio σmax/σmin was found to be ~2.3 at 300 K. The conductivity anisotropy persisted through the metal insulator transition. To further characterize the transport properties, a nanoscale L-shaped bar has been designed and fabricated by the e-beam lithography. The configuration is designed such that one side of the hall bar is parallel to the R-VO2 <001> direction, and the other is parallel to the <010> direction. The Hall effect will be examined along the two arms of the L-shaped bar as a function of temperature which will provide the information on the carrier concentration and the mobility as function of the crystal orientation.
9:00 PM - K9.7
Electrical Transport in Individual Nanowires of Metal-doped VO2.
Tailung Wu 1 , Luisa Whittaker 2 , S. Banerjee 2 , G. Sambandamurthy 1
1 Physics, University at Buffalo-SUNY, Buffalo, New York, United States, 2 Chemistry, University at Buffalo-SUNY, Buffalo, New York, United States
Show Abstract Vanadium oxide (VO2) has been a model system to study the metal-insulator transition (MIT) [1] and is a potential material for use as themochromic devices and Mott-FETs [2]. When heated slightly above room temperature (T), VO2 undergoes a large drop in resistance (R) from an insulating monoclinic phase (I) to a metallic rutile phase (M) [3]. This MIT in VO2 can also be driven electrically, optically or by external strain [4]. Here we report an experimental study of the doping effects on the transport properties of individual WxV1-xO2 nanowires. The nanowires (l = 15-100 μm, w = 200-600 nm) are synthesized by a hydrothermal reduction of bulk V2O5 in the presence of small reducing molecules and W as a substitutional dopant [5]. The M-I transition temperature (TC) in individual nanowires is found to decrease as W composition increases and the TC is controllably tuned from 280 to 330 K. In the low T insulating phase, the resistance shows thermally activated behavior (R=R0 exp[Ea/kT]), where Ea is the activation energy (~ 40-100 meV for our nanowires). Also, an I to M transition is observed when the applied voltage increases beyond a threshold voltage (VTH). When the wire is driven from I to M, VTH↑ shows linear dependence on T whereas in the reverse direction (M to I), VTH↓ follows square root T dependence suggesting that Joule heating is the dominant mechanism. Implications of these observations for the precise tuning of MIT and device architectures will be presented.[1] N. F. Mott, Rev. Mod. Phys. 40, 677 (1968); J. B. Goodenough, J. Solid State Chem. 3, 490 (1971); T. M. Rice, H. Launois, and J. P. Pouget, Phys. Rev. Lett. 73, 3042 (1994).[2] S. Hormoz and S. Ramanathan, Solid-State Electron. 54, 654 (2010); C. Zhou and D. Newns, Appl. Phys. Lett. 70, 598 (1997).[3] M. M. Qazilbash et al., Science 318, 1750 (2007).[4] H.-T. Kim et al., J. Appl. Phys. 107, 023702 (2010); M. Rini et al., Appl. Phys. Lett. 92,181904 (2008); J. Wei et al., Nat. Nano. 4,420 (2009).[5] L. Whittaker et al., J. Mater. Chem. 19, 2968 (2009).
9:00 PM - K9.8
Achievement of High Temperature-coefficient of Resistance at Room Temperature in W-doped VO2 Thin Films.
Hidefumi Takami 1 , Teruo Kanki 1 , Nam-Goo Cha 1 , Hidekazu Tanaka 1
1 , Osaka University, Ibaraki, Osaka, Japan
Show AbstractVanadium dioxide (VO2) has electronically attractive properties, showing orders-of-magnitude changes in resistivity at around 340 K (Tc). From a practical point of view, the abrupt change of resistivity in this material is applicable to uncooled bolometers. As one of the performance indexes, the temperature coefficient of resistance (TCR) defined as (1/ρ)(dρ/dT) is applied. The TCR value of VO2 is usually over 70 %/K. However, the maximum value of TCR (TCRmax) is obtained only at a high temperature of around 340 K rather than room temperature. Furthermore, the narrow temperature range of high TCR by the first-order phase transition makes it inconvenient for application to practical devices working at room temperature. Dopant-control into mother VO2 materials is one of the promising methods to obtain a high TCR around room temperature with a wide range. W would be a most effective dopant to reduce TMI on a per atomic percent base while keeping a relatively high TCR down to room temperature because the W-dopant would supply two electrons to the VO2 and has a wider 5d orbital than the 3d orbital of V, all of which makes the metallic states being more stabilized than other dopant elements. The V1-xWxO2 (hereafter denoted as VWO) thin films were deposited on Al2O3 (0001) single crystal substrates using a pulsed laser deposition (PLD) technique (ArF excimer: λ=193 nm). The film thickness was varied from 43 to 320 nm, measured by nanoscale hybrid AFM (Keyence VN-8000). The film structure was examined by X-ray diffraction measurements (Rigaku RINT2000), and the electronic properties were measured using a four-probe method with a Physical Property Measurement System (PPMS Quantum Design). Tc was effectively shifted from 340 K for the VO2 thin film to 322 K and 300 K for the VWO thin films with x=0.01 and 0.02, respectively. The TMI-reduction rate against W-content x (dTMI/dx) was about 20 K/%. Resistivity in the insulating state in the low temperature region was one-order reduced by the doping at per 1 at.%. On the other hand, resistivity in the metallic state in the high temperature region did not change significantly. Such electronic characteristics of W-doped VO2 without introducing any defect in crystal structure would be related to the modification of charge density and electron transfer by the change from a 3d orbital in V to a 5d orbital in W. The values of TCRmax were -74.8 %/K at Tmax=337 K, -24.1 %/K at 315 K, and -7.8 %/K at 284 K for the VWO thin films with x=0, 0.01 and 0.02, respectively. The VWO thin films prepared in this study, especially the x=0.01 film, exhibited a remarkably giant |TCRmax| over 10 %/K near room temperature in comparison with that recorded for VOx, which is usually applied for uncooled bolometers, and also with that exhibited by the various oxides known as high-TCR materials . In this meeting, we will discuss the detail mechanism to obtain the high-TCR at room temperature in this material.
9:00 PM - K9.9
Noise-driven Signal Transmission Using Nonlinear Property of VO2 Thin Films.
Teruo Kanki 1 , Yasushi Hotta 1 , Naoki Asakawa 2 , Tomoji Kawai 1 , Hidekazu Tanaka 1
1 ISIR, Osaka University, Ibaraki, Osaka, Japan, 2 , Gunma University, Kiryu, Gunma, Japan
Show AbstractVanadium dioxide (VO2) is a correlated electron material that exhibits an insulator-to-metal transition (IMT) by applying bias voltage. The IMT with huge nonlinear changes of the conductance provides an unusual transmitter, mimicking neurotransmission of bio-systems. Neuronal systems that are constantly exposed to ambient noise have the ability that harnesses power of noise to enhance the reliability of signal transmission in a noisy environment, which is well-known as stochastic resonance (SR) [1]. In various technological areas, SR has generated interest because of the possibility of using it in sensing applications to detect weak signals in a noisy environment. The principle of SR is considered to synchronize between a weak signal below a barrier of a bistable potential and transition nonlinearly between the two states assisted by noise. Thus, oxides with correlated electrons, especially VO2 , are a promising material for such applications working at room temperature. In this research, SR property of VO2 thin films was investigated. VO2 thin films were fabricated on TiO2 (100) substrates by a pulsed laser deposition technique. A square-wave signal was inputted into VO2 films, which was a lower level of amplitude (5.5V) than a threshold voltage of IMT (8.0V). The response to a weak pulse signal was optimized by a particular level of noise via SR. Numerical SR simulations suggests the existence of multiple threshold channels in the insulating state, spontaneously enhancing the reliability of signal transmission [2]. In this meeting, we will explain the detail experimental concept, method and results.
[1] L. Gammaitoni et al, : Rev. Mod. Phys. 70,223 (1998)
[2] T. Kanki et al, : Appl. Phys. Lett. 96, 242108 (2010)
K8: Poster Session: Structures and Resistive Switching
Session Chairs
Thursday AM, December 02, 2010
Exhibition Hall D (Hynes)
9:00 PM - K8.1
PEG-Assited Hydrothermal Synthesis of Bi2Fe4O9 Nanoparticles and Its Photocatalytic Activity.
Dengrong Cai 1 , Jianmin Li 1 , Dengren Jin 1 , Shengwen Yu 1 , Jinrong Cheng 1
1 School of Materials Science and Engineering, Shanghai University, Shanghai China
Show AbstractBi2Fe4O9 nanoparticles have been synthesized successfully via a PEG-assissted hydrothermal route. The obtained particles were characterized by X-ray diffraction (XRD), scanning electron microscope (SEM), transmission electron microscope (TEM) and UV-Visible diffuse reflectance spectrum (UV-DRS). It was found that the particle size and Bi/Fe molar ratio of as-prepared samples were related to PEG concentration. The photocatalytic performance under visible light irradiation was evaluated by using Rhodamine B as a model organic compound. The results indicated that the photodegradation efficiency of PEG-assisted hydrothermally synthesized Bi2Fe4O9 were higher than that of the sample prepared without PEG. Furthermore, the chemical stability of Bi2Fe4O9 nanoparticles were confirmed by Fourier transform infrared spectroscopy (FT-IR) on the photocatalyst before and after the Rhodamine B degradation.
9:00 PM - K8.10
Electrical Properties of Sodium and Hydrogen Titanate Nanostructures.
Diego Alves 1 , Alemar Goncalves 1 , Erick Avila 1 , Leonardo Campos 1 , Fabio Fonseca 2 , Rodrigo Lacerda 1 , Andre Ferlauto 1
1 Física, Universidade Federal de Minas Gerais, Belo Horizonte, Minas Gerais, Brazil, 2 , IPEN, São Paulo, São Paulo, Brazil
Show AbstractHydrogen and sodium titanates nanotubes (TNT) can be efficiently produced by treating TiO2 powders in alkaline solutions [1]. Although most of the work on these materials has been on their structural identification and control, recently several applications have been proposed such nano-composite polymeric electrolytes in fuel cells [2]. There have been only few reports on the electrical properties of these materials. In this work, we have performed a systematic study of the electrical properties of TNT under different atmospheres and temperatures. Two measurement configurations were applied (i) two point DC-measurements on thick films produced by doctor-blading, and (ii) AC-impedance spectroscopy measurements on pellets. The TNTs were produced by the reflux of commercial TiO2 powder (anatase phase) in an aqueous NaOH solution and the resulting material was washed with DI water for neutralization. The as produced powder and films were characterized by scanning and transmission electron microscopies (SEM and TEM, respectively) and x-ray diffraction. The temperature dependence of the electrical conductivity from 25 to 300°C was determined for films subjected to different atmospheres (dry N2, N2 + 1% H2, and N2 + 1.5% H2O). It was observed that for T > 100°C, the conductivity is thermally activated with similar activation energy (EA~0,56 eV) for all atmospheres studied. On the other hand, for T < 100°C, the linear dependences of the conductivity on the Arrhenius plot show clear deviations, especially for the measurements performed humid atmosphere. Similar behavior was observed from the AC impedance measurements, however in this case a clear history-effect was observed for samples measured under argon and argon+3% H2O atmopphere. This behavior can be possibly attributed to structural changes in the TNT and/or the loss of H2O adsorbed within the tubes. The results suggest that protonic transport mediated by H2O molecules in the TNT may become dominant at low T. [1] D.V. Bavykin, J.M. Friedrich, and F.C. Walsh, Adv. Mater. 18 (2006) 2807-2824.[2] B.R. Matos, E.I. Santiago, F.C. Fonseca, M. Linardi, V. Lavayen, R.G. Lacerda, L.O. Ladeira and A.S. Ferlauto, J. Electrochem.Soc.154,(2007)B1358-B1361.
9:00 PM - K8.11
Wide-bandgap ZnMgO Nanowire Arrays for UV or Blue Lighting and Solar Energy Harvesting.
Paresh Shimpi 1 , Pu-Xian Gao 1
1 Chemicals, Materials and Biomolecular and Institute of Material Science, University of Connecticut, Willimantic, Connecticut, United States
Show AbstractZnO is the most promising semiconductor for blue light emission with a wide bandgap of 3.37 eV and an exciton binding energy of 60 meV. Similar to GaN-AlN semiconductor alloy system, the bandgap of the ZnO can be controllably increased by alloying with MgO to from ZnMgO. Successful synthesis of ZnMgO nanowire vertical arrays with 4 at.% of Mg has been achieved by a 2-step sequential hydrothermal synthesis technique on silicon substrate without using post-annealing treatment[1]. The specific template of densely packed ZnO nanowire arrays was found to be instrumental in enabling the alloyed ZnMgO nanowires. Post annealing treatment was conducted in ambient air at different temperatures and time durations to study the nanostructural and optical property evolution in ZnMgO nanowires on Si substrates. Photoluminescence (PL) study results revealed that ultra-violet (UV) near-band-edge (NBE) emission was surprisingly mitigated until disappeared with annealing temperature increasing from 400 oC to 900 oC. As the annealing temperature increased, intensity of UV peak decreased while intensity of visible peak (490-520 nm) increased. The structure evolution upon thermal annealing was revealed to be responsible for these abnormal photoluminescence properties variations, where unusual (ZnMg)1.7SiO4 epitaxially evolved on ZnMgO nanowires surface and contributed to the quenching of UV NBE emission[2]. Furthermore, we have synthesized ZnMgO nanowire arrays by hydrothermal synthesis on quartz substrate and conducted post-annealing treatment from 400 oC to 900 oC in vacuum as well as in air. Scanning electron microscope images revealed that at 900 oC rapid thermal annealing, ZnMgO nanowire arrays are intact with rough surface and Mg at.% has increased up to 10 at.%. With vacuum annealing of ZnMgO nanowires at 900 oC, visible emission was successfully suppressed and disappeared, while UV NBE peak intensity nearly tripled and blue shifted by ~8 nm compared to as synthesized ZnMgO nanowires. Whereas, in ambient air annealing of ZnMgO nanowires on quartz substrate UV NBE peak intensity has decreased and visible emission peak has increased. Normally in ZnO related structures, visible emission occurs due to point defects such as zinc interstitial and oxygen vacancy. Disappearance of visible emission due to vacuum annealing indicates that point defects in the ZnMgO nanowires have decreased and crystalline quality increased and also, it suppressed the defect related emission by limiting the carrier recombination. Whereas, increased visible emission in air annealed sample covers the solar spectrum range from 350-650 nm. These two results based on different annealing environment may enable well desired ZnMgO nanowire with potential applications in both solid state lighting and and solar energy harvesting.
9:00 PM - K8.12
CO2 Photo-desorption on ZnO Nanowires Surface.
Zhihua Su 1 , Carsten Ronning 3 , Sebastian Geburt 3 , Abdelhak Bensaoula 1 2 , Qingkai Yu 1 , Shin-Shem Pei 1 , Zhihong Liu 1 , Jiming Bao 1
1 Department of Electrical and Computer Engineering, university of houston, Houston, Texas, United States, 3 Institute of Solid State Physics, University of Jena, Jena Germany, 2 Department of Physics, university of houston, Houston, Texas, United States
Show AbstractZnO nanowires have showed attractive electrical and optical properties which could potentially be utilized in nanowire-based devices. However, these properties are greatly affected by ambient gases. Oxygen is widely accepted as one of gas species that are adsorbed and desorbed on ZnO nanowire surface, and desorption of oxygen under UV illumination is believed to be responsible for enhanced photoconductive response in ZnO nanowires. But direct evidence for oxygen photo-desorption is still lacking. In this research, we use mass spectrometer to directly monitor photo-desorbed gas species from ZnO nanowires. The experiment is performed in a high vacuum chamber, ZnO nanowires are synthesized by chemical vapor deposition, a 362nm UV laser is used as excitation source. We have observed the photo-desorption of CO2 instead of O2. The desorption rate is proportional to the UV intensity and decreased with the UV exposure time. A 532nm laser is also used to do similar experiment, and CO2 photodesorption is not observed. We believe that CO2 originates from impurity carbon and absorbed O2 through a photocatalytic reaction.
9:00 PM - K8.13
Determination of Potential Profile at Pt/SrTiO3:Nb Schottky Junction by X-ray Photoemission.
Naoki Ohashi 1 , Jianyong Li 1 , Hideyo Ohkushi 1 , Yoshiyuki Yamashita 1 , Shigenori Ueda 1 , Hideki Yoshikawa 1 , Keisuke Kobayashi 1 , Hajime Haneda 1
1 , National Institute for Materials Science, Tsukuba Japan
Show AbstractVery recently, it was found that Pt/SrTiO3:Nb junctions show very huge hysteresis in their I-V relationship. This huge hysteresis is considered to be appropriate for non-volatile memories. Thus, many studies aiming to the development of new non-volatile memories are initiated. In this paper, we present results of photoemission spectroscopy (PES) for Pt/SrTiO3:Nb junctions in addition to the electric properties of the junctions. Since the field dependence of permittivity of SrTiO3 has been proposed to explain junction properties of Pt/SrTiO3:Nb, we thought that combination of C-V measurements with the other technique has to be needed to clarify the electronic structure at Pt/SrTiO3:Nb junctions. PES measurement was performed under this idea. Two kinds of X-ray incident beam, monochromated AlKα radiation and 6keV X-ray generated with synchrotron radiation, were used to obtain XPE spectra with different electron escaping depth. It was clearly confirmed that the barrier height at these junctions were independent of Nb (donor) concentration in SrTiO3:Nb crystals and the depletion layer width was found to be a function of Nb concentration. The width of depletion layer is discussed in relation with electric field dependence of dielectric permittivity of SrTiO3.
9:00 PM - K8.14
Bipolar Resistance Switching Driven by Tunnel Barrier Modulation in TiOx/AlOx Bi-layered Structure.
Seung Jae Baik 1 , Koeng Su Lim 1
1 Electrical Engineering, KAIST, Daejeon Korea (the Republic of)
Show AbstractUpon the introduction of resistance change memory as a versatile memory element for a very large scale integration, widespread exploration of resistance switching materials in the form of metal/insulator/metal began. Despite the variety of resistance switching materials, practical application is still limited by a lack of understanding of the switching mechanism. One of the well-established models is based on Schottky barrier modulation induced by redox at the interface, where the electric field or thermally driven migration of oxygen vacancies induces the formation of conducting filaments composed of oxygen vacancies. For similar Pt/TiO2/Pt stacks, however, different descriptions with a similar modeling idea have been reported, where appropriate characterization and process control of defects would be an important issue for a universal understanding.For a more universal understanding, we propose a switching material with bi-layered structure composed of a 'transport layer' and a 'tunnel layer'. The transport layer determines the set state resistance, while the tunnel layer determines the reset state resistance. In this work, we investigate the switching behavior of an Ir/AlOx/TiOx/TiN structure with various AlOx thicknesses and active areas to reveal that the switching is caused by the modulation of the AlOx tunnel barrier, where TiOx is the transport layer and AlOx is the tunnel layer. Redox in the AlOx layer forms a number of conducting paths that scales with the area. The appropriate switching characteristics in a bi-layered structure can be obtained by adopting a tunnel barrier layer that has a higher lattice binding energy than the transport layer.
9:00 PM - K8.16
Forming Processes of Bipolar and Unipolar Resistance Switchings: Similarities and Differences.
Yoo Hyang Keun 1 , Lee Shin Buhm 1 , Lee Jae Sung 1 , Chang Seo Hyoung 1 , Kahng Byungnam 1 , Kang Bo Soo 2 , Lee Myoung-Jae 3 , Kim Chang Jung 3 , Noh Tae Won 1
1 Physics and Astronomy, Seoul National University, Seoul Korea (the Republic of), 2 Department of Applied Physics, Hanyang University, Ansan Korea (the Republic of), 3 , Samsung Advanced Institute of Technology, Yongin Korea (the Republic of)
Show AbstractResistance switching (RS) phenomena have attracted revived interests recently due to their possible applications in nonvolatile memory devices. There are two kinds of RS, bipolar RS (BRS) and unipolar RS (URS), depending on electrical polarity. These two phenomena have been studied independently, and many researchers have proposed different mechanisms for them. However, very recently, we observed that both BRS and URS could occur in the same sample, with switching between these two RS types. This indicates that both phenomena should be closely related to each other. For obtaining RS, we need to apply a large external voltage to a pristine sample in order to reach low resistance state, called the forming process. The forming process of URS is generally considered to come from dielectric breakdown, but the details are far from being satisfactorily understood yet. In addition, our understanding of the BRS forming process is quite poor. In this presentation, we will report characteristics of the forming processes in URS and BRS and compare their similarities and differences. Based on such findings, we will search for a detailed understanding of their possible mechanisms.We initially performed forming processes on Pt/SrTiO3/Pt, Pt/TiO2/Pt, and Pt/NiO/Pt capacitors, which showed URS. In these samples, the forming process can occur by applying either negative or positive bias. When we applied a square voltage pulse, the required time for the forming process was found to have an exponential relationship with the pulse amplitude. Interestingly, its temperature dependence shows two regimes. One is the purely electrical dielectric breakdown in which the required time increases as temperature goes higher. The other is the thermally assisted forming process which shows the opposite temperature dependence. The transient behavior of the latter process is consistent with that of the thermally activated oxygen vacancy motion which helps the dielectric breakdown.On the other hand, we performed the BRS forming process by using Pt/Ta2O5/TaOx/Pt. The pulse measurements show the same behavior, i.e. an exponential relationship with the same experiments. However, contrary to the URS forming, we found only the thermally assisted forming process in BRS from the temperature dependence measurements. In addition, we found that the reliable BRS can be obtained only after a forming process with a negative bias on the top electrodes.These similarities and differences between URS and BRS can be understood in the interface-modified RCB network model, which is unified theory to explain both URS and BRS. This model shows that conducting filaments were generated inside the bulk during the forming process, irrespective of BRS and URS, which causes the similarities between them. On the other hand, the differences between the forming processes could be explained by the fact that the interface can play important roles only in the BRS.
9:00 PM - K8.17
Observation of Growth Kinetics of Conductive Filaments in TiO2 Thin Films Using Conductance Atomic Force Microscopy.
Jiyoon Kim 1 2 , Seungbum Hong 1 , Seong Keun Kim 3 , Cheol Seong Hwang 3 , Kwangsoo No 2
1 Materials Science Division, Argonne Nationnal Laboratory, Argonne, Illinois, United States, 2 Materials Science and Engineering, KAIST, Daejeon Korea (the Republic of), 3 WCU hybrid materials program, Materials Science and Engineering, Seoul National University, Seoul Korea (the Republic of)
Show AbstractSimple binary oxide thin films such as NiO, ZnO and TiO2 have emerged, as the main candidates for next generation non-volatile resistance change memories due to its ease of processing, reliable switching and inherent scalability down to nanometer size [1-5]. Adding intermediate resistance state could result in further increase of memory density if realized. We have recently found that intermediate resistance state can reliably achieved if we switch the low resistance state (LRS) to high resistance state (HRS) by positive (negative) threshold bias voltage (Voff) and switch back to LRS by negative (positive) threshold bias voltage (-Von) in TiO2 thin films grown on Pt/SiO2/Si substrate by atomic layer deposition. We will investigate the microscopic origin of the occurrence of intermediate state in bipolar switching scheme using conductance atomic force microscopy between interdigitated electrodes on TiO2 thin films.References:[1] M. J. Lee et al., Adv. Mater. 19, 73-76 (2006)[2] R. Waser, M. Ano, Nature Mater. 6, 833-840 (2007)[3] K. No, M. F. Berard, Journal of Solid State Chemistry 90, 126-146 (1991)[4] H. Y. Peng et al., Appl. Phys. Lett. 96, 192113 (2010)[5] D. -H. Kwon et al., Nature Nanotech. 5, 148-153 (2010)
9:00 PM - K8.18
Electric Field Induced Oxygen Vacancy Motion in the Pt/SrTiO3-x System Studied by Capacitance-voltage and Scanning Kelvin Probe Techniques.
Wenkan Jiang 1 , Mohammad Noman 2 , Yimeng Lu 1 , James Bain 2 1 , Paul Salvador 1 , Marek Skowronksi 1
1 Materials Science and Engineering, Carnegie Mellon, Pittsburgh, Pennsylvania, United States, 2 Electrical Engineering, Carnegie Mellon University, Pittsburgh, Pennsylvania, United States
Show AbstractOxygen vacancy motion is believed to play a major role in the resistance switching phenomenon observed in a wide variety of oxides. However, information regarding the room temperature motion of oxygen vacancies is scarce. Here, we report a significant capacitance changes as a function of time under bias in the high quality Pt/SrTiO3-x single crystal Schottky diodes and interpreted them as due to redistribution of oxygen vacancies. The electric field strength in the depletion region of the barrier was comparable to the typical fields used to switch thin film devices (105-106 V/cm). The vacancy mobility was estimated at 3×10-13 cm2/Vsec and was 6 orders of magnitude too low to explain sub-microsecond resistance switching speed reported in literature. The changes in I-V characteristics occurred on the similarly long time scale. The difference between reported switching behavior and our observations was likely due to very low leakage current throughout the experiment eliminating localized joule heating. To study the effect of heating, oxygen vacancy mobility values were measured using the same C-V technique at different temperatures to obtain the activation energy. Complementary scanning Kelvin probe measurements are being carried out on the cleaved Pt/SrTiO3-x diodes in as-fabricated and voltage-stressed states to study the changes in the near-interface potential profiles caused by the voltage stressing inaccessible to C-V method.
9:00 PM - K8.19
Investigation of Resistive Switching Behavior and Nanoscale Electronic Transport of Au/Nb-doped SrTiO3 Junctions Under Different Working Pressures.
Renato Buzio 1 , Andrea Gerbi 1 , Alessandro Gadaleta 2 , Emilio Bellingeri 1 , Antonio Sergio Siri 2 1 , Daniele Marre 2 1
1 , CNR SPIN Institute, Genova Italy, 2 Physics Department, University of Genova, Genova Italy
Show AbstractWhenever a Schottky barrier is formed at the junction between large work function metals and electron-doped SrTiO3 (STO) samples, the macroscopic rectifying transport is accompanied by a resistance switching behavior. This is likely due to local, field-induced accumulation or depletion of oxygen vacancies at the vicinity of the metal/STO interface, that in turn might lead to redox processes responsible for the appearance of resistance switching [1]. Metal/Nb-doped SrTiO3 (Nb:STO) junctions, built from Nb:STO single crystals and thin films, represent a model system for the elucidation of the physical mechanisms driving the switching response in perovskite-type oxides. Several investigations recently addressed the dependence of resistive switching on a number of intrinsic physical properties of the interface (e.g. preparation, metal work function, interface states, crystalline disorder) as well as experimental working conditions (temperature, oxygen partial pressure, electroforming process, device design) ([1-4] and references therein). There is no doubt that a deeper insight on this subject was readily gained when the traditional, macroscale studies of transport properties were effectively complemented by the use of local probes, addressing junctions response at the nanoscale [5].Here we have studied the electrical response of Au/Nb:STO(001) (0.05 wt %) single-crystal junctions under different gases atmospheres, with pressure ranging from 103 mbar down to 10-10 mbar. In detail we explore the impact of noble gases, O2 and H2 partial pressures, temperature and Au electrode deposition technique on junction response. We observe a remarkable dependence of bipolar resistive switching on working pressure, attested by a dramatic decrease of current-voltage curves hysteresis and resistance switching ratio for an O2 rich atmosphere. Since nanometer-scale alterations (or even bypassing) of the Schottky barrier represent one of the microscopic mechanisms proposed to explain this resistance switching phenomenon [1-4], we also describe novel experiments, based on Ballistic Electron Emission Microscopy (BEEM) [6], performed to directly visualize and quantify the local inhomogeneities of the effective Schottky barrier height under different working conditions and thus attempt a correlation with the macroscopic response of the studied system. [1] R. Waser, R. Dittmann, G. Staikov, K. Szot, Adv. Mater. 21, 2632 (2009).[2] T. Susaki, Y. Kozuka, Y. Tateyama, H. Y. Hwang, Phys. Rev. B 76, 155110 (2007).[3] C. Park, Y. Seo, J. Jung, D. W. Kim, J. Appl. Phys. 103, 054106 (2008).[4] D. S. Shang, J. R. Sun, L. Shi, J. Wang, Z. H. Wang, B. G. Shen, Appl. Phys. Lett. 94, 052105 (2009).[5] K. Szot, W. Speier, G. Bihlmayer and R. Waser, Nat. Materials 5, 312 (2006).[6] J. Smoliner, D. Rakoczy, M. Kast, Rep. Prog. Phys. 67, 1863 (2004).
9:00 PM - K8.20
Impact of Metal Electrode Deposition Process on Forming Voltage Fluctuation in Resistance Random Access Memory.
Hisashi Shima 1 , Hiroyuki Akinaga 1
1 Nanodevice Innovation Research Center, National Institute of Advanced Industrial Science and Technology, Tsukuba Japan
Show AbstractResistance random access memory (RRAM) attracts significant attention because of the potential to be the CMOS (complimentary metal-oxide semiconductor) compatible, ultrahigh density and ultrahigh speed non-volatile memory. Since the basic device structure of RRAM is the stacking of bottom electrode (BE)/oxide/top electrode (TE), it is expected that the oxide layer is affected by the TE deposition process. Especially, the forming process is thought to be sensitive to the TE preparation process because the external voltage for the forming process is always applied to RRAM in the pristine state. Here, we compared the forming voltage (VF) distribution in RRAM with Pt TE prepared by two contrasting TE deposition processes; magnetron sputtering and electron beam (EB) evaporation. The tested RRAM device has a stacking structure of PtBE/CoO/PtTE. Pt electrodes were selected in order to exclude the chemical reaction at the Pt/oxide interface. In addition, the lift-off process was adopted in order to disable the charging effects during the plasma etching process. Henceforth, SP-Pt and EB-PT were used to represent the device with PtTE synthesized by the magnetron sputtering and EB evaporation techniques, respectively. It should be noted that the forming, reset and set processes were observed in those devices. We evaluated the VF fluctuation using 24 identical devices. The DC voltage was driven at TE with an increment of 0.1 V. In the case of SP-Pt, the value of VF ranged from 2.0 V to 2.8 V. The median value (VFmedian) and the standard deviation (σVF) of VF are, respectively, about 2.6 V and 0.15 V. In contrast, VF in all tested EB-Pt was 2.3 V. Thus, VFmedian and σVF were evaluated to be 2.3 V and 0.0 V for EB-Pt, demonstrating an excellent uniformity in VF. Since the forming process is regarded as a breakdown phenomenon, the characterization of the defect-related energy levels in the oxide layer is particularly informative in order to clarify the origin of the significantly suppressed VF fluctuation observed in EB-Pt. Therefore, the thermally stimulated current (TSC) measurement was performed for EB-Pt and SP-Pt. In TSC curve for EB-Pt as a function of temperature, three characteristic peaks were observed: a quite abrupt peak at 225 K, slightly broad convex peaks ranging from 130 to 210 K and from 100 to 130 K. On the other hands, only one quite broad peak ranging from 100 to 210 K was observed in the TSC curve for SP-Pt. This result indicates that the energy levels in RRAM are markedly influenced by the TE preparation process. According to the present TSC results, the energy levels in EB-Pt is considered to be narrower in energy and further separated compared with those in SP-Pt. Such disjunctive feature of energy levels in EB-Pt may be related to the uniformity of VF, indicating that the control of the TE preparation process can improve the operational reliability.
9:00 PM - K8.21
Fabrication of Semi-transparent Resistive Random Access Memory and Its Characteristics of Nonvolatile Resistive Switching.
Jung Won Seo 1 , Seung Jae Baik 1 , Sang Jung Kang 1 , Yun Ho Hong 1 , Koeng Su Lim 1
1 , KAIST, Daejeon Korea (the Republic of)
Show AbstractCurrently, transparent (or see-through) electronics are an emerging class of new technological paradigm to provide various applicable opportunities not predictable with the current rigid electronics such as single-crystal silicon technology. Since the first transparent thin film transistors were reported in 2003, various transparent electronics such as ferroelectric capacitors, p-n diodes, OLED (organic light-emitting diode), and memory have been also widely investigated. It can be expected that the achievement of this kind of electronics will provide a new step toward the completeness of the transparent electronic systems. We previously reported the fabrication of fully transparent resistive random access memory (TRRAM) device composed of ITO/ZnO/ITO on glass substrate [Appl. Phys. Lett. 93, 223505 (2008)]. In this work, to advance this area of study, we report that the fabrication of a semi-transparent RRAM based on a ZnO thin film on a glass substrate. For the high density and good transmittance of the semi-transparent RRAM, we employ the structure of cross-bar type electrodes and split the width of them from 3 um to 100 um. In order to prevent the interference among the adjacent cells, we also introduce the transparent p-n diode structure, composed of WO3 and ZnO (or NiO), on the ZnO RRAM cell. Based on characteristics of resistive switching, we evaluate the potential for a next step toward realization of transparent electronic system.
9:00 PM - K8.3
Molybdenum Oxide Nanoparticles from Low Pressure Flame Synthesis for Semiconductor and Sensing Applications.
Ali Abdali 1 , Sonja Hartner 1 , Hartmut Wiggers 1 2 , Christof Schulz 1 2
1 Institute for Combustion and Gasdynamics (IVG), University of Duisburg-Essen, Duisburg Germany, 2 CeNIDE, Center for Nanointegration Duisburg-Essen, University of Duisburg-Essen, Duisburg Germany
Show AbstractWith respect to increasing thin-film technologies and applications, synthesis and tuning of metal oxides with semi-conducting properties especially from redox-active transition metals is of high interest. Molybdenum oxide is a one of the materials of concern that hasn’t been investigated in detail regarding thin film applications. Molybdenum trioxide (MoO3) is a wide band gap (3.2 eV) n-type semiconductor and the possibility of influencing the stoichiometry of molybdenum oxides makes it a useful material for electrochromic, photochromic, and display applications. In the past, MoO3 has been mainly used as a catalyst for oxidation of hydrocarbons, alcohols, propane and methane, and for the reduction of NOx. Recently, Molybdenum oxide has also been utilized as a new gas sensing material and was found to respond to both, oxidizing (NOx) and reducing gases (H2, NH3, and CO), respectively. It is expected that especially the high surface-to-volume ratio of nanostructured particles makes their electrical response extremely sensitive to the species adsorbed on the surface. Stoichiometric as well as sub-stoichiometric molybdenum oxide nanoparticles have been synthesized in a low-pressure H2/Ar/O2 flame reactor using molybdenum (VI) fluoride as a precursor. The influence of the main parameters such as residence time, H2/O2 ratio and precursor concentration on particle size, morphology and stoichiometry has been investigated. The particle size, size distribution, and morphology have been characterized by transmission electron microscopy (TEM) indicating that the mean particle size increases with increasing residence time and precursor concentration. The crystallinty of as-prepared nanoparticles was investigated with x-ray diffraction (XRD) and the results show that depending on the O2/H2 ratio, materials with various Molybdenum oxidation states, crystal structure, morphology and color have been produced. For the higher O2/H2 ratios used, almost stoichiometric MoO3 particles with orthorhombic crystalline structure and a metallic grey color were formed while lower O2/H2 ratio results in dark blue materials. The sensing properties of all the synthesized particles have been investigated under different atmospheres such as H2, Ar and synthetic air using impedance spectroscopy. The results of the electrical measurements clearly show semiconducting behavior in air while the measurements in hydrogen indicate nearly metallic behavior with an increase in conductivity of a few orders of magnitude. The results also show a good and fast reversibility between reducing and oxidizing conditions making molybdenum oxide nanoparticles a very attractive material for sensing applications.
9:00 PM - K8.5
Effect of Ultraviolet Radiation on Slow-relaxation Processes in Ferroelectric Capacitance Structures.
Peter Petrov 1 , Neil Alford 1 , Andrey Kozyrev 2 , Mikhail Gaidukov 2 , A. Altynnikov 2 , A. Vasilevskiy 2 , G. Konoplev 2 , A. Tumarkin 2 , A. Gagarin 2
1 Dept. of Materials, Imperial College London, London United Kingdom, 2 , St-Petersburg Electrotechnical University “LETI”, St Petersburg Russian Federation
Show AbstractThe capacitance relaxation time of ferroelectric (FE) film based varactors under UV irradiation with wavelength range of λ=(310-340)nm was experimentally investigated. It was observed that the UV irradiation reduces the capacitance relaxation time τ three orders of magnitude in comparison with the corresponding relaxation time measured in “dark” regime. It was shown that the dependence of relaxation time on the UV irradiation wavelenght τ(λ) is non-monotonic. UV irradiation induces a significant leakage current in varactor structures which spectral dependencies I(λ) are also non-monotonic with position of maxima strongly correlated with minimum of τ(λ) dependence. Investigation of ferroelectric films with different thicknesses showed that a decrease of the film thickness results in the shift of extrema of τ(λ) and I(λ) dependence in the range of shorter UV wavelengths. Therefore one could suggest the photo-generation of non-equilibrium charge carriers in FE film screens and/or compensates the residual space charge inside the film volume. The total quantity of these carriers generated into the film body (outside of the defect surface layers) is non-monotonic function of radiation wavelength that results in appearance of extrema in spectral τ(λ) and I(λ) dependencies. Simple analysis using Bouguer law demonstrated satisfactory fit of the theoretical and the experimental spectral dependencies of the UV light induced leakage current. Thus, it is possible to predict the optimal UV irradiation wavelength to suppress the processes of slow capacitance relaxation in FE film structures.
9:00 PM - K8.7
Characterization of Thin Film Ferroelectrics for FRAMs.
Koichiro Honda 1 , Yasutoshi Kotaka 1 , Hironori Fujisawa 2 , Masaru Shimizu 2
1 Device and Materials Laboratories, Fujitsu Laboratories Ltd., Atsugi Japan, 2 Electrical Engineering and Computer Sciences, University of Hyogo , Himeji Japan
Show Abstract 1T-1C-type cell structure is widely used in FRAMs, similar to D-RAMs. However, a major drawback of this structure of FRAMs, unlike D-RAMs, is the capacitor shape that would affect the ferroelectric polarization direction when used as memory storage. Therefore the shape of FRAM capacitor should be planer. To make larger integrated FRAMs, we have to use smaller and thinner ferroelectric capacitors, since the capacitor should be scaled down according to the scaling law. Therefore, in order to achieve large scale integrated FRAMs, it is very important to study the ferroelectric capacitor threshold thickness, or in other words the minimum thickness, for appearing ferro-electricity. In this regard, we prepared high-quality PbTiO3 films with the thickness in the range from 2ML to 20 ML, grown on SrTiO3 (100) and SrRuO3/SrTiO3 (100) by MOCVD.We characterized these thin films by using HAADF-STEM with high resolution. To observe polarization switching due to an external electric field in ultrathin PbTiO3 (PTO)films, contact resonance PFM which has higher sensitivity than the conventional PFM was used. Regarding ferroelectric capacitor of FRAM, we have deposited SrRuO3(SRO)electrode having thickness greater than 20 ML on PTO by sputtering method. We also characterized these thin films by using HAADF-STEM with high resolution. We also observed polarization switching by conventional P-V measurements. We have obtained the following results. 1)2-20layers-thick PTO films with high crystallinity were successfully grown on SrTiO3(STO) and SRO/STO substrates by MOCVD. PTO, SRO and STO can be clearly distinguished by HAADF-STEM. HAADF-STEM gives direct information about the atomic displacement in PTO films on STO. PTO films with the thickness greater than 3 ML exhibit switchable polarization. 2)Over 20ML-thick SRO films with high crystallinity were successfully grown on 10ML-thick PTO films. HAADF-STEM directly shows ~11 ML damaged layers at the interface between PTO (4 ML) and SRO (~7 ML). In FRAM capacitor applications, damage layer may prevent from switching. These 4 layers in PTO at the interface may be designated as dead layer.
9:00 PM - K8.8
PLD/VLS ZnO Nanowire Growing on Vicinal Surfaces.
Aurelian Marcu 1 , Takeshi Yanaghida 2 , Lucian Trupina 3 , Marius Cioangher 3 , Ionut Nicolae 1 , Constantin Grigoriu 1 , Cristian Lungu 4 , Tomoji Kawai 2
1 Lasers, N.I.L.P.R.P., Bucharest-Magurele Romania, 2 I.S.I.R., Osaka University, OSAKA Japan, 3 Ceramic Oxidic Material, N.I.M.P., Bucharest-Magurele Romania, 4 Low Plasma Laboratory, N.I.L.P.R.P, Bucharest-Magurele Romania
Show AbstractNanowire become the subject of extensive research due to their great potential for fundamental studies and applications in nanoscale science and engineering. Due to their rich variety of physical properties, including ferroelectric, magnetic and superconducting properties, oxide nanowires might add further functionalities to nanowire-based devices. Vapor-liquid-solid (VLS) technique, is known as a cheap and reliable “Bottom-Up” nanostructure growing technique. However, this technique involves many physical elementary processes, that has to be controlled in order to obtain the desired grown morphology. Nanowire growing process is known to take place on the catalyst area but was also proved to be be enhanced by surface defects or presence of different small particles on the substrate surface working as “seeds” for the growing process. Here we investigated vicinal surface influences on the ZnO nanostructures growing process and presents evidences of nanostructure alignement and changes in growing morphology in connection with vicinal planes.
9:00 PM - K8.9
Structural, Optical and Magnetic Properties of Co-doped ZnO Nanorods.
Segundo Jauregui Rosas 1 , Oscar Perales-Perez 2
1 Lab. Fisica de Materiales, Departamento de Fisica, Universidad Nacional de Trujillo, Trujillo Peru, 2 Department of Engineering Science and Materials, University of Puerto Rico, Mayaguez, Mayaguez United States
Show AbstractZn1-xCoxO nanorods, with Co2+ content ranging from x = 0.00 to 0.0625, have been prepared by a wet chemical method and the effect of dopant concentration on the structural, optical and magnetic properties has been evaluated. XRD patterns show that single-phase samples with the wurtzite structure were obtained and no traces of any other phases were detected in the powders for all the dopant levels. The higher intensity of the (002) peak indicates the preferential growth along to c-axis. The linear dependence of cell parameters a and c with ‘x’, suggested the actual replacement of Zn by Co ions in the oxide lattice. Micro Raman spectroscopy measurements showed a band centered at 535cm-1, which can be assigned to a local vibrational mode related to Co species, in addition to the normal modes associated with wurtzite. The relative intensity and broadening of this band at 535cm-1 were enhanced by increasing ‘x’. In turn, the other characteristics bands of ZnO corresponding to A1 (E2, E1) and E2High modes were red shifted for all Co contents. UV-vis measurements shown that the energy band gap of nanorods decrease with increasing Co2+ content up to x = 0.03, but increase for higher contents. Room-temperature magnetization measurements revealed the paramagnetic behavior of the Co-doped ZnO nanorods.
Symposium Organizers
Gilberto Medeiros-Ribeiro Hewlett-Packard Laboratories
Jeremy Levy University of Pittsburgh
Rainer Waser Institut fuer Festkoerperforschung
Harold Hwang Stanford University
K12: Poster Session: Epitaxial Structures
Session Chairs
Thursday PM, December 02, 2010
Exhibition Hall D (Hynes)
K10: Oxide Heterostructures I
Session Chairs
Chang-Beom Eom
C. Stephen Hellberg
Thursday PM, December 02, 2010
Room 302 (Hynes)
9:30 AM - **K10.1
Thermodynamic Instability at the LaAlO3/SrTiO3(001) Interface - Implications for Electronic Structure.
Scott Chambers 1 , M. Engelhard 1 , V. Shutthanandan 1 , Z. Zhu 1 , T. Droubay 1 , L. Qiao 1 , P. Sushko 2 , T. Feng 3 , H. Lee 2 , T. Gustafsson 3 , E. Garfunkel 3 , A. Shah 4 , J. Zuo 4 , Q. Ramasse 5
1 Fundamental and Computational Sciences Directorate, Pacific Northwest National Laboratory, Richland, Washington, United States, 2 Department of Physics, University College London, London United Kingdom, 3 Department of Physics, Rutgers University, Piscataway, New Jersey, United States, 4 Department of Materials Science, University of Illinois Urbana-Champaign, Urbana, Illinois, United States, 5 SuperSTEM Laboratory, STFC Daresbury, Daresbury United Kingdom
Show AbstractWe have carried out a rather comprehensive experimental and theoretical investigation of composition and electronic structure at the LAO/STO interface using specimens prepared at several laboratories [1-3]. We have utilized a number of different experimental techniques with a range of sensitivities to determine atom profiles in the vicinity of the interface. We have also carried out classical and quantum mechanical calculations, along with statistical modeling, to assess the relative stabilities of abrupt and intermixed interface configurations. We find both experimentally and theoretically that the tendency of the interface to undergo intermixing upon formation is very strong. The abrupt interface is calculated to be thermodynamically unstable compared to intermixed configurations, particularly those that eliminate the interface dipole. Although the intermixing is at least partially correlated in the sense that A-site exchanges are roughly balanced by B-site exchanges, there is clear experimental evidence for preferential La diffusion into the STO. Moreover, high-energy-resolution x-ray photoemission spectra reveal that the underlying STO is n-type, the valence band offset is small (a few tenths of an eV), and there is no detectable electric field in either the LAO or the STO. Inasmuch as La is known to be a shallow donor in STO, these results suggest that the observed interfacial conductivity is at least partially due to La doping of STO and not 2DEG formation. [1] S.A. Chambers, M.H. Engelhard, V. Shutthanandan, Z. Zhu, T.C. Droubay, L. Qiao, P.V. Sushko, T. Feng, H. D. Lee, T. Gustafsson, E. Garfunkel, A. Shah, J. –M. Zuo, Surf. Sci. Rep., submitted (2010), and http://arxiv.org/abs/1006.1378.[2] L. Qiao, T. C. Droubay, V. Shutthanandan, Z. Zhu, P. V. Sushko, S. A. Chambers, J. Physics Cond. Mat., submitted (2010).[3] T.C. Droubay, L. Qiao, T.C. Kaspar, M.H. Engelhard, V. Shutthanandan, S.A. Chambers, Appl. Phys. Lett., submitted (2010).
10:00 AM - K10.2
Spontaneous Electronic Localization in Zero Field as the Origin of the Two-dimensional Electron Gas at Band-insulator Interfaces.
Alessio Filippetti 1 , Pietro Delugas 1 , Vincenzo Fiorentini 1 2
1 , CNR-IOM SLACS, Cagliari Italy, 2 Dept. of Physics , Cagliari University, Monserrato Italy
Show AbstractDespite intense theoretical work, an unambiguous explanation of two-dimensional electron gas (2-DEG) formation at band-insulator interfaces such as LaAlO3/SrTiO3 (LAO/STO) is still missing. A careful definition of the interface geometry and, most of all, boundary conditions is essential in this context. Asymmetric surface-interface systems or sandwich multilayers with asymmetric interfaces exhibit "polarization catastrophes", i.e. a thickness-dependent electrostatic potential building up across the LAO film due to polar discontinuity. In symmetric structures with two identical interfaces, instead, the built-in electric field vanishes and a self-doping of ½ electron per interface appears. Importantly, this self-doping is intrinsic and local, and provided by the trivalent La ion.Here we use advanced ab initio calculations (pSIC-LDA) to describe the symmetric scenario free of built-in electric fields, isolating the STO/LAO interface discontinuity as the only possible driving force for two-dimensional localization. The resulting local electronic reconstruction turns out to be intrinsic to the interface, and sufficient in itself to cause the formation of a 2-DEG, even in the absence of extrinsic mechanisms such as electric field build-up, surface reconstruction in ultrathin films, or charge transfer from defects or contacts. Our results give a solid theoretical foundation to a series of experiments connecting the 2-DEG formation to an electronic origin, and sets the intrinsic limit of sheet carrier concentration for LAO/STO to n~3x10^13/cm^2, or 0.05 electrons per 1x1 area (in agreement with low-temperature observed values).
10:15 AM - K10.3
Role of the Surface in Writing, Erasing and Maintaining Nanostructures at the LaAlO3/SrTiO3 Interface.
Feng Bi 1 , Daniela Bogorin 1 , Cheng Cen 1 , Chang-Beom Eom 2 , Jeremy Levy 1
1 Department of Physics and Astronomy, University of Pittsburgh, Pittsburgh, Pennsylvania, United States, 2 Department of Materials Science, University of Wisconsin, Madison, Wisconsin, United States
Show AbstractNanoscale control of the metal-insulator transition in 3 unit cell (uc) LaAlO3 on SrTiO3 heterostructure can be achieved using local voltages applied by a conducting AFM probe. One proposed mechanism for the writing and erasing process (C.S. Hellberg, unpublished) involves an adsorbed H2O layer at the top LaAlO3 surface. In this picture, water molecules dissociates into OH- and H+ which are then selectively removed by a biased AFM probe. To test this mechanism, writing and erasing experiments are performed under high vacuum and various gas mixtures conditions. Writing ability is suppressed either in vacuum or in gas environments where H2O is lacking. Writing experiments performed at atmospheric pressure under different relative humidity levels reveal a direct relationship between H2O content and the writing ability. Nanostructure lifetimes are also dependent on the ambient environment. The process of self-erasure and its association with atmospheric conditions are observed. Such self-erasure is strongly dependent on the relative humidity. The erasure rate is slowed greatly in dry inert gas environments and can be halted under modest vacuum conditions (~10-3 Torr).Support from NSF (DMR 0704022), ARO MURI (W911NF-08-1-0317), DARPA seedling (W911NF-09-1-0258) and National Science Foundation through grants ECCS-0708759 is gratefully acknowledged.
11:00 AM - **K10.4
Advanced Spectroscopies on Novel Conducting Interfaces.
Fabio Miletto Granozio 1 , Carmela Aruta 1 , Paolo Perna 1 , Davide Maccariello 1 , Muhammad Riaz 1 , Umberto Scotti di Uccio 1 , Nickolas Brookes 6 , Marco Moretti Sala 2 , Giacomo Ghiringhelli 2 , Daniele Marre 3 , Marta Codda 3 , Ilaria Pallecchi 3 , Claudia Cantoni 4 , Jaume Gasquez 4 , Domenico Paparo 1 , Lorenzo Marrucci 1 , Andrea Rubano 5 , Manfred Fiebig 5 , Xuan Wang 1 , Salvatore Amoruso 1 , Riccardo Bruzzese 1 , Milan Radovic 1
1 , CNR-SPIN-Napoli and Dipartimento di Scienze Fisiche, Università di Napoli “Federico II”, Napoli Italy, 6 , European Synchrotron Radiation Facility, grenoble France, 2 , CNR-SPIN and Dipartimento di Fisica, Politecnico di Milano, Milano Italy, 3 , CNR-SPIN-Genova and Dipartimento di Fisica, Università di Genova, Genova Italy, 4 , Material Science and Technology Division, Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States, 5 , Helmholtz - Institut fur Strahlen- und Kernphysik, Universitat Bonn, Bonn Germany
Show AbstractWe show that the growth of two novel polar–non polar heterostructures, LaGaO3/SrTiO3 and NdGaO3/SrTiO3, yields the formation of highly conductive interfaces. Our samples have been carefully analyzed by high resolution electron microscopy, in order to assess their crystal perfection and to evaluate the abruptness of the interface. Their carrier density and sheet resistance, as well as the treshold thickness for interface conductivity, are compared to the widely studied case of LaAlO3/SrTiO3. The upper oxygen pressure limit for the growth of conducting samples has been analysed, also monitoring in flight the oxidation of cations during the pulsed laser deposition process by time resolved emission spectroscopy. In order to assess the differences and similarities between our novel heterostructures and LaAlO3/SrTiO3, four different spectroscopic techniques have been employed: a) spectroscopic second harmonic generation, b) X-ray absorption and linear dichroism c) electron energy loss spectroscopy and d) photoconductivity. Such analysis highlights the presence of major qualitatively similarities and some quantitative differences in the electronic response of the three systems. The results open the route to widening the field of polar-non polar interfaces, pose some phenomenological constrains to the physics underlying the formation of the quasi-2-dimensional electron gas and highlight the chance of tailoring the interface properties for future applications by adopting suitable polar materials.
11:30 AM - **K10.5
Electron Transport in an Optically Active Mott Insulator - Band Insulator Interface.
A. Rastogi 1 , A. Kushwaha 1 , T. Shiyani 1 , A. Gangawar 1 , R. Budhani 1 2
1 Physics, Indian Institute of Technology Kanpur, Kanpur India, 2 , National Physical Laboratory, New Delhi India
Show AbstractWe report optical switching effects in ultra thin epitaxial films of the Mott Insulator LaTiO3 (LTO) deposited on (100) SrTiO3 (STO). These films were grown on buffered HF treated STO using pulses laser deposition at 800°C in 10-4 Torr of oxygen pressure. For photoconductivity measurements we have used a He-Cd laser and a halogen lamp. The interface of these heterostructures is strikingly metallic in spite of the insulating ground state of LTO and STO, presumably due to the formation of a two-dimensional electron gas at the interface. The conductivity of the interface can be enhanced significantly by photo-excitation with photons of wavelength 350 to 400 nm with intensity as low as ~ 1µW/cm2. The resistance of the films first drops instantaneously and then in a sluggish manner on exposure to light. Recovery process after turning off the light is slow, following a stretched exponential dependence on time. The recovery can be made much faster by applying a gate voltage of the correct polarity. We believe that the UV photons enhance leakage of charge from LTO film towards the substrate and contribute to 2D-conductivity. The large lifetime of the photo-conducting state seen here can be attributed to spatial separation of photo-generated electrons and holes. The combined effect of photo-exposure and gate field can lead the system towards virgin state quickly. This makes a potential opto-electronic device whose functionality can be enhanced with sequential application of electric field and light.
12:00 PM - K10.6
Thermodynamic Instability and Intermixing at the Stoichiometric LaAlO3/SrTiO3(001)interface.
Liang Qiao 1 , Tim Droubay 1 , V. Shutthanandan 1 , Zihua Zhu 1 , Peter Sushko 2 , Scott Chambers 1
1 Materials Science Division, Fundamental and Computational Science Directory, Pacific Northwest National Laboratory, Richland, Washington, United States, 2 Department of Physics and Astronomy and the London Centre for Nanotechnology, University College London, London, London, United Kingdom
Show AbstractHigh-quality epitaxial LaAlO3 films have been grown on TiO2-terminated SrTiO3(001) by off-axis pulsed laser deposition. The La:Al ratio is strongly dependent on the laser plume angle relative to the substrate due to large differences in the mass dependent angular distributions for La and Al. Stoichiometric LaAlO3 films occur only at certain plume angles. Using Rutherford backscattering spectroscopy, time-of-flight secondary ion mass spectroscopy and angle-resolved x-ray photoelectron spectroscopy, we show that the LaAlO3/SrTiO3 interface undergoes extensive cation intermixing when the La:Al cation ratio is within 1% of 1:1 and that the structural quality of the films is excellent. This result is corroborated by classical and quantum mechanical calculations of the relative stabilities of abrupt and intermixed interface configurations. The combination of experiments and theoretical calculations reveal that elimination of the dipole caused by the polarity mismatch at the LaAlO3/SrTiO3 interface drives intermixing and results in the energetically most stable atomic configurations. The interface is thus best described as a complex quaternary oxide with concentration gradients normal to the interface. Intermixing has a pronounced effect on the valence band offset, and this effect may have important implications for the mechanism of electrical conductivity at the LaAlO3/SrTiO3 interface.
12:15 PM - K10.7
Nanoscale Rectification at the at LaAlO3/SrTiO3 Interface.
Daniela Bogorin 1 , Chung Wung Bark 2 , Cheng Cen 1 , Chang-Beom Eom 2 , Jeremy Levy 1
1 Physics, University of Pittsburgh, Pittsburgh, Pennsylvania, United States, 2 Materials Science and Engineering, University of Wisconsin Madison, Madison, Wisconsin, United States
Show AbstractControl over the metal-insulator transition at LaAlO3/SrTiO3 interface using a conducting atomic force microscope (C-AFM) is capable of creating nanoscale conducting and insulating regions1. Nanowires and nano-FET have been previously reported1,2. Here we are reporting the creation of controllable electrical rectification in nanowires formed at the heteronterface between LaAlO3 and SrTiO3. The asymmetrical profiles have dimensions approaching the mean free path of an electron. The controlled asymmetries along a nanowire lead to controllable electrical rectification similar with a Schottky diode. The possibility of nanoscale control over asymmetric potential profiles at the 2DEG interface can lead to applications in nanoelectronics - nanorectifiers and spintronic by control over inversion symmetry breaking.Ref: 1 C. Cen, S. Thiel, K. E. Andersen, C. S. Hellberg, J. Mannhart, and J. Levy, Nature Materials, 7, 2136 (2008) 2C. Cen, S. Thiel, J. Mannhart, and J. Levy, Science, 323, 1026 (2009) Support from DARPA seedling (W911N3-09-10258) (J.L.), ARO MURI (W911NF-08-1-0317) (J.L.), and National Science Foundation through grants ECCS-0708759 (C.B.E.), DMR-0704022 (J.L.) and DMR-0906443 (C.B.E.) is gratefully acknowledged.
12:30 PM - K10.8
Charge Transfer Induced Structural Distortions in SrTiO3.
Jaekwang Lee 1 , Alex Demkov 1
1 Physics, The University of Texas, Austin, Texas, United States
Show AbstractRecent advances in thin oxide film growth have made possible fabrication of complex oxide heterostructures in which well controlled interfaces lead to new functional properties not present in the bulk. A prominent example, which has attracted much attention recently, is the interface between a polar and non-polar oxide as in the case of the LaAlO3/SrTiO3 system [1]. The most interesting aspect of this system is the fictionalization of the interface b charge transfer caused by the strong internal filed of the polar LaAlO3 [2]. The formation of the two dimensional electron gas has also been suggested at the interface of semiconducting ferromagnet EuO [3]. Spin-dependent screening has been proposed to cause quasi-liner magnetoelectric coupling in Fe/PbTiO3/Pt heterostructures [4,5]. In contrast to the aforementioned work, we will discuss the effect of charge transfer at a heterojunction not on the electronic but structural properties of a perovskite oxide. Using density functional theory we show that depending on the interface structure at the Cs/SrTiO3 heteorjuction an antiferrodistortive or polar structure can be induced by charge transfer from Cs metal into Ti-derived d0 manifold of SrTiO3. [1] A. Ohtomo and H.Y. Hwang, Nature (London) 427, 423 (2004).[2] J.K. Lee and A. A. Demkov, Phys. Rev. B 78, 193104 (2008).[3] Y. Wang, M.K. Niranjan, J.D. Burton, J.M. An, K. D. Belashchenko, and E.Y. Tsymbal, Phys. Rev. B 79, 212408 (2009). [4] T. Cai, Q. Niu, J.K. Lee, Na Sai, and A.A. Demkov, Phys. Rev. B 80, 140415(R) (2009). [5] J.K. Lee, Na Sai, T. Cai, Q. Niu and A.A. Demkov, Phys. Rev. B 81, 144425 (2010).
12:45 PM - K10.9
Polarity Compensation in LaAlO3(100) Thin Films.
Hosung Seo 1 , Alexander Demkov 1
1 Department of Physics, The University of Texas at Austin, Austin, Texas, United States
Show AbstractLanthanum aluminate LaAlO3 (LAO) is a polar perovskite oxide widely used as a single crystal substrate in oxide epitaxy [1]. Recently, thin films of LAO have drawn a considerable attention since the discovery of the two-dimensional electron gas in the LaAlO3/SrTiO3 heterostructure [2]. LAO is polar in the [001] direction with alternating charged LaO and AlO2 planes in this direction. Therefore, an ideal as-cleaved LAO thin film would have a diverging electrostatic potential. In the semiconductor context the effect is known as polar catastrophe [3]. In this work, we use density functional theory within local density approximation to investigate influence of surface vacancies on the intrinsic polarity of stoichiometric and free-standing LaAlO3(100) thin film. We find that defect-free 3 and 5 unit cell thick LaAlO3(100) films show macroscopic electric fields of 0.27V/Å and 0.21V/Å, respectively. The built-in electric field induces a band tilting and the 5 unit cell thick film undergoes a dielectric breakdown. We show, however, that this built-in electric field can be effectively compensated by having La vacancies on the LaO surface, O vacancies on the AlO2 surface, or both types of vacancy present on both surfaces at the same time. In the presence of the surface vacancy, the polar distortion that is main compensation mechanism for the defect-free LaAlO3(100) films vanishes. Calculating surface Gibbs free energies, we show that some of the surface vacancy structures considered in this work are thermodynamically stable.[1] R. W. Simon, C. E. Piatt, A. E. Lee, K. P. Daly, M. S. Wire, J. W. Luine, and M. Urbanik, Appl. Phys. Lett. 53, 2677 (1988).[2] A. Ohtomo and H. Y. Hwang, Nature (London) 427, 423 (2004).[3] W. A. Harrison, E. A. Kraut, J. R. Waldrop, and R. W. Grant, Phys. Rev. B 18, 4402 (1978).
K11: Epitaxial Structures and System Synthesis II
Session Chairs
Jeffrey Eastman
Gilberto Medeiros-Ribeiro
Thursday PM, December 02, 2010
Room 302 (Hynes)
2:30 PM - K11.1
Structural Lattice Distortions and Their Effects on Dielectric Properties of Alkaline-earth and Lanthanide Perovskite Thin Films: Experimental and First-principles Theoretical Study.
Ivoyl Koutsaroff 1 , Shin'ichi Higai 1 , Akira Ando 1 , Hiroshi Takagi 1 , Hideharu Ieki 1
1 Technology&Business Development Unit, Murata Manufacturing Co.,Ltd., Nagaokakyo, Kyoto, Japan
Show AbstractRecent experimental and theoretical studies of epitaxial nonpolar cubic perovskite thin films revealed that the tetragonal distortions in strained SrTiO3 films could result in ferroelectric polarization at room temperature, which possibly originates from:(i)TiO6 octahedra rotation around [001] direction and an elongation along the c-axis direction and (ii)defect dipoles formation. In the present study we utilize one-axis-oriented polycrystalline perovskite thin films of ABO3-δ(A=La,Ba,Sr,etc.,B=Ti,Zr), deposited by reactive magnetron RF sputtering on epi-Pt electrodes or directly on various single-crystal substrates, in order to realize fine control of the perovskite dielectric properties and to attempt to induce ferroelectricity in them. The changes of the relative dielectric constant ε of a given perovskite material and its voltage tunability were systematically investigated by variation of selected deposition parameters, such as oxygen partial pressure and deposition temperature during the film growth while keeping the remaining parameters, such as film A/B ratio, film thickness, substrate material, etc. unchanged. We found that under appropriate deposition conditions it becomes possible to achieve a noticeable increase of the ε and a significant enhancement in voltage tunability for all perovskites, accompanied by concurrent expansion of the pseudo-cubic lattice constants and tetragonality ratios as determined from the XRD data. We also observed non-zero remnant polarization in Pt/ABO3-δ/Pt capacitor structures for the perovskite films grown with c/a>1.01-1.05 or higher. The structural distortions from cubic(Pm3m) to pseudo-tetragonal cells were confirmed to be present for most types of substrates used for the perovskite film growth. For the case of paraelectric-to-ferroelectric transition, the distortions are dependent on thermal expansion mismatch with the substrate which induces biaxial stresses and if the substrate has much smaller thermal expansion coefficient compared to the perovskite material, than, under any growth conditions ferroelectricity cannot be induced in the films deposited on such a substrate. In addition, we performed first-principles theoretical calculations based on the density functional theory, to examine in detail the local structural properties of perovskites and clarify the effects of the deposition conditions and to determine their structure-property relationships. The modeling provides a correct trend for the changes in the lattice properties and the tetragonalities, but quantitatively overestimates the structural modifications in comparison to the experimentally observed values. We prove for the first time that ferroelectric distortions were found to be a universal feature that can be induced in a number of nonpolar perovskites, with or without intentional doping by simultaneously controlling the biaxial strain, the oxygen vacancy concentration, and the chemical composition during the polycrystalline film growth.
2:45 PM - K11.2
Highly Enhanced Carrier Mobility in Oxide Heterostructures Composed of High Dielectric Constant Perovskites.
Suyoun Lee 1 2 , Sung Seok A. Seo 1 , Michael McGuire 1 , Ho Nyung Lee 1
1 Materials Science and Technology Division, Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States, 2 Materials Science and Technology Division, Korea Institute of Science and Technology, Seoul Korea (the Republic of)
Show AbstractAchieving highly mobile carriers in perovskite oxides is one of important steps toward realizing oxide electronics. For example, SrTiO3-based heterostructures have shown a great promise for generating high mobility carriers. This is based on highly reduced impurity scattering cross-section by help of the high dielectric constant of SrTiO3 especially at low temperatures where the dielectric constant is known to increase by about two orders of magnitude as compared to that at room temperature. In this work, we have investigated the effect of the dielectric constant on the carrier mobility of a conducting layer formed at the interface of oxide heterostructures. To elucidate this, we have fabricated oxide heterostructures by growing high-k BaxSr1-xTiO3 (BST) epitaxial thin films on SrTiO3 substrate by pulsed laser deposition. The composition and thickness of BST films are varied (x=0, 0.25, 0.5, 0.75, and 1) in order to systematically investigate the effects of dielectric constant and lattice strain on the transport properties of the heterostructures. For inducing conducting carriers, we have used both oxygen vacancy doped heterostructures by growing in an extremely low oxygen partial pressure (PO2≈10-8 Torr). We have found that the heterostructure with high dielectric constant materials reveal very high mobility exceeding μ≈10,000 cm2/Vs. Moreover, the highest mobility values are indeed obtained at relatively high temperatures when the strain-dependent dielectric constant is maximized, paving a pathway to generating highly mobile charge carriers in oxide heterostructures.*Research sponsored by the Division of Materials Sciences and Engineering, U.S. Department of Energy and by the Research Exchange Program of KIAT (S.L.).
3:00 PM - K11.3
Tunable Dielectrics with Low Loss: A Study of Strained Ruddlesden-Popper Series Sr(n+1)Ti(n)O(3n+1) (n = 2, 3, 4, 5, 6) Phases on DyScO3 and GdScO3.
Nate Orloff 1 2 , Che-Hui Lee 3 4 , Michael Biegalski 5 , Ichiro Takeuchi 2 , James Booth 1 , Darrell Schlom 3
1 EEEL, National Institute of Standards and Technology, Boulder, Colorado, United States, 2 Department of Materials Science and Engineering, University of Maryland, College Park, Maryland, United States, 3 Materials Science and Engineering, Cornell University, Ithaca, New York, United States, 4 Materials Science and Engineering, The Pennsylvania State University, University Park, Pennsylvania, United States, 5 Center for Nanophase Materials Sciences, University Park, Oak Ridge, Tennessee, United States
Show AbstractUnderstanding the mechanisms for loss is central to the goal of designing new dielectric materials for a wide array of frequency dependent applications. In 2004, J. H. Haeni, et. al showed that SrTiO3 on DyScO3 undergoes a ferroelectric to paraelectric phase transition around room temperature (J. H. Haeni, et. al Nature 430, 758 (2004)). Unfortunately these materials also showed significant loss at microwave frequencies. In this study, we discuss the characterization of the epitaxial thin-films of Ruddlesden-Popper series Srn+1TinO3n+1 (n = 2, 3, 4, 5, 6) grown on the rare-earth scandate substrates DyScO3 and GdScO3, which correspond to biaxial tensile strain of approximately 1.1% and 1.7%, respectively. The thin films are 50 nm on DyScO3 and 25 nm thick on GdScO3, to ensure uniform strain throughout the film. We characterize the thin films with a set of optimally designed coplanar waveguides from 45 MHz to 40 GHz and with a set of interdigitated electrodes of varying active lengths from 10 Hz to 100 MHz. We then extract the in-plane complex permittivity from 10 Hz to 40 GHz for these thin films. We report the dependence of the Curie temperature, tunability, and loss tangent on series number and strain at 1 MHz. We also examine in depth the frequency dependent dielectric properties of Sr7Ti6O19 (n = 6) on DyScO3 as a function of temperature and electric field, which our measurements show has an unusually high figure of merit (% Tuning * Q) of 178 from 6 GHz to 8 GHz.
3:15 PM - K11.4
Atomic and Electronic Structure in Epitaxial Films of NdNiO3: HR-STEM and EELS Analyses.
Jihwan Kwon 1 , Amish Shah 2 3 , Jian Liu 4 , Miyoung Kim 1 , John Freeland 5 , Jacques Chakhalian 4 , Jian-Min Zuo 2 3
1 Department of Materials Science and Engineering, Seoul National University, Seoul Korea (the Republic of), 2 Department of Materials Science and Engineering, University of Illinois at Urbana-Champaign, Urbana, Illinois, United States, 3 Frederick Seitz Materials Research Laboratory, University of Illinois at Urbana-Champaign, Urbana, Illinois, United States, 4 Department of Physics, University of Arkansas, Fayetteville, Arkansas, United States, 5 Advanced Photon Source, Argonne National Laboratory, Argonne, Illinois, United States
Show AbstractRare-earth nickelates, RNiO3 (R: lanthanide rare-earth), attracted great interest due to their potential for applications such as thermal switch devices, thermochromatic coatings, and non-volatile memory [1]. These materials have a first-order metal-insulator transition (MIT) induced by increasing temperature or an applied hydrostatic pressure [2]. Many studies have shown that the critical temperature (TMI) of the metal to insulator transition depends on the length of the ionic radii of R and the Ni-O-Ni bond angle. Since the structural distortion is deeply related to the TMI in the RNiO3, it is important to investigate the atomic structures of rare-earth nickelates. However much of the past research about the crystal structure of rare earth nickelates focuses on bulk-like structure. Recently, high quality epitaxial ultrathin films of NdNiO3 have become available, and an interesting quenching of the MIT is observed [3]. Here, we investigate the atomic and electronic structural properties of NdNiO3 with aberration-corrected scanning transmission electron microscope (STEM), electron energy loss spectroscopy (EELS), and nanoarea electron diffraction.The NdNiO3 film was grown on a LaAlO3 (001) substrate in a layer-by-layer growth mode by pulsed laser deposition. The thickness of film was about 12nm and the electron diffraction study shows that NdNiO3 is grown epitaxially with perovskite structure. However due to the lattice mismatch between NdNiO3 film and the LaAlO3 substrate, the NdNiO3 film is subjected to a compressive strain. Atomic-resolution STEM image shows atomic structure of NdNiO3 and interfaces between NdNiO3 film and LaAlO3 substrate. In addition, the electronic structural information of the interface by atomic scale EELS will be presented. The atomic-resolution of STEM/EELS will provide the atomic and electronic structures of epitaxial NdNiO3 thin films, and clues about the fundamental physics of metal to insulator transition in RNiO3. References:[1] D. Kaur et al, Sol. Stat. Comm. 136, 369 (2005)[2] J. L. García-Muñoz et al, Phys. Rev. B 79, 134432 (2009)[3] Jian-Liu et al, Appl. Phys. Lett. 96, 233110 (2010)
4:00 PM - K11.5
Piezoelectric Force Microscopy of Crystalline Oxide-semiconductor Heterostructures.
Matthew Marshall 1 , James Reiner 1 , Divine Kumah 1 , Fred Walker 1 , Charles Ahn 1
1 Center for Research on Interface Structure and Phenomena (CRISP), Dept. of Applied Physics, Yale University, New Haven, Connecticut, United States
Show AbstractWe use both ambient and ultrahigh vacuum (UHV) piezoelectric force microscopy (PFM) to elucidate the origin of PFM contrast in molecular beam epitaxy (MBE) grown films of SrTiO3 on silicon. The ferroelectric instability in SrTiO3 has been a subject of intense study recently. When grown on silicon, it has been reported that SrTiO3 exhibits ambient room temperature PFM contrast – taken to be evidence of a ferroelectric state. PFM studies of SrTiO3 films grown on a variety of substrates also show PFM contrast after voltage writing, though with a magnitude that exhibits a power-law decay. However, recent work has demonstrated that a depolarizing field inhibits the ferroelectric state in SrTiO3 on Si. Contrast in PFM images is a convolution of electrostatic and electromechanical effects that are highly dependent on the imaging conditions and atmosphere such that a PFM image can be dominated by a complex background signal. In order to determine the origin of PFM contrast in thin films of SrTiO3 on Si, we have grown a series of SrTiO3 films on Si of different thickness (4 – 25 unit cells (u.c.)) with oxide MBE. The films are characterized during growth with reflection high energy electron diffraction (RHEED), and after growth with ambient atomic force microscopy (AFM). Ambient PFM was used to determine the piezoresponse of the films, allowing one to determine the thickness dependence of the PFM contrast, which persisted for approximately 20 hours. PFM contrast in 6 u.c. films appears to have a different origin than contrast in thicker 25 u.c. films. Using a UHV PFM set-up, we measured the PFM response of the SrTiO3 films that are free of the adsorbate layers that exist when using ambient PFM. Switching spectroscopy PFM performed on 6 u.c. of SrTiO3 on Si provides insights into the origin of the contrast observed with ambient PFM and provides quantitative information on the switching of the PFM contrast that has been observed in ambient conditions for ultrathin films of SrTiO3 on Si.
4:15 PM - K11.6
Switching Current Measurements of Ferroelectric PbTiO3 Nanoislands by Scanning Probe Microscopy.
Hironori Fujisawa 1 , Kosei Yamada 1 , Seiji Nakashima 1 , Masaru Shimizu 1
1 , University of Hyogo, Himeji, Hyogo, Japan
Show AbstractWe have already reported piezoelectric, ferroelectric and structural properties of self-assembled PbTiO3 nanoislands prepared by MOCVD. PFM proved ferroelectric polarization switching in the PbTiO3 nanoisland as small as 1.2nm in height and 30nm in width. However, it has been quite difficult to evaluate ferroelectric polarizations in such a small nanoislands due to a difficulty in fabrication of top electrodes. In this study, we demonstrate polarization switching current measurements of PbTiO3 nanoislands by SPM using a conductive SPM tip as a top electrode. PbTiO3 nanoislands and thin films were prepared on Pt/SrTiO3(100) and SrRuO3/SrTiO3(100) by MOCVD. Isolated PbTiO3 nanoislands and films which partially and fully covered the surface of bottom electrode were prepared. The coverage of PbTiO3 over the bottom electrode was 28-75 % on Pt and 100% on SrRuO3 electrodes, respectively. For the switching current measurements by SPM, an Ir-coated conductive plateau tip with a diameter of 1.8μm was used. The switching current was detected by a series resistance (50-10kΩ) and amplified by a high-speed operational amplifier with a gain of 20. The cantilever with the plateau tip was directly set on the circuit board by silver paste to reduce a stray capacitance. At the first stage, polarization switching of 20nm-thick epitaxial PbTiO3 films was evaluated using this SPM system. When a sequence of double pulses with amplitudes of ±4V and a duration of 200ns was applied between the plateau tip and bottom electrode, polarization switching currents and charging currents to the paraelectric capacitance were observed. By subtracting the non-switching current from switching one, switching charge density (Qsw) was found to be ~190μC/cm2 for the 20nm-thick PbTiO3 film. The Qsw value obtained agreed well with those measured in SrRuO3/PbTiO3/SrRuO3 capacitors. Therefore, this demonstrates that the SPM system using the plateau tip as a top electrode has a capability of quantitative switching current measurements. In the next stage, polarization switching in PbTiO3 nanoislands which occupied 28% of the surface of Pt bottom electrode was investigated. The height and width of PbTiO3 nanoislands ranged from 2 to 6 nm and from 35 to 110 nm, respectively. When double pulses (±2 V and 200 ns) were applied, transient currents caused by polarization switching, charging to the paraelectric capacitance and leakage were observed. A few hundred of nanoislands contributed to the detected current because the plateau tip was much larger than nanoislands. Taking into an account the coverage of 28%, the Qsw of PbTiO3 nanoislands was estimated to be at least 87μC/cm2. This value can be considered as the minimum Qsw value because the tip cannot be contacted on all nanoislands simultaneously. Therefore, we can conclude that ferroelectric polarizations of PbTiO3 nanoislands with heights of 2-6 nm and widths of 35-110 nm are roughly the same as those of thin films.
4:30 PM - K11.7
Study of Pt/SrZrO3/SrRuO3 Bipolar Resistive Switching Structures by Infrared Thermography.
Yimeng Lu 1 , Wenkan Jiang 1 , Mohammad Noman 2 , Lingjia Li 1 , James Bain 2 , Paul Salvador 1 , Marek Skowronski 1
1 Materials Sci. & Eng., Carnegie Mellon University, Pittsburgh, Pennsylvania, United States, 2 Electrical and Computer Engineering, Carnegie Mellon University, Pittsburgh, Pennsylvania, United States
Show AbstractBipolar resistive switching phenomena are observed in many ternary (SrTiO3, SrZrO3) and binary oxide (TiO2, ZnO) materials, but their fundamental mechanism is still under intensive investigation. The typically reported switching occurs in a moderate voltage range (±2~10V) and is accompanied by power dissipation of tens of mW. Oxygen vacancies, which are mobile at high temperatures (>300C), are known to affect the resistivity of oxide films. Models based on mobile oxygen vacancies under electrical biases have been proposed to explain the resistive switching effect. However, the demonstrated fast switching of many devices (<20ns) require very high mobility of oxygen vacancies which are difficult to expect at typical electrical field at room temperature. Local temperature increase due to joule heating, on the other hand, could provide a channel for the fast migration of these mobile dopants.In this report, temperature distributions measured by infrared thermography on switching devices consisting Pt/SrZrO3/SrRuO3 epitaxial thin film stack are discussed in details. The SrZrO3 layers had a thickness of 200nm and were deposited at 700C by pulsed laser deposition on SrRuO3/SrTiO3 composite substrates. The devices have a vertical structure and are limited by the 300μm diameter top Pt contacts. The devices were ‘electroformed’ at 15~17V. Afterwards, they show bipolar resistive switching between ±10V with an on/off ratio ranging from 2 to 10^2. The maximum current level was limited by the compliance set to between 2mA to 40mA. Using infrared thermography, it was found that the ‘electroforming’ process happens concurrently with the formation of 5μm to 20μm size ‘hot spots’ i.e. areas of increased temperature visible in the IR images. Typically there was only one hot spot observed during electroformation and the same hot spot re-appeared during subsequent switching. Frequently a morphological change of the top metal electrode was observed at the ‘hotspot’ location. . By sectioning the device afterwards, most (>90%) of the current increase is found to be limited to within the ‘hotspot’ active areas, indicating the non-uniform nature of current flow through the film. The temperature in hot spots increased by 100~200C during switching events. In conclusion, SrZrO3 oxide films show localized resistive switching, and the Joule-heating-induced temperature increase during resistive switching can exceed 100C at a power dissipation of about 50mW. Local heating can be reposible for the fast migration of mobile dopants or phase changes reported by others.
4:45 PM - K11.8
Carrier-phonon Coupling Across Complex Oxide Heterostructures.
Yaron Segal 1 2 , Kevin Garrity 1 2 , Carlos Vaz 1 2 , Jason Hoffman 1 2 , Divine Kumah 1 2 , Zhan Zhang 3 , Sohrab Ismail-Beigi 1 2 , Fred Walker 1 2 , Charles Ahn 1 2
1 Applied Physics, Yale University, New Haven, Connecticut, United States, 2 Center for Research on Interface Structure and Phenomena, Yale University, New Haven, Connecticut, United States, 3 Advanced Photon Source, Argonne National Lab, Argonne, Illinois, United States
Show AbstractCoupling between phonons and charge carriers is a key factor in determining the electronic transport properties of complex oxide heterostructures. In this work, we demonstrate coupling between charge carriers in ultrathin films of MBE-grown La0.53Sr0.47MnO3 (LSMO) and phonons originating in the SrTiO3 substrate. Sharp features in both transport and magnetization are observed at 105 K, the temperature of the anti-ferrodistortive (AFD) transition in SrTiO3. Using phenomenological models, first principles calculations, and synchrotron x-ray diffraction, we show how this effect stems from coupling between the soft phonon TiO6 octahedra motion, and similar motion of the MnO6 octahedra in the LSMO film. The motion of the MnO6 octahedra couples to carrier transport in the LSMO through the double-exchange mechanism. This substrate-film interaction leads to the modification of electronic and magnetic properties within a few atomic layers of the interface. The interplay between the coupling mechanism and the composition and strain state of the film is explained, as well as the effects of thickness, magnetic field and ferroelectric gate doping.
5:00 PM - **K11.9
Single-step Fabrication of Nano-structured Oxide Ceramics (Particles, Films, Integrated Layers and Patterns) by Soft Processing from Solution without Firing.
Masahiro Yoshimura 1 2
1 Materials Science and Engineering, National Cheng Kung University, Tainan Taiwan, 2 Materials and Structures Laboratory, Tokyo Institute of Technology, Yokohama Japan
Show AbstractSince 1989 when we found a method to fabricate BaTiO3 film on Ti substrate in a Ba(OH)2 solution by Hydrothermal Electrochemical[HEC] method at low temperatures of 60-200 C, we have proposed an innovative concept and technology, “Soft Processing” or “Soft Solution Processing,” which aims low energetic (=environmentally benign) fabrication of shaped, sized, located, and oriented ceramic materials in/from solutions. It can be regarded as one of bio-inspired processing, green processing, or eco-processing.1,2) When we have activated/stimulated interfacial reactions locally and/or moved the reaction point dynamically, we can get patterned ceramic films directly in and/or from solution without any firing, masking or etching. We have developed the Direct Patterning of CdS, PbS,3) and CaWO4 on papers by ink-jet reaction method and LiCoO2 by electrochemically activated interfacial reactions.4) Furthermore, we have succeeded to fabricate BaTiO3 patterns on Ti by a laser beam scanning and carbon patterns on Si by a needle electrode scanning directly in solutions.5) Recent success in TiO2 and CeO2 patterns by Ink-jet deposition, where nano-particles are nucleated and grown successively on the surface of substrate thus become dense even below 300 C, will be presented.6) Transparent films of several hundred nm thick can be obtained by 20 times of ink-jet scanning during 15-30 min. As a development of Hydrothermal Electrochemical [HEC] method, we have proposed a new strategy:” Growing Integration Layer[GIL] method”, which can provide well-adhered integrated/graded layers: Titanate/TiOx/Ti or Titanate/TiOx/Ti-alloys and/or metallic glass(es) at RT-150 C in a solution. This [GIL] strategy can be applied for many areas of functional ceramics.7-9) In addition, our recent results on mono-dispersed nano-particles of CeO210), (Hf,Eu)O2-x11),Fe3O412),etc. will be presented.References(1)Yoshimura, M., J. Mater. Sci.,41 [5],1299-1306 (2006),43[7]2085-2103(2008)(2)Yoshimura, M., J. Ceram Soc. Japan, 114 [11] pp. 888-895(2006)(3)Teranishi,R.,Yoshimura,M. et al.,Solid State Ionics,151,97-103(2002)(4)Yoshimura, M. and Gallage R., Solid State Electrochem., 12[7/8]775-782(2008)(5)Watanabe, T., Yoshimura, M., et al., Thin Solid Film, 515, 2696-2699 (2006), Carbon, 44, 799-802 (2006)(6)Gallage, R., Yoshimura, M., et al., J. Electroceram, 16, 533-536 (2006) , Mater. Sci. Eng., 137, 299-303 (2007),J.Am.Ceram.Soc. 91[7]2083-2087(2008), J.Electroceramics, 19(1),33-38(2009),Thin Solid Films,(2009),517[16],4515-4519(7)Yoshimura, M. et al., Mater. Sci. Eng. B,148,2-6(2008)(8)Sugiyama,N and Yoshimura,M., Mater. Sci. Eng. B,161[1-3],31-35(2009)(9)Sugiyama,N.,Yoshimura,M. et al.,Acta Biomaterialia,5[4],1367-1374(2009)(10) Taniguchi,T.,Yoshimura,M. et al.,Cryst. Growth Des.(2008)8(10),3725-3730(11) Taniguchi,T.,Yoshimura,M. et al..,J. Phys.Chem. C(2008),112,4884-4891(12) Taniguchi,T.,Yoshimura,M. et al., J. Phys. Chem. C(2009),113,839-843
5:30 PM - K11.10
Ferroelectric WO3 Nanostructures for Nanoelectronics.
Perena Gouma 1 , Lisheng Wang 2
1 Material Science and Engineering, SUNY Stony Brook, Stony Brook, New York, United States, 2 , University of British Columbia, Vancouver, British Columbia, Canada
Show AbstractTungsten trioxide is a polymorphic material and it’s structural complexity is manifested in the numerous phase transitions it undergoes on cooling from high temperatures. Among all known polymorphs, the epsilon phase (e-WO3) is uniquely ferroelectric, that is a result of it’s acentric crystallographic configuration. Being thermodynamically stable only at low temperatures (below -40°C), this phase has been paid limited attention till now. Our group produced e-WO3 nanostructured powders by a scalable rapid solidification process in large quantities and this ferroelectric phase was stabilized at temperatures as high as 400°C. The surface properties of e-WO3 nanocrystals associated with it’s spontaneous polarization are discussed in this work. A key application for this material may be in high-density memories, as ferroelectric capacitors.
K12: Poster Session: Epitaxial Structures
Session Chairs
Friday AM, December 03, 2010
Exhibition Hall D (Hynes)
9:00 PM - K12.10
Novel Synthesis and Characterization of Strontium Ruthenate (SrRuO3) Nanostructures.
Amanda Tiano 1 , Alexander Santulli 1 , Stanislaus Wong 1 2
1 Chemistry, Stony Brook University, Stony Brook, New York, United States, 2 Condensed Matter Physics and Materials Science, Brookhaven National Laboratory, Upton, New York, United States
Show AbstractStrontium ruthenate (SrRuO3) is an extremely interesting material due to its interesting properties: 4d ferromagnetism, high conductivity, excellent thermal properties, chemical stability, and possesses a perovskite-type structure which has a close lattice match to SrTiO3. As such, SrRuO3 (SRO) has been studied extensively on the bulk and thin film scales for a variety of applications such as multilayer devices, field-effect devices, multiferroics, and even ferroelectric random access memory (FeRAM). We are the first to report the synthesis of SrRuO3 nanostructures via a molten-salt method. Secondly, we have systematically probed the experimental parameters such as, precursors, salt, annealing time, annealing temperature, surfactant, heating/cooling rate, and atmosphere. By individually exploring each parameter, we were able to determine their corresponding roles and effects on the resultant product composition, size and morphology. Lastly, our successful synthesis has allowed us to innovatively explore the physical properties of nanoscale SrRuO3 in comparison to the bulk and thin film counterparts.
9:00 PM - K12.11
Ultrahigh Density Array of Epitaxial Ferroelectric Nanoislands Using Block Copolymer Micelles.
Youngsuk Kim 1 , Han Hee 2 , Kim Yunseok 3 , Lee Woo 4 , Marin Alexe 3 , Baik Sunggi 2 , Kim Jin Kon 1
1 Dept.of Chem.Eng., POSTECH, Pohang, Kyungbuk Korea (the Republic of), 2 , Max Planck Institute of Microstructure Physics, Halle Germany, 3 Department of Materials Science and Enginieering, POSTECH, Pohang Korea (the Republic of), 4 , Korea Research Institute of Standards and Science, Daejeon Korea (the Republic of)
Show AbstractAn ultrahigh density array of epitaxial PbTiO3 (PTO) nanoislands with uniform size was fabricated on a single-crystalline Nb-doped SrTiO3 (100) substrate over a large area (cm2 scale) by simple but robust method utilizing polystyrene-block-poly(4-vinylpridine) micelles. Each nanoisland has an average volume of 2.6 × 103 nm3 (a height of 7 nm and a diameter of 22 nm). Due to uniform nanoislands over a large area, a synchrotron x-ray diffraction experiment was successfully employed to analyze the domain structures of PTO nanoislands. They showed well-defined epitaxy on the substrate, which was also confirmed by high resolution transmission electron microscopy. All of the nanoislands existing in the entire area showed distinct piezoresponse which confirms the existence of ferroelectricity at this size. The results indicate that the critical size of ferroelectrics could be scaled-down further, thereby much increasing the density of ferroelectric devices.
9:00 PM - K12.12
Microstructure and Properties of BiFeO3/CoFe2O4 Thin Films Fabricated by Alternating-target Pulsed Laser Deposition.
Nicolas Aimon 1 , Lei Bi 1 , Donghun Kim 1 , Caroline Ross 1
1 DMSE, MIT, Cambridge, Massachusetts, United States
Show AbstractTwo-phase nanostructured oxide thin films, consisting of a ferromagnetic and a ferroelectric phase, often have stronger magnetoelectric coefficients than single phase films due to the interfacial coherency strains that couple the ferromagnetic and ferroelectric properties. In the emerging field of oxide magnetoelectronics, vertical nanocomposites made of pillars of a ferromagnetic spinel-structure oxide embedded in a matrix of a ferroelectric perovskite [Zheng et al., Science 303 661 2004] are now commonly studied because of their potential applications in non-volatile memories where reading and writing would take advantage of this magnetoelectric coupling.We have grown 100nm BiFeO3/CoFe2O4 (BFO/CFO) composite thin films on (100) SrTiO3 substrates using pulsed laser deposition, with a KrF excimer laser operated at 10Hz and a wavelength of 248nm. Substrates were held at 760 C and the oxygen pressure was 7.5 mTorr. These conditions ensure the growth of stoichiometric perovskite-structure BFO from a target containing Bi:Fe = 1.2:1, as well as spinel-structure CFO from a stoichiometric target. We compared the properties of films grown from a single composite target of composition (Bi1.2FeO3)0.65(CoFe2O4)0.35 and from two targets (BFO and CFO) from which ablation alternated during deposition. This second method allows an easier control over the volumic ratio of the two phases by changing the number of pulses incident on each target. The films were made using typically 50-60k pulses in which e.g. 25 pulses ablated the BFO followed by 200 pulses ablating the CFO.Xray diffraction indicates the growth of high quality epitaxial CFO and strained BFO phases using both the single target and the alternating targets methods. Vibrating sample magnetometry (VSM) shows an out-of-plane magnetic anisotropy, with a high coercive field of more than 5kOe for the single targets method, but smaller (3.5 kOe) for the alternated targets method, and saturation values of the magnetization (400 emu/cc) close to those of bulk CFO when normalized by the CFO volume fraction in the film. For the alternating targets method, microscopy shows the presence of rectangular cross section pillars with characteristic width around 100nm and periodicity around 250 nm, while the single target film shows smaller scale features. The high magnetic anisotropy is only partly explained by shape anisotropy, and is attributed predominantly to the magnetoelastic response of the strained CFO pillars, which are in a state of out-of-plane compression due to heteroepitaxy with the BFO matrix, and which have a negative magnetoelastic coefficient. We will discuss the dependence of the morphologies and properties of the nanopillars on the stoichiometry and deposition conditions, and the degree of morphological control achievable using alternating-target deposition.
9:00 PM - K12.13
Novel Volatile and Reactive Heteroleptic ALD Precursors of Ti, Zr and Hf.
Huazhi Li 1 , Jean-Sebastien Lehn 1 , Deo Shenai 1
1 Metalorganics, Dow Electronic Materials, North Andover, Massachusetts, United States
Show AbstractTiO2, ZrO2 and HfO2 are promising candidates to replace SiO2 as the high-k metal gate dielectric and DRAM capacitor dielectric material, because of their higher dielectric constant (15 – 120) than SiO2, excellent thermal stability and compatibility with Si processing. Currently atomic layer deposition (ALD) is used to deposit HfO2 in logic device manufacturing due to this technique’s inherent advantages of excellent uniformity, conformity, atomic thickness control and low defects. In order to achieve ideal ALD performance, suitable precursors are required with appropriate balance of reactivity, volatility and thermal stability. Metal amides, metal chlorides and metal alkoxides have generally been used as ALD precursors for TiO2, HfO2 and ZrO2. However, metal chlorides do pose the risks of chloride related corrosion of deposited films; while metal amides have relatively low thermal stability leading to lower ALD temperature window and carbon incorporation in the deposited films. Metal alkoxides often exhibit poor evaporation, and also are less reactive towards water and ozone. The researchers at Dow Electronic Materials have developed novel formamidinate precursors for Hf1 and Zr2, which showed great promise as alternatives for existing Hf and Zr precursors, because of their higher thermal stability and excellent reactivity. Unfortunately the formamidinate platform cannot be extended readily to Ti due to the small ionic radius of Ti4+. In this paper, we report our recent results for the designing of novel heteroleptic formamidinate compositions of Ti, Zr and Hf. These compositions are found to be highly volatile and possessing greater reactivity towards oxidants. We will also report the chemical characterization of the precursors and preliminary results from the atomic layer deposition of TiO2, ZrO2 and HfO2. Literature:1. Huazhi Li and Deo Shenai; in CMOS Gate-Stack Scaling — Materials, Interfaces and Reliability Implications, edited by J. Butterbaugh, A. Demkov, R. Harris, W. Rachmady, B. Taylor (Mater. Res. Soc. Symp. Proc. Volume 1155, Warrendale, PA, 2009), 1155-C04-04 2. Huazhi Li, Deo V. Shenai, Jean-Sebastien Lehn, in High-k Dielectrics on Semiconductors with High Carrier Mobility, edited by P.D. Ye, M. Hong, W. Tsai, A. Dimoulas (Mater. Res. Soc. Symp. Proc. Volume 1194E, Warrendale, PA, 2010), 1194-A05-10
9:00 PM - K12.14
Enhanced Ferroelectric Properties in Highly Epitaxial and Fatigue-resistant PZT Thin Films Deposited Using Dual-laser Ablation.
Devajyoti Mukherjee 1 , Robert Hyde 1 , Tara Dhakal 1 , Hariharan Srikanth 1 , Pritish Mukherjee 1 , Sarath Witanachchi 1
1 Physics, University of South Florida, Tampa, Florida, United States
Show AbstractHighly epitaxial Pb(Zr0.52Ti0.48)O3 (PZT) films were deposited on single crystal MgO (100) and SrTiO3 (STO) (100) substrates using a dual-laser deposition (DLD) process. This technique combined a pulsed KrF excimer and CO2 laser outputs by overlapping them both spatially and temporally to a 6 mm2 spot size on a rotating target inside the deposition chamber. The PZT films made using DLD process exhibited ferroelectric properties and fatigue response much superior to those of the single-KrF laser ablated films. Hysteresis loops with highest values of remnant polarization and coercive field of 73 μC/cm2 and 54.5 kV/cm, respectively at 9V driving voltage were observed. Optimum coupling of the combined laser energies produced enhanced plume excitation and ionization of the background ambient O2 which eventually reduced the defects related to O2 vacancies within the films. This not only reduced the leakage through the PZT capacitors but also improved their fatigue response. AFM surface analysis of the PZT films grown using DLD revealed a smoother surface and smaller grain size with root mean square (rms) roughness value of 1.6 nm compared to 11.5 nm for single-KrF laser ablated films. Further the enhanced plume transverse expansion in DLD allowed for the deposition of particulate free films that were uniformly thick over a larger area making them ideal for incorporation in multilayered multiferroic structures. The PZT capacitors made using La0.7Sr0.3MnO3 top and bottom electrodes were highly resistant to fatigue even after 109 cycles of polarization switching. C-V characterization and leakage current measurements will be presented. Emission spectroscopy and ICCD imaging that were used to study the plume dynamics will also be presented.
9:00 PM - K12.15
Superlattice Structure Based Single Crystal InGaO3(ZnO)m Thin-film Transistors.
Dong Kyu Seo 1 , Cheol Hyoun Ahn 1 , Ho Seong Lee 2 , Hyung Koun Cho 1
1 School of Advanced Materials Science & Engineering, Sungkyunkwan University, Suwon, Gyeonggi-do, Korea (the Republic of), 2 School of Materials Science and Engineering, Kyungpook National University, Daegu Korea (the Republic of)
Show AbstractMulti-component oxide InGaZnO is a representative wide band-gap oxide semiconductor which has advantages such as high transparency in visible region and controllable electrical properties. The InGaZnO thin films grown at low temperatures is favorable to have stable armorphous phase, resulting in the fabrication of oxide thin film transistors (TFTs) with good electrical properties such as high mobility and on/off current ratio. Thus, these have great merits as driving devices for flexible and transparent displays. A lot of studies on the InGaZnO TFTs with armorphous phase have been aleardy reported.Hosono et al. reported the fabrication of the InGaZnO TFT of which channel layer was single crystal. It showed good TFT characteristics with remarkably high mobility (~ 80 cm2/Vs) relative to general armorphous IGZO TFTs [1], where the TFT device was top-gate structure. However, the fabrication of the single crystal InGaZnO films requires high annealing temperature above 1400 oC and the use of high cost substrates. Interestingly, it is expected that the formed single crystal InGaZnO films had superlattice structure along the c-axis.In this study, we successfully fabricated single crystal InGaZnO films on more cost-effective sapphire substrates by using epitaxial ZnO films as a buffer layer. The introduction of ZnO buffer layers with appropriate thickness allows the reduction in thermal annealing temperature for the foramtion of single crystsal InGaZnO films. After thermal treatment at 900 oC, the InGaZnO/ZnO film completely changed into single crystal InGaO3(ZnO)1 film. And then, Al2O3 dielectric layer was deposited as gate oxide by atomic layer deposition process and Au/Ti (70 nm/30 nm) bilayers as electrodes were deposited by e-beam evaporation method. We investigated the electrical stability of the single crystal InGaZnO TFTs, which includes gate bias stress, hysteresis loop, temperature and aging effects, and light exposure.Reference[1] Kenji Nomura et al. Science 300, 23, (2003).
9:00 PM - K12.16
Growth of CaFeOx/LaFeO3 Superlattice on SrTiO3(100) Substrates.
Nobuyuki Iwata 1 , Mark Huijben 2 , Guus Rijnders 2 , Hiroshi Yamamoto 1 , Dave H. A. Blank 2
1 Electronics & Computer Science, CST, Nihon Univ., Chiba Japan, 2 , Univ. of Twente, Enschede Netherlands
Show AbstractIn LaAlO3(LAO)/SrTiO3(STO) superlattice, even though both of them are insulating and non-magnetic materials, n-type conducting layer, superconductivity, and presence of localized magnetic moment are induced because of charge transfer across the interface accompanying with electronic interface reconstruction. Induced ferromagnetic ordering is expected in CaFeO3(CFO) /LaFeO3(LFO) superlattice, the materials of which have an intrinsic long range antiferromagnetic ordering. The Néel temperatures of CFO and LFO are 115K and 740K, respectively. Similar charge transfer across the interface is expected between unstable Fe4+ in CFO and stable Fe3+ in LFO. In this study, to investigate the induced ferromagnetism without a contribution from a ferroelectric phenomenon, LFO was chosen instead of BiFeO3. The films and superlattices were deposited by pulse laser deposition (PLD) using a KrF excimer laser with 4 Hz at 943K under 0.13 or 0.40 mbar oxygen pressure during growth. The energy density at the target was varied from 1.4 to 6.0 J/cm2. A RHEED intensity oscillations as well as RHEED patterns were detected. Atomic force microscopy (AFM) measurements and X-ray diffraction (XRD) to obtain ordinary 2θ-θ pattern normal to the substrate surface and reciprocal space mapping (RSM) around (103) and (113) of STO substrate. The LFO films showed layer-by-layer epitaxial growth with the detection of RHEED oscillation up to 40 cycles. From the results of XRD, the film was compressed in-plane to fit the film lattice to the substrate along the [100] as well as [110] direction of substrate. In the CFO film, layer-by-layer growth mode shifted to double layer-by-double layer growth after approximately deposition of 4 unit cells. Bragg peaks of the CFO films additionally appeared at middle of (00l) peaks, (l:integer,1~4, assuming that the CFO is distorted perovskite structure), indicating growth of CaFeO2.5. In RSM, twin was observed with a and b different lattice constant in-plane. It is speculated that a tetragonal CaFeO3 grew at initial 3 layers followed by the growth of orthorhombic CaFeO2.5 grew. Superlattice of [7*CFO/7*LFO]14 was grown at 1.9 J/cm2 at 0.40 mbar. At the average Bragg peaks, Laue oscillation was observed, and around those peaks, satellite peaks appeared up to ±2. The full width at half maximum of rocking curve of average (002) Bragg peak was 0.072 degrees. Estimated average lattice spacing was 0.381 nm, which was quite similar calculated 0.382 nm. In RSM around (113), twin was still observed, presumably because of the 7 units CFO growth.
9:00 PM - K12.19
Growth Mode Adjustment of Ultrathin Heteroepitaxial BaxSr1-xTiO3 Films Exploiting Strain and Kinetic Limitation.
Tobias Menke 1 , Shaobo Mi 2 , Chun-Lin Jia 2 , Daesung Park 3 , Joachim Mayer 3 , Patrick Schuetzendorf 1 , Regina Dittmann 1
1 Institut for Solid State Research, Research Center Juelich, Juelich Germany, 2 Ernst Ruska-Center for Microscopy and Spectroscopy with Electrons, Research Center Juelich, Juelich Germany, 3 Central Facility for Electron Microscopy, RWTH Aachen, Aachen Germany
Show AbstractAim of the work is to clarify fundamental physical questions related with the influence of interfaces on the polar properties of ferroelectric thin film capacitors. For detailed studies of the interfaces it is a prerequisite to grow high-quality epitaxial films. In case of large lattice mismatched materials, the preferential layer-by-layer growth mode will be suppressed if the film thickness exceeds a critical thickness, above lattice relaxation occurs and dislocations are introduced [1]. By adjusting the deposition conditions in terms of suitable diffusion lengths of the adatoms the critical thickness can be influenced [2]. In addition, the interfaces between ferroelectric and electrically conducting thin films can be controlled on the atomic scale and artificially modified in order to tailor the ferroelectric properties of the resulting thin film capacitors [3].The investigated thin film heterostructures have been grown by RHEED-assisted pulsed laser deposition. Ultrathin epitaxial BaTiO3 (BTO) or (Ba,Sr)TiO3 (BST) films were grown on different electrode materials. In one case, the films were deposited on (100)-oriented Nb:SrTiO3 single crystals with TiO2 surface termination. In the other case, subsequently grown SrRuO3 (SRO) thin films were used as bottom electrode. Finally SRO thin film top electrodes have been grown on the BST or BTO layers.Supplementary, to detailed X-ray diffraction analysis, the structural properties of the heterostructures have been investigated by high resolution transmission electron microscopy (HR-TEM). In addition, we used high angular dark field-scanning TEM (HAADF-STEM) to study the chemical composition of the interface on atomic scale.Different growth modes of BTO films have been chosen by adjusting the deposition pressure and substrate temperature. Due to the lattice mismatch between both materials, a long surface diffusion length of the adatoms leads to a relaxation of the film after a few unit cell layer. As a result the layer-by-layer growth changes to Stransky-Krastanov growth. By decreasing the surface diffusion length, the relaxation of the BTO layer can be suppressed and the RHEED oscillations will be conserved during growth of up to 40 unit cells. Detailed studies of the microstructure will be presented for both cases.To address the impact of the interface termination in ferroelectric heterostructures, we engineered the interface properties during film growth. SRO/BST/SRO samples with or without a subsequent cool down after the BST deposition have been compared in terms of their BST surface termination. It showed up that a cool down process strongly influences the termination of the BST layer. In case of continues growth, a mixed termination of the BST layer was found whereas a fully TiO2 terminated BST surface was found using the subsequent cool down.[1] J. Q. He et al. Phys. Rev. B, 73, 125413 (2006)[2] J. Shin et al. APL, 91, 202901 (2007)[3] Y.Umeno et al. Phys. Rev. B 74, 060101R (2006)
9:00 PM - K12.20
Titanium Oxynitride Thin Films Deposited by Reactive DC Magnetron Sputtering: Effect of Sputtering Parameters on the Films Properties. (2010).
Challali Fatiha 1 3 , Siamak Salimy 2 3 , Marie-Paule Besland 1 , Dominique Averty 2 , Jean-Pierre Landesman 1 , Antoine Goullet 1
1 Nantes university, IMN, Nantes France, 3 , MHS Electronics, Nantes France, 2 Nantes university, IREENA, Nantes France
Show AbstractOver the past decade, a growing interest has been focused on the study of oxy-nitride thin films, due to their remarkable optical and electronic properties, associated with mechanical and chemical stability. TiOxNy thin films have been developed for many applications such as solar selective absorbers, transparent IR window electrodes, wear-resistant coatings or resistors in microelectronics. Recently, the huge correlation between TiOxNy films properties and the O/N ratio has been evidenced. On the one hand, oxygen-rich films have been used as insulating layers in metal–insulator–metal (MIM) structures allowing avoiding oxide interfacial layer formation. On the other hand, nitrogen-rich films are excellent diffusion barriers. Our aim is to study the effect of DC magnetron sputtering parameters on the films properties for integration of TiON thin films as high density resistors in a Si-based CMOS technology. We present here results on TiOxNy thin films deposition by reactive direct current (DC) magnetron sputtering. TiON thin films were deposited on silicon (Si) and SiO2/Si substrates using a pure Titanium target (99.999%) in reactive Ar/O2/N2 gas mixture. The O2/N2 reactive gases ratio was varying from 3 to 6 % (volume content) for three substrate temperature values (25, 200 and 350°C) and a power density in the 1,9 to 4,5 W/cm range. For all TiON films, SEM images evidenced a columnar structure and a granular surface morphology. EDX analysis of 500 nm thick TiON films highlight that the chemical composition is strongly related to deposition parameters. Indeed, the O/N content in TiON films is decreasing while increasing the power density and/or the substrate temperature. Whereas, the oxygen content (O/N ratio) is increased with an increase of the O2/N2 ratio in the gas mixture. Our work demonstrated that the chemical composition, i.e. the O/N content, of TiON thin films, deposited by DC magnetron, can be modified by an accurate choice of the power density and the substrate temperature for a fixed O2/N2 gas ratio. Finally, electrical properties were investigated by means of four point measurements on TiON films deposited on SiO2/Si substrates. The optimization of the deposition process of TiON films, allows obtaining resistivity values ranging from 2.5.10-6 to 4 Ω.cm. As a conclusion, this work highlights the correlation between deposition parameters (substrate temperature, DC power density and O2/N2 ratio) and films properties. We thus evidenced the potentiality of TiON thin films for applications as high density resistors. As a last step, TiON thin films were successfully integrated in the Back End Of Line of a standard industrial CMOS silicon technology. From our knowledge, such level of TiON films integration has never been reported before in the literature.
9:00 PM - K12.21
Microstructure and Electronic Structure of Pure and Cr-doped Ni Nanoparticles.
Chongmin Wang 1 , Donald Baer 1 , Stephen Bruemmer 1 , Mark Engelhard 1 , Mark Bowden 1 , Jennifer Sundararajan 2 , You Qiang 2
1 , Pacific Northwest National Laboratory, Richland, Washington, United States, 2 , University of Idaho, Moscow, Idaho, United States
Show AbstractIt has been very well established that properties and the behavior of nanoparticle of metals and alloys as well as their oxide or compound critically depend on the particle characteristics, such as size, morphology, crystal structure, and the surface characteristics. Metallic or alloy nanoparticles exposed to air at room temperature will be instantaneously oxidized and covered by an oxide layer. However, for most of the case, the true structural nature of the oxide layer formed at this stage is hard to be determined. Ni and Cr-doped Ni nanoparticles exposed to air at room temperature show no exception and will be oxidized on the surface to form an oxide layer. In this presentation, we illustrate the structure, morphology, and electronic structure (the density of state of both valence and conduction bands measured by a combination of XPS and EELS) of pure and Cr-doped Ni nanoparticles synthesized using a cluster deposition process. Structural characterization carried out at the atomic level using aberration corrected high resolution transmission electron microscopy (HRTEM) in combination with electron and x-ray diffractions reveals that the particles exposed to air at room temperature possesses a core-shell structure of metal core covered by an oxide layer of typically 1.6 nm in thickness. There exists a critical size of ~ 6 nm, below which the particle is fully oxidized. The oxide particle corresponds to the rock-salt structured NiO and is faceted on the (001) planes. XPS reveals that the very top surface of the oxide particle is hydrolyzed as Ni(OH)2. Chemical composition analysis using EDS, EELS, and XPS indicates that the Cr dopant at the level of ~ 5at% forms solid solution with the Ni lattice. The Cr shows no segregation on the surface or preferential oxidation during the initial oxidation.
9:00 PM - K12.22
Preparation of the CrO2 Thin Films Using a Cr8O21 Precursor.
Yuji Muraoka 1 , Keisuke Iwai 1 , Sho Yoshida 1 , Takanori Wakita 1 , Masaaki Hirai 1 , Takayoshi Yokoya 1 , Yukako Kato 2 , Takayuki Muro 2 , Yusuke Tamenori 2
1 , Okayama Univ., Okayama Japan, 2 , JASRI/SPring-8, Hyogo Japan
Show AbstractChromium dioxide (CrO2) has attracted considerable attention because it is ferromagnetic at room temperature (TC = 393 K) and a half-metallic band structure fully spin-polarized at the Fermi level. Several classes of materials are predicted to have this half-metallic ferromagnetic property, including the Heusler alloys, magnetites, Fe3O4, and CrO2, CrO2 is presently the only material with experimentally proven spin polarization close to 100 % as measured Andreev reflection and Meservey-Tedrow tunneling. These results are motivation to use this material in spintronics devices such as magnetic field sensing and information storage.It is known that CrO2 can be produced in the form of thin film by thermal decomposition of CrO3 using chemical vapor deposition (CVD). High quality CrO2 films have been deposited on single-crystal rutile (TiO2) and sapphire (Al2O3) substrates. However, there are several problems for the CrO2 thin films prepared from a CrO3 precursor. An example is that CrO3 is extremely hygroscopic and toxic, and is very hard to handle. Another problem is that insulating layer like Cr2O3, which is most stable phase in Chromium oxide binary system, is easily formed on a surface of CrO2 thin film. This insulating layer prevents us to fabricate spintronics devices for applications. Thus it is strongly required to find an alternative precursor which is available to prepare CrO2 thin films without any insulating layer up to the surface of films.Recently, Ivanov et al. reported that epitaxial CrO2 thin films are successfully prepared from Cr8O21 precursor [1]. Since Cr8O21 is less hygroscopic and less toxic compared with CrO3, it would be a more appropriate precursor. In addition, they found from low-energy electron diffraction (LEED) observations that epitxial growth of rutile-phase CrO2 occurs to the top monolayer of the film[2]. Their results let us have expectation that the film shows metallic surface property. So far, there is no report on physical and surface properties of the film prepared from Cr8O21 precursor. In this work, we prepare CrO2 thin films from Cr8O21 precursor and study the bulk and surface physical properties by means of magnetization, resistivity and X-ray photoemission spectroscopy. The detailed results are given in a presentation.References[1] Ivanov et al., J. Appl. Phys. 89, 1035 (2001). [2] Ivanov et al., J. Appl. Phys. 105, 07B107 (2009).
9:00 PM - K12.23
Noncontact Electrical Diagnostics of Barium Strontium Titanate (BST) Thin Films.
Supriya Ketkar 1 , Manoj Kumar 2 , Ashok Kumar 2 3 , Thomas Weller 1 , Andrew Hoff 1
1 Electrical Engineering, University of South Florida, Tampa, Florida, United States, 2 Mechanical Engineering, University of South Florida, Tampa, Florida, United States, 3 Nanotechnology Research and Education Center, University of South Florida, Tampa, Florida, United States
Show AbstractThe titanate-based dielectric materials exhibit four-fold change in electric permittivity with application of a small electric field whereas capacitance dependence dielectric enables numerous high frequency-agile circuit applications. The high-k films in general exhibit variable conductance due to the small band offsets as well as defect issues. Reports have revealed that the dielectric crystal structure and orientation in dielectric materials are strongly governed by the permittivity of the films which in turn, depends on the growth conditions. The properties of radio frequency, RF, magnetron sputtered Barium Strontium Titanate (Ba1-xSrxTiO3), BST, thin films are investigated and compared with BST thin films grown by sol-gel method with the intention of determining relationships between the oxide deposition parameters, the film structure, and the electric field dependence of the dielectric permittivity. Ba0.6Sr0.4TiO3 target material has been RF sputtered onto silicon and platinum (Pt) deposited silicon substrates using radio frequency (RF) power 200 Watts at temperatures between 400 and 600°C. The Ar/O2 gas ratio was maintained at 9:1. Similarly, sol-gel films of BST were spin coated on silicon and Pt coated silicon substrates, and annealed at different temperatures between 700 – 850°C to study the leakage current behavior corresponding to the crystal structure of these films. The crystal structure of the film has been analyzed using X-Ray diffraction (XRD) studies. The parallel plate capacitors were fabricated and measured in the frequency range between 1 and 500 MHz. The dielectric properties for the BST film capacitors are measured at 5 V using a HP4284A LCR analyzer. This work presents novel noncontact electrical characteristics of BST films on a variety of substrates, and which resulted from varying film formation processes. Noncontact corona-kelvin based metrology was used to calculate key parameters like dielectric effective oxide thickness (EOT), dielectric voltage in valence band tunneling range (VB), interface trapped charge (Qit) and flatband voltage.
9:00 PM - K12.24
Investigation of Single-crystal and Thin Film Surfaces of SrTiO3 Using Low-temperature STM/STS.
Taro Hitosugi 1 , Katsuya Iwaya 1 , Takeo Ohsawa 1 , Ryota Shimizu 1 , Tomihiro Hashizume 1
1 Advanced Institute for Materials Research (WPI-AIMR), Tohoku Univ., Sendai Japan
Show AbstractTo gain insight into the atomic nature of epitaxial thin film oxide surfaces and heterostructures, we used a scanning tunneling microscopy/spectroscopy (STM/STS) combined with pulsed laser deposition (PLD), which allows us to investigate electronic structures on an atomic scale. In this presentation, we discuss on both local atomic configurations and electronic structures of single-crystal and thin films of SrTiO3(STO). Epitaxial STO thin films were grown on chemically-etched STO(001) substrates, and then all the samples were transferred to the STM chamber without exposing them to air. STM/STS measurements were conducted at 78 K. Typical annealing to make the straight stepped-and-terraced surface, unexpectedly, resulted in atomically disordered structure. When epitaxial STO thin films were grown on top of the surfaces in step-flow or layer-by-layer manner, however, a variety of surface structures, including 1-dimensinal, ordered and disordered nanostructures, appeared. The STS measurements revealed many defects, indicative of non-uniform electronic structures on the STO surfaces. The number of surface defects was controlled by growing films in higher oxygen atmosphere and by film thickness. Further, we have succeeded in the preparation of truly atomically-ordered √13x√13 STO surface with equidistant step and terraces. These results illuminate new insights for oxides and will open up ways to extract novel functionalities in complex oxides heterostructures.
9:00 PM - K12.25
Lattice Matched and Strain Engineered Epitaxial Si Films on Tailoring Single Crystalline Pr2-xYxO3 (x=0-2) / Si(111) Support Systems.
Olaf Seifarth 1 , Burkhart Dietrich 1 , Alessandro Giussani 1 , Peter Zaumseil 1 , Thomas Schroeder 1
1 Materials Research, IHP, Frankfurt Oder Germany
Show AbstractThe physics of complex oxides makes these materials interesting for integration on Si to enable novel device concepts. Single crystalline oxides are of special interest and recent heteroepitaxy activities focus on solid state solutions of isomorphic oxides to gain flexibility in tailoring the oxide properties. The MBE growth of the ternary Pr2-xYxO3 (x=0-2) system on Si(111) from the isomorphic bixbyite oxides Y2O3 and Pr2O3 is reported. The Pr2-xYxO3 (x=0-2) buffer is of special interest for epitaxial Si overgrowth: With Y2O3 and Pr2O3 being about 2.4 % smaller and bigger than Si, respectively, the oxide system offers an almost symmetric lattice constant window for the growth of compressively (x=2), fully relaxed (x=1) and tensely (x=0) strained epitaxial Si films. Synchrotron based grazing incidence X-ray diffraction, transmission electron microscopy and Raman spectroscopy was applied to study the structure and strain of the Si(111)/Pr2-xYxO3(x=0-2)/epi-Si(111) heterosystem. The heterostructure grows single crystalline with a type A/B/A epitaxy relationship. Especially, fully lattice matched PrYO3(x = 1) oxide buffers were grown on Si(111) and subsequently overgrown with Si, resulting in strain free epi-Si(111) thin films. The electronic properties of the Pr2-xYxO3 (x=0-2) system were investigated by a combined synchrotron radiation based ultraviolet photoelectron - X-ray absorption spectroscopy study. It is found that the oxide band gap Eg increases linearly with x from Eg = 3.7 eV (x=0) towards Eg = 5.8 eV (x=2) in the Pr2-xYxO3(x=0-2) system. Furthermore, strain engineered epitaxial Si films on Y2O3/Pr2O3 bilayer buffers were investigated to address in a combined XRD – Raman strain study the question to which extent these oxide buffers exhibit compliant behavior.
9:00 PM - K12.26
In-situ Solution Processed Room Temperature Ferromagnetic MgO Thin Films Printed by Inkjet Technique.
Yan Wu 1 2 , Yi Zhan 3 , Mats Fahlman 3 , K. Rao 1 , Lyuba Belova 1
1 Material Science, the Royal Institute of Technology, Stockholm Sweden, 2 Material Science and Chemistry Engineering, China University of Geosciences, Wuhan China, 3 Surface Physics and Chemistry Group,IFM, Linkoping University, Linkoping Sweden
Show AbstractMgO is an industrially important material used in its thin film form in developing many electronic components such as high performance tunneling junctions, and future nanoelectronic components exploiting the spin of electrons along with their charge. We report ‘in-situ’ solution processed homogeneous (200) oriented MgO ~85nm thin films on Si substrate by inkjet printing another useful technique in developing flexible electronic components. We observe room temperature ferromagnetism with a saturation magnetization MS as high as ~0.63 emu/g. X-ray photoelectron spectroscopic investigations show the absence of any contamination while the Mg 2p, and O 1s spectra indicate the role of defect structure at the Mg site. By controlling the pH values of the precursors the concentration of the defects can be varied and so is the magnetization. The origin of magnetism in these MgO films appears to be the cation vacancies. While the MgO films are highly insulating, it is only above film thickness of about 200 nm the MgO films are purely diamagnetic – a factor that would be useful in device performance considerations.Acknowledgement:This project is supported by a grant from the Swedish Agency VINNOVA. Reference:[1] Hu, J.; Zhang, Z.; Zhao, M.; Qin, H.; Jiang, M.,Appl.Phys.Lett. 2008, 93 (19), 192503.[2] Araujo, C. M.; Kapilashrami, M.; Jun, X.; Jayakumar, O. D.; Nagar, S.; Wu, Y.; Arhammar, C.; Johansson, B.; Belova, L.; Ahuja, R.; Gehring, G. A.; Rao, K. V., Appl.Phys.Lett.2010, 96 (23), 232505.
9:00 PM - K12.27
Observation of Soft-mode Frequency of Strained Strontium Titanate Thin Films by Broadband Terahertz Time-domain Spectroscopy.
Ryuhei Kinjo 1 , Iwao Kawayama 1 , Hironaru Murakami 1 , Masayoshi Tonouchi 1
1 , Institute of Laser Engineering, Osaka University, Suita, Osaka, Japan
Show Abstract SrTiO3 (STO) is an incipient ferroelectric material that has been studied because it has interesting physical properties and is expected to be used for the fabrication of various devices. The most interesting phenomenon that is specified for STO is quantum paraelectricity, and pure bulk STO does not show ferroelectricity at the lowest measurable temperature. However, STO thin films that have appropriate strain show ferroelectricity as represented by room temperature ferroelectricity of a STO/DyScO3 film reported by Haeni et al [1].
We have studied STO thin films with terahertz time-domain spectroscopy (THz-TDS) [2]. A conventional THz-TDS with a photoconductive antenna as a THz-waves emitter has limited measurable frequency range below 2 or 3 THz despite the existence of important material characteristics in a higher frequency region, such as ferroelectric soft modes responsible for ferroelectric phase transition. In this study, we used a 4-dimethylamino-N-methyl-4-stilbazolium tosylate (DAST) crystal as a THz emitter. DAST is a well-known organic nonlinear optical material for emissions with high-peak-power broadband THz waves [3].
We fabricated STO thin films on various substrates by a pulsed laser deposition technique in order to give them tensile or compressive strains, and measured optical constants of the films by THz-TDS with a DAST crystal. Especially, for the film on a MgAl2O4 (MAO) substrate, we also measured temperature dependence of the optical constants from room temperature to 65 K.
As a result, we could measure dielectric function up to 6.0 THz for the STO films on some substrates, and clearly observe the peaks of dielectric function attributed to soft modes. Especially, the soft-mode frequency of the film on MAO was shifted to 2.1 THz, which is distinctly smaller than the value of pure bulk STO of about 3 THz. From the temperature dependence of the dielectric function of the film on MAO, we confirmed ferroelectric phase transition of the film at around 170 K. The temperature dependence of soft-mode frequency had a minimum and that of the real part of the dielectric constant showed maximum value at around 170 K simultaneously. These phenomena are considered to be due to the enhancement of ferroelectric fluctuations caused by the in-plane tensile strain.
ACKNOWLEDGMENT
This research was partially supported by a grant for Osaka University Global COE Program, "Center for Electronic Devices Innovation" from the Ministry of Education, Culture, Sports, Science and Technology of Japan (MEXT), and the Grant-in-Aid for Scientific Research (A) No.22246043 from the Japan Society for the Promotion of Science (JSPS)
REFERENCES
[1] J. H. Haeni et al., Nature, 430, 758 (2004).
[2] R. Kinjo et al., Jpn. J. Appl. Phys., 87, 182909 (2005).
[3] S. R. Marder et al., Science, 245, 626 (1989).
9:00 PM - K12.28
Observation of Characteristics of Strontium Ruthenate Thin Films in a Terahertz Frequency Region.
Ryuhei Kinjo 1 , Weiming Xu 1 , Iwao Kawayama 1 , Hironaru Murakami 1 , Masayoshi Tonouchi 1
1 , Institute of Laser Engineering, Osaka University, Suita, Osaka, Japan
Show AbstractSrRuO3 (SRO) is a metal oxide with a perovskite type structure, and has quite high electrical conductivity as an oxide material. Because of good lattice matching between SRO and functional oxides that have perovskite or perovskite-like structures, SRO has been expected to be used as an electrode of a device consisted of functional oxides. Especially, SRO shows ferromagnetism below about 160 K, thus it is expected to be material for spintronics devices.
In this study, we fabricated SRO thin films by a pulse laser deposition method, and measured characteristics of the SRO films by several methods. Especially, we measured complex optical constants of the SRO films in a terahertz (THz) frequency region with THz time-domain spectroscopy (THz-TDS) in order to apply SRO to future high-frequency devices that can operate in a THz region.
SRO thin films were fabricated on (La0.3Sr0.7)(Al0.65Ta0.35)O3 (LSAT) and MgO (001) substrates. The structures and surface morphologies of SRO thin films were observed using X-ray diffraction and an atomic force microscope (AFM). Temperature dependence of DC conductivities and magnetizations also measured with a four-probe measurement and a SQUID. THz-TDS measurements for a 130 nm-thickness film indicate that the noticeable frequency dependence of optical constants of the films was not observed from 0.2 THz to 1.4 THz from room temperature to about 170 K. However, at low temperatures below 140 K at which the SRO thin film underwent ferromagnetic transition, large absorption appeared around 0.8 THz, and increased as the temperature decreased. We will report the optical properties, magnetization, and electrical conductivities of the SRO thin films, and discuss the relation between ferromagnetic ordering and the absorption of the SRO films in the THz region.
ACKNOWLEDGMENT
This research was partially supported by a grant for Osaka University Global COE Program, "Center for Electronic Devices Innovation" from the Ministry of Education, Culture, Sports, Science and Technology of Japan (MEXT), and the Grant-in-Aid for Scientific Research (A) No.22246043 from the Japan Society for the Promotion of Science (JSPS)
9:00 PM - K12.29
DFT Investigations of Semiconducting Oxides and Sulfides: Materials with Unusual Main Group Constituents.
Joseph Bennett 1 , Karin Rabe 1
1 Physics and Astronomy, Rutgers University, Piscataway, New Jersey, United States
Show AbstractWe use first-principles density functional theory (DFT) calculations to investigate the ground state structures, polarization, magnetic ordering and band gaps of a number of oxide compounds identified as possible novel multiferroics and/or semiconducting ferroelectrics. Wewill draw examples from three classes of materials: double perovskites A2BSbO6, AB2O4-type schafarzikites, a class of magnetic minerals that contain an O6 octahedron similar to the perovskite, as well as stereochemically active Sb3+ in an Sb-Ochain, and finally A2P2S6. In the A2P2S6 ferroelectric sulfides, polarization stems from the stereochemically active lone pair on the A-site while the non-polar P2S6 cluster determines the band gap. With regards to the doubleperovskites as well as the schafarzikites, we investigate the magnetic coupling of various d-cation spin orientations to see if they could potentially break inversion symmetry to allow some degree of polarization. The calculated properties of these materials, such asphonon and electronic band structures, show that they couldpotentially be promising candidates for use as semi-conducting substrates for solar conversion or magnetoelectric devices.
9:00 PM - K12.3
Template Free, Low Temperature Synthesis of Binary and Ternary Metal Oxide Nanostructures.
Sanjaya Brahma 1 , S. Shivashankar 1 2
1 Materials Research Centre, Indian Institue of Science, Bangalore, Karnataka, India, 2 Center of Excellence in Nanoelectronics, Indian Institute of Science, Bangalore, Karnataka, India
Show AbstractWe report synthesis of binary and ternary metal oxide nanostructures using microwave irradiation-assisted chemical synthesis, either in the presence or the absence of a surfactant. The method is simple, inexpensive, and yields pure nanostructures of desired metal oxides in minutes, and requires no conventional templating. Nanoparticles of some functionally advanced binary/ternary metal oxides (MnO2, ZnO, CuO, NiO, CdO, Fe2O3, Ga2O3, Gd2O3, ZnFe2O4, ZnMn2O4 etc) have been synthesized using metal acetylacetonates as the starting precursor material and microwave as the source of energy, in a process developed in detail in our laboratory. The synthesis procedure involves a solution of acetylacetonate complex of the metal, of high purity (prepared in our laboratory), in an appropriate amount of solvent such as ethanol and aqueous solution of the surfactant. This solution is then subjected to microwave irradiation in a domestic microwave oven operating at 2.45 GHz frequency with power varying from 160-800 W, leading to the formation of metal oxide nanostructures within a few seconds to a few minutes. The nanoparticle size varies from 7-30 nm. Emphasis has been placed on the synthesis of ZnO nanostructures (nanoparticles, nanorods, nanotubes, nanoflowers) using a variety of different surfactants (polymeric or ionic), as well as different solvents. There is a systematic variation in the morphology of the ZnO nanostructures with variation of process parameters, such as microwave power, microwave irradiation time, surfactant type, and its concentration. There is also an appreciable change in the size and shape of ZnO nanostructures with the variation of molecular structures of the metalorganic complex, e.g., sharp-tipped, tapered ZnO nanorods or branched structures have been obtained by using an adduct of the complex zinc acetylacetonate, also synthesized in the laboratory. The as-prepared powder sample may either need a very brief exposure to heat to remove the surfactant or no post-synthesis processing, and is found to be very pure and well-crystallised. Determination of their crystallinity, actual shape, and orientation was made using X-ray diffraction, scanning electron microscopy (SEM) and transmission electron microscopy (TEM). Variation in the microstructure of ZnO with the variation of microwave power, microwave irradiation time, type of the surfactants and their concentration, the effect of mixture of surfactants, type of solvents and mixture of solvents, as well as variation with the molecular structure of the precursor complex has been studied in great detail. The as-prepared powder material may either need a brief exposure to “burn off” the surfactant, or may need no post-synthesis processing at all. Here we highlight a method for the synthesis of metal oxide nanostructures.
9:00 PM - K12.32
Z-STEM Analysis of Reconstruction Mechanisms in Planar and Vertical Interfaces between Complex Oxides.
Claudia Cantoni 1 , Jaume Gazquez 1 3 , Mark Oxley 1 , Yanfei Gao 2 4 , Eliot Specht 1 , Sung-Hun Wee 1 , Amit Goyal 1 , Fabio Miletto Granozio 5 , Paolo Perna 5
1 Materials Science & Technology, ORNL, Oak Ridge, Tennessee, United States, 3 Dept. Física Aplicada III, University Complutense of Madrid, Madrid Spain, 2 Computer Science and Mathematics Division, ORNL, Oak Ridge, Tennessee, United States, 4 Department of Materials Science and Engineering, University of Tennessee, Knoxville, Tennessee, United States, 5 , CNR-SPIN-Napoli and Università di Napoli “Federico II”, Naples Italy
Show AbstractInterfaces between complex oxides are expected to form the building blocks of future electronic devices, whether these are conventional planar film/substrate interfaces or vertical interfaces formed by a self-assembled phase embedded in a matrix of a different material. While strain and structural reconstruction play a determinant role for some interfaces, electronic and/or orbital reconstruction seem to cause other interfacial phenomena. In all cases, oxygen stoichiometry is a key variable and, at the same time, a very elusive quantity to measure. Here we show the results of an investigation carried out by aberration-corrected scanning transmission electron microscopy (STEM) and energy loss electron spectroscopy (EELS) on two different interface systems. The first one is a planar heterojunction between LaAlO3 and SrTiO3 exhibiting interfacial conductivity as a consequence of two-dimensional electron gas formation. The second system is a composite film made of BaZrO3 (BZO) self-assembled nanocolumns within a YBa2CuO3O7-δ (YBCO) high-Tc superconductive matrix. In the latter case vertical interfaces form during simultaneous deposition of BZO and YBCO as a result of strain-driven alignment of BZO nanorods. We report on the local structural, chemical, and electronic properties of these systems in an effort to understand the origin of their exotic behavior. Research sponsored by the US Department of Energy, Office of Electricity Delivery and Energy Reliability.
9:00 PM - K12.33
Sub-20 Nanometer Maps of Ferroelectric Domain Growth as a Function of Material Defects.
Nicholas Polomoff 1 , Joseph Desmarais 1 , Atif Rakin 1 , Sungjun Lee 3 , Yvonne Gerbig 2 , Robert Cook 2 , Bryan Huey 1
1 Institute of Materials Science, University of Connecticut, Storrs, Connecticut, United States, 3 Physical Metrology, Korea Research Institute of Standards and Science, Daejeon Korea (the Republic of), 2 Ceramics Division, National Institute of Standards and Technology, Gaithersburg, Maryland, United States
Show AbstractPractical domain switching speeds in ferroelectric devices will be limited by material defects such as grain boundaries or local stresses, with significant influences on transport as well. Leveraging the capabilities of High Speed Piezo Force Microscopy, domain switching movies are acquired in the vicinity of such defects revealing domain wall growth dynamics. In particular, domain growth directions, and velocities, are mapped and statistically analyzed for ferroelectric thin films near, and far from, nanoindentation sites. This includes investigating and comparing domain dynamics at regions of compressive or tensile remnant stress, as well as in the vicinity of stress relieving cracks. Switching dynamics as a function of nucleation site densities are also considered, including engineered low and high density nuclei. Preferential domain growth directions and velocities are therefore quantified and related to local film conditions with better than 20 nm spatial resolution.
9:00 PM - K12.34
Effects of Argon to Oxygen Ratio and Post Annealing on R.F. Sputtered SnO2 Thin Film for Ethylene Gas Detection.
Hosang Ahn 1 , Seon-Bae Kim 1 , Dong-Joo Kim 1
1 Materials Eng., Auburn Univ., Auburn, Alabama, United States
Show AbstractSnO2 with good semiconducting and electronic properties has drawn a lot of interest in microdevices such as field effect transistors, gas sensor applications, and photovoltaic devices. In the agricultural industry, ethylene gas is widely utilized in artificial fruit ripening, especially for tomato and banana production. For early detection of infected plants by pathogens, ethylene gas can play a role as an alarming gas. Based on this demand, optimum processing conditions for fabricating SnO2 thin film to detect low ppm levels of ethylene gas should be investigated to suggest a direction for future on-field gas sensor applications demanding good and reliable electronic properties. In this study, R.F. sputtering was used to fabricate 120 nm thick SnO2 films since the method allows for ease of control of the film properties by varying process parameters such as the gas ratio, deposition temperature, pressure, etc. The effects of different argon to oxygen gas mixing ratios, 15:15, 20:10, 23:7, and 27.3:2.7, were emphasized. The total amount of the gas mixture was controlled to have 30 sccm. Deposition time was regulated to have the same thickness of film. Post annealing was performed at 650°C for 1hr to examine film properties for ethylene gas sensing. The argon to oxygen ratio and post annealing conditions were investigated to find the relationship between ethylene gas detection and microstructural change. The stoichiometry and crystallinity of SnO2 films resulted by post annealing was observed to be more influential than the argon to oxygen ratio during R.F sputtering in ethylene gas detection. An ethylene gas-sensing mechanism on R.F. sputtered SnO2 thin film sensors for the design of processing conditions in fabricating ethylene gas sensors is proposed.
9:00 PM - K12.35
Reversible Room Temperature Ferromagnetism in Undoped Zinc Oxide: Correlation between Defects and Physical Properties.
Siddhartha Mal 1 , Sudhakar Nori 1 , John Prater 2 , Jagdish Narayan 1
1 Materials Science & Engineering Department, North Carolina State University, Raleigh, North Carolina, United States, 2 Materials Science Division, Army Research Office, Durham, North Carolina, United States
Show AbstractWe have introduced defects in ZnO epitaxial thin films using laser irradiation with nanosecond laser pulses and systematically investigated its magnetic, electrical and optical properties. In contrast to as grown samples, the laser irradiated films show a significant decrease in resistivity, enhancement in UV emission, while maintaining the same wurtzite crystal structure. There is a simultaneous increase in n-type electrical conductivity with the number of laser pulses, and samples continue to exhibit semiconducting behavior. Room-temperature ferromagnetism is observed in laser-irradiated samples, which increased with the number of laser pulses up to a certain value where it saturates and decreases subsequently. The laser-induced ferromagnetism and concomitant conductivity enhancement can be reversed through subsequent thermal annealing. Here, we demonstrate the reversible switching of RTFM in undoped ZnO by employing oxygen annealing (off) and laser irradiation (on). Reversible switching of RTFM and n-type conductivity have also been demonstrated by oxygen and vacuum annealing. EPR results corroborate the magnetic and conductivity data. Possibility of external ferromagnetic impurity as the origin of the unconventional RTFM in these films has been ruled out by SIMS and EELS studies. We discuss these findings in terms of defects and defect complexes, and their role in RTFM in undoped ZnO.References:1. S. Mal, J. Narayan, S. Nori, J. T. Prater and D. Kumar, Solid State Communications, (in press), 2010.2. M. Venkatesan, C. B. Fitzgerald and J. M. D. Coey, Nature 430, 630 (2004)
9:00 PM - K12.36
Theoretical Study of the Atomic Structure and Thermodynamics of Amorphous Hafnia.
Xuhui Luo 1 , Alex Demkov 1
1 Physics, The University of Texas, Austin, Texas, United States
Show AbstractHafnium dioxide is currently used in Si CMOS technology and is considered as a possible gate dielectric in III-V channel devices. However, the low temperature of crystallization of hafnia is an obstacle to further scaling. Thus understanding the thermodynamic properties of amorphous hafnia is of great importance. Owing to extremely high melting temperature there are rather few experimental studies of amorphous hafnia quenched from the melt. This makes a theoretical study rather attractive. We use first principles melt-quench procedure to generate theoretically atomistic models of amorphous hafnia. We identify two distinct types of amorphous structures. Type I structures (related to tetragonal hafnia) have molar volume between that of monoclinic and tetragonal hafnia. The energy is 0.30 eV/HfO2 higher than that of the monoclinic phase. The volume of type II amorphous hafnia (related to monoclinic hafnia) is about 4% larger than that of monoclinic hafnia, and is comparable to that of the disordered films grown by atomic layer deposition (ALD). The energy of type II amorphous structure is 0.60 eV/ HfO2 above that of the monoclinic phase. We introduce a quantity characterizing the disorder of a structure, and find that type II structures are more disordered than type I. We calculate the phonon density of states and specific heat capacity for type II amorphous hafnia. Using the transition state theory we show that the averaged transition barrier between the type II amorphous and monoclinic hafnia is about 0.09 eV/HfO2. The crystallization temperature is estimated to be about 420 K.
9:00 PM - K12.37
Effect of the Periodicity and the Composition in Artificial BaTiO3/ (Ba,Sr)TiO3 Superlattices.
Nora Ortega 1 , Ashok Kumar 1 , Olga Maslova 2 , Yu. Yuzyuk 2 , James Scott 3 1 , Ram Katiyar 1
1 Physics, University of Puerto Rico, San Juan, Puerto Rico, United States, 2 Physics, Southern Federal University, Rostov-on-Don Russian Federation, 3 Earth Science , University of Cambridge, Cambridge United Kingdom
Show AbstractArtificially fabricated superlattices (SLs) constructed by alternate layers of different polar and non-polar perovskites oxides BaTiO3 (BT), SrTiO3 (ST), LaAlO3 and PbTiO3 (PT) have been popular objects of investigations over the past few years. In addition to novel physics, SLs exhibit superior properties, which make them attractive for thin-film device applications i.e low-loss, high dielectric constant, high polarization, and high Curie temperature. Physical properties different from parent materials can be obtained in the SL structure by modifying the lattice. In case of BT/ST SLs, large stress is induced due to the mismatch between in-plane lattice parameters 3.994/3.905 Å, respectively. We have prepared two series of BaTiO3/SrTiO3 (BT/ST) superlattices by a multi-target pulsed laser deposition technique. In the first set, the modulation period (Λ) was varied from 80 Å to 10 Å, total stack height 6000 Å. In the second set, ST replaced with Ba(1-x)SrxTiO3 (BST) (0
9:00 PM - K12.38
Nanostructured LiTiO2 Thin Films as Alternative Li-ion Anodes.
Erik Spoerke 1 , Mark Roberts 1 , Michael Brumbach 1 , Kelsey Meyer 1
1 , Sandia National Laboratories, Albuquerque, New Mexico, United States
Show AbstractLithium titanates have emerged as potentially safe, durable, and effective alternatives to currently used lithium and carbon-based anodes in lithium-ion batteries. A number of lithium titanates have been intensely explored, with phases such as Li4Ti5O12 demonstrating particular promise. Curiously, LiTiO2, a lithium titanate with higher theoretical capacity than Li4Ti5O12, has been largely dismissed, ostensibly because of its low electrical conductivity. In addition, many efforts to synthesize this material have focused on lithiating titanium oxide, producing substoichiometric lithium incorporation. We describe here the synthesis, materials characterization, and electrochemical behavior of a uniform, nanostructured thin film of LiTiO2, grown directly on a metallic current collector. This electrochemically-active nanoscale film not only offers promise as a potential thin film anode material, but may also lead to investigations of other alternative stable metal oxide films as replacements for current anode materials. Sandia National Laboratories is a multi program laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Corporation, for the U.S. Department of Energy's National Nuclear Security Administration under contract DE-AC04-94AL85000.
9:00 PM - K12.39
Effects of Thickness on Electrical and Magnetoresistance Properties in La0.8Sr0.2MnO3/SrTiO3:Nb Heterojunctions.
Ming Zhang 1 , Yuchun Feng 1 , Ruzhi Wang 1
1 The College of Materials Science and Engineering, Beijing University of Technology, Beijing China
Show AbstractPerovskite manganite based heterojunctions La0.8Sr0.2MnO3/SrTiO3:Nb(1 wt %) (LSMO/STON) with LSMO film thickness of 5, 15, and 80 nm were successfully fabricated using the RF magnetron sputtering technique. This work mainly focused on the effects of LSMO thickness and magnetic field on I-V characteristics and the corresponding magnetoresistance (MR). All junctions exhibit excellent rectifying properties in a wide temperature range from 20 to 300 K, which could be affected pronounced by a magnetic field. For LSMO(5nm)/SNTO heterojunction only positive MR existed in the heterojunction at different bias of ± 1.5 and ± 3 V. Particularly, it was worth noting that the maximum of MR was as high as 1900 % at 5 T, which was not ever been reported before. The obtained large positive MR was probably attributed to the modulation of interfacial band structure of LSMO film originated from the thickness effect of the ultra-thin LSMO film. In LSMO(15nm)/SNTO heterojunction both of positive and negative MRs were approved. Negative MR occurred at forward bias (+1.5 V), positive MR at reverse bias (−1.5 V, and −3 V) as well. The absolute value of the positive and negative MR decreases with increasing temperatures and then almost keeps a small constant above 150 K. For LSMO(80 nm)/SNTO heterojunction only negative MR was observed. The variation of MR in junctions with different LSMO thickness could be related to the competition between positive MR introduced by interfacial effect and intrinsic negative MR of LSMO films, which is modulated by LSMO film thickness.
9:00 PM - K12.4
First-Principles Study of YSZ – In2O3 Heterointerface Structure and Properties.
Hakim Iddir 1 , Peter Zapol 1 , Dillon Fong 1 , Paul Fuoss 1 , Jeffrey Eastman 1
1 , Argonne National Laboratory, Argonne, Illinois, United States
Show AbstractInterfacial structures in thin film oxide heterostructures have potential for producing novel electronic and ionic properties. Heterostructures of Y2O3-stabilized ZrO2 (YSZ), an excellent ionic conductor, and In2O3, a transparent n-type electronic semiconductor, can be grown epitaxially and exhibit interesting conduction behavior that depends on the oxygen pressure of the sample environment. To provide insight into influence of the environment on electronic properties of interfaces, a first-principles study is performed for YSZ – In2O3 (001) interfaces under different oxygen and electron chemical potentials. The (001) stacking sequences of both bixbyite-structured In2O3 and fluorite-structured YSZ are polar, consisting of alternating oxygen and cation planes. Two In2O3 cation arrangements exist, one with the ions occupying two distinct sites (8b and 24d) in a flat configuration, and one with the In ions all occupying 24d positions in a buckled configuration. Thus, there are two possible (001) YSZ || (001) In2O3 heterointerfaces, each sharing a common oxygen plane. We present results on the atomic and electronic structure of both of these interfaces as a function of oxygen partial pressure. Theoretical studies of oxygen vacancy formation energy and diffusion along and across the interface have also been carried out, providing insight into the effects of interfacial proximity on the oxygen vacancy distribution and electronic properties. The effect of an external electric field normal to the interface on the oxygen vacancy distribution in the heterostructure is also investigated. The results are compared with those from synchrotron x-ray scattering, high-resolution transmission electron microscopy and transport measurements on sputter-deposited YSZ – In2O3 heterostructures. This work is supported by the U.S. Department of Energy under Contract No. DE-AC02-06CH11357.
9:00 PM - K12.40
Bandgap and Optical Absorption Engineering of Amorphous Indium Zinc Oxide Sputtered Thin Films.
Mohammad Ebdah 1 , Martin Kordesch 1 , Wayne Jennings 2
1 Department of Physics and Astronomy, Ohio University, Athens, Ohio, United States, 2 Department of Materials Science and Engineering, Case Western Reserve University, Cleveland, Ohio, United States
Show AbstractAmorphous indium zinc oxide (IZO) films have been sputtered using radio frequency (RF) magnetron sputtering onto a-SiO2 (550nm)/c-Si(100) substrates. Sputtering was achieved with a sputtering rate of 0.1 A/sec under the flow of oxygen and argon. The IZO films were sputtered at room temperature, with thickness of 50 nm and varied Indium at. %. The structures of the films have been investigated by X-ray diffraction (XRD) and transmission electron microscope (TEM), and the compositions of the films were studied using electron spectroscopy for chemical analysis (ESCA), respectively. The XRD and TEM results reveal that the sputtered films are amorphous. The optical properties were studied by spectroscopic ellipsometry (SE) technique in the wavelength range of (270-1700) nm. The indices of refraction and extinction coefficients were obtained by the analysis of the measured ellipsometric spectra of Ψ and Δ within the framework of the Tauc–Lorentz (TL) model. Drude (D) term was added to the optical model in order to count for the absorption of the free carriers. A roughness top layer in the multi-layered model structure was used for modeling the roughness of the films using the effective medium approximation (EMA). Excellent agreement was found between the experimental and simulated spectra. For each composition, the obtained absorption coefficients were analyzed using the modified Tauc linear extrapolation, and the obtained optical absorption edges, Eg, were in excellent agreement with the values obtained from the TL+D model fitting parameters. The optical bandgap and optical functions have been consequently engineered over the In at.% range of (0 - 100)%. Finally, the morphology of the films was investigated by atomic force microscopy (AFM), and the obtained roughnesses were compared to those obtained by SE.
9:00 PM - K12.41
Magnetic Properties of Y3-2xCa2xFe5-xVxO12 Ferrites.
Imaddin Al-Omari 1 , David Sellmyer 2
1 Physics, SQU, Muscat Oman, 2 Physics, UNL, Lincoln, Nebraska, United States
Show AbstractGarnet ferrites are attracting much attention since the last decade because of their magnetic and electrical properties which makes them good candidates for several applications such as microwave devices, components of synthesizers for digital radios, of magneto-optical information storage,… etc. Ferrites crystallize in three different crystal types, namely, spinel, garnet, and magnetoplumbite. YIG has a cubic structure and is ferrimagnetic with the Fe at the octahedral sites couple antiferromagnetically with the Fe at the tetrahedral sites. They are chemically very stable and can last for a very long time, have high Currie temperature (about 500 K-550 K), high electrical resistivity, and low dielectric losses over a wide range of frequencies. A series of Y3-2xCa2xFe5-xVx O12 garnet ferrites (x = 0.0, 0.2, 0.4, 0.6, 0.8, and 1.0) were prepared by the conventional ceramic technique. First the appropriate masses of Y2O3, CaO, Fe2O3, and V2O5 were grounded using an agate mortar, followed by wet-mixing in acetone for 1 h. Second the mixtures were dried and annealed at 1500 oC for 15 hrs, followed by mechanical milling for 1 hr. Then, finally sintered at 900 oC for 8 hrs. In these ferrites each V5+ ion requires two Ca2+ ions for electrostatic compensation, and the amount of Y3+ ions required is considerably reduced compared with the tetravalent or trivalent ion substitution. The samples were characterized for magnetic properties, with the help of Vibrating Sample Magnetometry (VSM) and Superconducting Quantum Interference Device (SQUID) magnetometry. The occurrence of cubic structure has been confirmed by x-ray diffraction analysis.The magnetization curves exhibited the typical characteristics of ferromagnetic materials at room temperature and at 4.2K. The samples exhibited very low coercivity (between 20 Oe and 40 Oe) with saturation magnetization (Ms), as high as ~ 36 emu/g (at 4.2 K). We also found that the saturation magnetization decreases with V and Ca contents reaching 8 emu/g at 4.2 K. The saturation magnetization were found to decrease with increasing the temperature for all the samples, while the Currie temperature were found to increase (from 507 K) for small values of x reaching a maximum of 537 K for x=0.4, then it decreases to 502 K for x=1.0. This decrases in the magnetization and the increase in the Currie temperature can be due to the replacement of Fe by non magnetic V which affect the exchange coupling between the Fe ions at the different sites. ZFC and FC measurements at low applied magnetic field showed that the blocking temperature depends on the V and Ca concentrations. In this study, we were able to prepare YIG garnets with less Y and Fe ions, with the same cubic structure and with higher Currie temperatures.This work was supported by the Sultan Qaboss University, Oman.
9:00 PM - K12.42
The Effect of Seed Layer Characteristics Prepared by Wet Chemical via on Morphology of ZnO Nanostructures Arrays Grown by Hydrothermal Method.
Saionara Costa 1 2 , Natiara Madalossi 1 , Talita Mazon 1 2 , Raluca Savu 1 , Ednan Joanni 1 , Jorge Tomioka 2
1 Microsystems and Packaging Division, CTI, Campinas, SP, Brazil, 2 , Federal do ABC University, Santo André, SP, Brazil
Show AbstractZinc oxide (ZnO) is an emerging optoelectronic material in large area electronic applications that are due to its various functional behaviors. Because of this, different nanostructures have been synthesized. In this work, a new method have been proposed to prepare aligned nanowire, nanorod and nanoflower arrays on SiO2-Si and FTO substrates. This method consists of growing nanostructures by hydrothermal method on substrates that were covered with pre-deposited ZnO films as seed layer. The ZnO seed layer was prepared by precursor polymeric, deposited by spin coating at different conditions and heat treatment at 500 or 800 degrees C. For hydrothermal step, a low temperature (60-100 degrees C), zinc acetate and potassium hydroxide and the presence or absence of sodium dodecyl sulfate (SDS) as a shape-directing-capping agent were used. The structural properties and surface morphologies of the ZnO films as seed layer as well as ZnO nanostructures were examined using X-ray diffractometer (XRD) and scanning electron microscope (EC-SEM). The analyses of EC-SEM had been performed on the LME - LNLS Laboratory. The optical properties of the ZnO nanostructures grown on FTO substrates were measured using ultraviolet-visible (UV-Vis) spectroscopy. It was found that the seed layers prepared with different structure, microstructure and thickness as well as the presence or absence of the capping agent have a great influence on the homogeneity, morphology, size, length, density and uniformity of the ZnO nanostructured arrays grown subsequently on them. The arrays grown on films deposited at 1000 and 2000 rpm are more homogeneous. The presence of SDS was favorable to prepare flowerlike ZnO nanostructure. On the other hand, its absence was favorable to grow or nanorods and or nanowires depending of the film used as seed layer characteristics. The morphology of the nanostructures prepared by this method influenced on the optical properties of the material.
9:00 PM - K12.43
Uncovering Octahedral Tilt Behavior at Thin Film/Substrate Interfaces in Cobaltites by Aberration Corrected STEM.
Donovan Leonard 1 , Michael Biegalski 1 , Hans Christen 1 , Stephen Pennycook 1 , Albina Borisevich 1
1 , Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States
Show AbstractStrongly correlated oxides possess degrees of freedom in the atomic arrangements of unit cells at interfaces not observed in traditional semiconductor materials (e.g. doped Si, GaAs). Unlike p-n junctions and space charge layers that enable semiconductor based solid state devices the electronic properties and structure of oxide thin film interfaces are not well understood. Replacing semiconductor materials with strongly correlated oxides has the potential to exploit novel functionalities enabled by the coupling of spin, charge, structural, and lattice degrees of freedom. This study, utilizing atomic resolution electron microscopy and electron energy loss spectroscopy (EELS), correlated the interplay between octahedral tilts and changes in electronic properties of several strongly correlated oxide thin film/substrate interfaces.Thin films (~30nm) of strontium titanate (SrTiO3) and lanthanum strontium cobalt oxide (LaSrCoO3) were grown epitaxially by pulsed laser deposition on substrates of LaSrAlO3, LaAlO3, SrTiO3 and NdGaO3.Atomic resolution high angle annular dark field (HAADF) micrographs were acquired using a dedicated scanning transmission electron microscope (STEM), VG 603, operated at 300kV, equipped with a Nion aberration corrector and Gatan Enfina electron energy loss spectrometer. EELS spectrum imaging was used to document the low-loss energy regime and chemical composition of the thin film/substrate interfaces.Digital image analysis of the HAADF micrographs show octahedral tilts at the interfaces and were correlated with the VEELS data to provide further insight into the electronic changes in this localized region. A critical value for octahedral tilt, the origin of ferromagnetic properties at the interface and selective tuning of the octahedral tilts will be discussed in relation to improving nano-scale materials properties at the thin film/substrate interfaces studied by STEM/EELS.
9:00 PM - K12.44
Engineering Ferroelectric and Dielectric Properties of Ferroelectric-paraelectric Heterostructures: Towards a Novel Transistor Application for Ferroelectrics.
Asif Khan 3 , Pu Yu 1 , Ramamoorthy Ramesh 2 1 , Sayeef Salahuddin 3
3 Electrical Engineering & Computer Sciences, UC Berkeley, Berkeley, California, United States, 1 Physics, UC Berkeley, Berkeley, California, United States, 2 Material Science & Engineering, UC Berkeley, Berkeley, California, United States
Show AbstractIn accordance with the Landau-Ginzburg-Devonshire (LGD) theory, the origin of ferroelectric hysteresis is tied to a phenomenological double well energy landscape. In a ferroelectric-paraelectric heterostructure, the composite energy landscape of the heterostructure, which is obtained by adding the component energies can be tuned by changing the component fractions and the heterostructure can be made to behave like a single ferroelectric or a single paraelectric material. The curvature of the ferroelectric energy landscape near the origin is reversed and it can be shown that by biasing the polarization in that region in the heterostructure by properly choosing the component fractions, it is possible to induce an internal gain mechanism in the FE and the heterostructure effective dielectric permittivity will be considerably larger than that of either of the components. Towards verifying this prediction based on the concept of energy landscape modification in ferroelectric heterostructure, we fabricated high quality epitaxial heterostructures of ferroelectric PbZr0.2Ti0.8O3 (PZT) and paraelectric SrTiO3 (STO) using pulsed laser deposition. Our experimental results show that effective heterostructure permittivity values higher than those of the constituent paraelectric and ferroelectric can indeed be achieved. X-ray diffraction based structural studies also indicate that PZT tetragonality in the heterostructures changes systematically with the component fractions agreeing qualitatively with the predictions based on the energy landscape modification. In a field-effect transistor with the ferroelectric as the gate insulator, this effect could increase the sharpness of turn-on characteristics raising the possibility of beating the classical limit of 60 mV/decade subthreshold slope [1,2,3]. Beyond MOSFETs, this tunability in the ferroelectric-paraelectric heterostructures renders possibilities of many other novel applications. The talk will discuss the detailed experimental results and their connections to the LGD based simulations as well as implications on ultra-low power operation of transistors and novel ferroelectric device possibilities. 1.S. Salahuddin, et al., Nanoletters 8, 405 (2008), 2.V. V. Zhirnov, et al., Nature Nanotech 3, 77 - 78 (2008) 3.T. N. Theis, et al. Science 327, 1600 (2010),
9:00 PM - K12.45
The Effect of Oxygen Partial Pressure During Deposition in the Magnetic Properties of ZnO Thin Film.
Anis Biswas 1 , Shirong Wang 1 , Sandeep Nagar 1 , Lyuba Belova 1 , K. Rao 1
1 , Royal Institute of Technology, Stockholm Sweden
Show AbstractThe search of ferromagnetism at room temperature (RTFM) at different oxides has been an active research topic over last decade. One of the major breakthrough in this direction is the discovery of ferromagnetism above room temperature for Mn-doped ZnO [1]. Such kind of RTFM has also been reported even in the undoped ZnO thin films as well [2]. The RTFM is believed to be related with native defects and such defects would also influence the structural properties of the materials. The main objective of the present study is to get deeper understanding of the role of defects on magnetic and structural properties of ZnO thin films. We have carried out a systematic study of the effect of different oxygen partial pressures (OPP) in the range 0.2 to 0.75 during the deposition of ZnO films of dixed thickness (~ 100 nm) by magnetron sputtering on silicon substrates at room temperature. The films prepared at OPP below ~ 0.3 show robust RTFM. In the OPP range 0.3 to 0.5, the well c-axis oriented films show that the stress developed along c-axis as determined from the XRD data decreases and so does the overall Ms value until around OPP 0.6 the stress factor is almost negligible, and concomitantly the film becomes diamagnetic! Thus, the Saturation magnetization (MS) for these films shows similar variation as the stress developed in the films as a function of oxygen partial pressure. The maximum MS (~ 5 emu/gm) is obtained for partial pressure ~ 0.3. It appears that the stress developed in the film originates mainly due to active defects, which gives rise to RTFM as well. As both have common origin, these two properties exhibit similar variation with oxygen partial pressure during deposition.Acknowledgements: AB acknowledges the Carl Trygger’s Foundation in Sweden for a post doctoral scholarshipThis project is supported by a grant from the Swedish funding Agency VINNOVA. References: [1] P. Sharma et al., Nat. Mat., V-2, 674 (2003)[2] M. Kapilashrami et al., Appl. Phys. Lett., V-95, 033104 (2009)
9:00 PM - K12.46
Atomic and Electronic Structures of SrTiO3/GaAs Hetero-interfaces.
Qiao Qiao 1 , Serdar Ogut 1 , Robert Klie 1
1 Physics, University of Illinois at Chicago, Chicago, Illinois, United States
Show AbstractMetal-oxide semiconductor interfaces have received much attention in recent years due to their potential applications in metal-oxide-semiconductor field-effect transistors. In this study we examine the atomic and electronic structures of epitaxial SrTiO3[100] thin films on GaAs[001] using atomic-resolution Z-contrast imaging and electron energy loss spectroscopy in combination with first principles calculations to develop a fundamental understanding of the interfacial structure-property relationships.Initially, two different kinds of ultra-thin SrTiO3 films were deposited on As-terminated GaAs (100), one with a Ti pre-layer and the other with the SrTiO3 in direct contact with the GaAs support. Using an aberration-corrected scanning transmission electron microscope, the high-resolution Z-contrast images suggest that the oxide film starts with a SrO layer at the As terminated GaAs interface. We will demonstrate that, by taking into consideration the SrTiO3/vacuum interface, it is energetically favorable for SrO layer of SrTiO3 to be in direct contact with the terminating As layer of GaAs, as opposed to the TiO2 layer.However, the model with the simplest 1x1 surface unit cell is not in agreement with the photoemission data. First principles total energy calculations will be used to determine how interfacial strain, different GaAs(001) surface reconstructions influence the low-energy semiconducting interface. We will explore the role of O vacancies and Ti diffusion in stabilizing the semiconducting interface. This work is supported by the NSF under Grant No. DMR-0604964, and the NSF CAREER Award DMR-0846748.
9:00 PM - K12.47
The Interfacial Structure of Ultrathin Epitaxial Complex Oxides on Semiconductors.
Divine Kumah 1 , James Reiner 1 , Joseph Ngai 1 , Yarron Segal 1 , Alexie Kolpak 1 , Sohrab Ismail-Beigi 1 , Charles Ahn 1 , Fred Walker 1 , Dong Su 2 , Zhan Zhang 3
1 Center for Research on Interface Structure and Phenomena, Applied Physics, Yale University, New Haven, Connecticut, United States, 2 Center for Functional Nanomaterials, Brookhaven National Laboratory, Upton, New York, United States, 3 Advanced Photon Source, Argonne National Laboratory, Argonne, Illinois, United States
Show AbstractThe successful integration of highly crystalline complex oxides with semiconductors has led to the possibility of realizing a wide range of devices based on incorporating complex oxide functionality (e.g., ferroelectricity) with established semiconductor technologies. Realizing the full potential of such structures requires an understanding of both the crystalline interfaces formed between complex oxides and silicon and how the interfacial structure influences properties of the entire structure. In the prototypical SrTiO3/Si(001) system, high resolution transmission electron microscopy and synchrotron x-ray diffraction measurements were carried out to establish the interface bonding and structure with sub-Å precision. We find that the specific pattern of bonding between the SrTiO3 and Si leads to cation-anion displacements in a 5 unit cell SrTiO3 film, leading to a positively polarized film. The polar distortions can be understood as arising from the interplay of compressive strain and localized interface states. In order to establish whether these results are generic to complex oxide/semiconductor systems or specific to SrTiO3/Si, we have also studied epitaxial BaTiO3/Ge(001) using the same experimental techniques. Both interfaces have the same layer sequencing and lattice registry, but in the case of BaTiO3/Ge, the interface exhibits a 2×1 symmetry (similar to that previously observed in BaO/Si(001)), in contrast to the SrTiO3/Si system, which exhibits only a 1×1 interfacial symmetry. The implications of these results in relation to the fabrication of oxide electronic devices integrated with semiconductors would be discussed.
9:00 PM - K12.48
Dislocation Effect on Optical Properties in ZnO.
Alicia Stroud 1 , Jeong You 1
1 Mechanical Engineering, Southern Methodist University, Dallas, Texas, United States
Show AbstractZnO is increasingly being investigated for uses in optoelectronic devices because it has a wide direct band gap of 3.37 eV, a large exciton binding energy of 60 meV, and high thermal and chemical stabilities. A large lattice mismatch between ZnO and a typically used substrate, i.e. sapphire, induces a high dislocation density of ~10^9 cm-2, which is detrimental to optical performance. The effects of threading edge dislocations on optical properties in wurtzite ZnO has been studied using a multiband Hamiltonian model. These effects include the electrostatic potential caused by the electron-acceptor nature of edge dislocations and a deformation potential associated with the strain field around the dislocation. The energy levels and corresponding wave functions in the valence band have been calculated by solving a 6x6 Hamiltonian using the finite element method. The conduction band has been solved separately from the valence band by taking advantage of the wide band gap. The calculated energy levels and wave functions for electrons and holes are then used to calculate the spontaneous emission spectrum as a function of dislocation density. These results are compared with available experimental data.
9:00 PM - K12.5
Leakage Current Behavior in Lead-free Ferroelectric KNN-LT-LS Thin Films.
Maryam Abazari 1 2 , Ahmad Safari 2
1 , Harvard University, Cambridge, Massachusetts, United States, 2 Materials Science and Engineering, Rutgers University, Piscataway, New Jersey, United States
Show AbstractConduction mechanisms in epitaxial (001)-oriented pure and 1mol% Mn-doped (K0.44,Na0.52,Li0.04)(Nb0.84,Ta0.1,Sb0.06)O3 (KNN-LT-LS) thin films on SrTiO3 (STO) substrate were investigated. Temperature-dependence of leakage current density was measured as a function of applied electric field in the range of 200-380K. It was shown that the different transport mechanisms dominate in pure and Mn-doped thin films. In pure KNN-LT-LS thin films, Poole-Frenkel emission was found to be responsible for the leakage, while Schottky emission was the dominant mechanism in Mn-doped thin films at higher electric fields. This is a remarkable yet clear indication of effect of 1 mol% Mn on the resistive behavior of such thin films.
9:00 PM - K12.6
Citric Acid Assisted Metal Scavenging: A Novel Route to Complex Oxide Nanoparticle Synthesis Using Top-down Approach.
Sangeeta Kale 1 , S. Khatavkar 2 , O. Game 3 , S. Ogale 3
1 Applied Physics, Defence Institute of Advanced Technology, Pune, MH, India, 2 Electronic Science, Fergusson College, Pune, MH, India, 3 Physical and Materials Chemistry Division, National Chemical Laboratory, Pune, MH, India
Show AbstractHigh degree of crystallinity, stoichiometry and cation order of a complex oxide such as colossal magneto-resistance manganite is normally accomplished by high temperature processing over an extended duration of time. This process however concurrently leads to large size of the particles due to coarsening and a broad particle size distribution. In this context, we report on synthesis of La0.7Sr0.3MnO3 nanoparticles (LSMO) using a chemical milling approach. Polydispersed LSMO particles have been synthesized at 1000 degree centigrade using conventional gel route. Further, citric acid was used as a chelating agent to chemically mill the sample surface (from 0-3 hours duration) to yield smaller particles. X-ray diffraction studies showed that there is a systematic shift in the perovskite peak positions, with the chelating time. Vibrating sample magnetometry data indicated the time dependent change in the magnetic particles from polydispersed ferromagnet, through single domain to monodispersed superparamagnets. Citric acid is envisaged to scavenge the metal ions from LSMO structure sequentially, maintaining the stoichiometric ratio intact, and help the chiseling process. X-ray photoelectron spectroscopy analyses predict the possible changes in the surface states on the LSMO structure, which will be discussed in this presentation.
9:00 PM - K12.7
Quality Control of LiCoO2 Epitaxial Thin Films by Pulsed Laser Deposition.
Tsuyoshi Ohnishi 1 , Minoru Osada 1 , Kazunori Takada 1
1 MANA, National Institute for Materials Science (NIMS), Tsukuba, Ibaraki, Japan
Show AbstractToday, there is a great demand of thin-film batteries, which can be used in MEMS, nonvolatile memories, contactless IC smart cards, etc. Although some thin-film systems have been proposed, only a few papers report deposition condition of active materials; most of them were on thin-film formation of solid electrolytes.Among thin-film formation techniques, pulsed laser deposition (PLD) has advantage in controlling thin-film composition, and thus it will be suitable for thin-film deposition of multi-element cathodes with high capacity including LiNi1/3Co1/3Mn1/3O2. Moreover, metastable phases frequently obtained by the technique may show superior electrode performance. In this study, epitaxial LiCoO2 thin films were grown by PLD, and influence of deposition condition was investigated.LiCoO2 thin films were deposited on sapphire (0001) wafers for epitaxial growth by PLD with KrF excimer laser irradiation. Among numbers of growth parameters in PLD process, we especially focused on ablation laser conditions, i.e. laser pulse energy density (fluence) and laser irradiation area on a target surface, along with oxygen partial pressure and substrate temperature during deposition. Laser energy was regulated by reflection type variable attenuator, and irradiation area on a target was controlled by changing a focus lens position. A high-density (4.92 g/cm3), stoichiometric LiCoO2 ceramic pellet was used as a target instead of often-used Li enriched ones.Crystallinity of grown films was examined by in-situ reflection high-energy electron diffraction (RHEED) and ex-situ X-ray diffraction (XRD) with Cu Kα irradiation. Raman measurements were performed to evaluate the ratio of included secondary phase: Co3O4 to desired LiCoO2.At fixed substrate temperature, oxygen partial pressure, and laser energy (500 °C, 5×10-2 Pa, and 32.5 mJ, respectively), a series of films were deposited at different laser irradiation area. Accordingly, laser fluence varied inversely. Epitaxial LiCoO2 films were obtained under all examined ablation conditions, which was confirmed by RHEED and XRD analyses. It was found that X-ray diffraction intensity of (00l) reflections from oriented LiCoO2 film strongly depend on laser fluence, and film crystallinity was improved under lower laser fluence.Indeed film deposition rate per pulse decreased by decreasing fluence at a fixed laser energy and slower crystal growth could be the reason for higher crystallinity, but we have found that the fluence was a main factor governing film crystallinity with separate experiments.In summary, epitaxial LiCoO2 thin films were obtained from non Li enriched, stoichiometric target by PLD. The film crystallinity was extremely sensitive to the laser ablation conditions; even a slight change of irradiation area can greatly vary the crystallinity.
9:00 PM - K12.8
Optimization of (NH4)2S Cleaning Time for Plasma Enhanced Atomic Layer Deposition of HfO2 on GaAs.
Young-Chul Byun 1 , Chee-Hong An 1 , Ju-Yun Choi 1 , Jaehyun Yang 1 , Hyoungsub Kim 1
1 School of Advanced Materials Science and Engineering, Sungkyunkwan Univ., Suwon Korea (the Republic of)
Show AbstractRecently, a lot of researches have focused on the development of the GaAs-based metal-oxide-semiconductor field-effect-transistor (MOSFET) combined with a high-k gate dielectric technology for the next generation high performance logic devices.[1] As an effort to remove the electrically- detrimental As- and/or Ga-related sub-oxides and to effectively passivate the GaAs surface, various methods, such as ex-situ wet cleaning/passivation,[2] in-situ cleaning (“self cleaning” during an atomic layer deposition (ALD)),[3] and interfacial passivation with various materials,[4,5] have been reported. Among many cleaning/passivation methods, sulfur passivation of GaAs surface using an (NH4)2S solution is known to be useful in removing the native oxide of GaAs and improving the resulting electrical properties.[2] However, a systematic study on the cleaning effect of (NH4)2S solution as a function of the dipping time is rare. In this presentation, the removal efficiency of the Ga- and As-oxides as a function of the (NH4)2S dipping time was carefully studied by using an x-ray photoelectron spectroscopy (XPS) before/after the plasma enhanced-ALD (PE-ALD) of HfO2 films on GaAs substrates. Various surface treatments (as-received, HF cleaning, and HF/(NH4)2S cleaning) were performed on the n-type (100) GaAs substrates. XPS and high resolution transmission electron microscopy analyses were used to investigate the cleaning effect of the (NH4)2S solution as a function of the dipping time and also the possibility of the “self cleaning” process at low deposition temperature, ~200C, using tetrakis(dietylmethylamino) hafnium and H2O as precursors. The optimum cleaning conditions before/after the PEALD-HfO2 deposition will be discussed based on the removal efficiency of the Ga/As sub-oxides by the (NH4)2S dipping and the self-cleaning effect during the PE-ALD HfO2 process.[1] P. D. Ye, G. D. Wilk, B. Yang, J. Kwo, S. N. G. Chu, S. Nakahara, H.-J. L. Gossmann, J. P. Mannaerts, M. Hong, K. K. Ng, and J. Bude, Appl. Phys. Lett. 83, 180 (2003).[2] G. K. Dalapati, Y. Tong, W.-Y. Loh, H. K. Mun, and B. J. Cho, IEEE Electron Devices Lett. 54, 1831 (2007) [3] C. L Hinkle, A. M. Sonnet, E. M. Vogel, S. McDonnell, G. J. Hughes, M. Milojevic, B. Lee, F. S. Aguirre-Tostado, K. J. Choi, H. C. Kim, J. Kim, and R. M. Wallace, Appl, Phys, Lett. 92, 071901 (2008).[4] S. Koveshnikov, W. Tsai, I. Ok, J. C. Lee, V. Torkanov, M. Yakimov, and S. Oktyabrsky, Appl, Phys, Lett. 88, 022106 (2006).[5] H.-S. Kim, I. Ok, M. Zhang, T. Lee, F. Zhu, L. Yu, J. C. Lee, S. Koveshnikov, W. Tsai, V. Tokranov, M. Yakimov, and S. Oktyabrsky, Appl, Phys, Lett. 89, 222904 (2006).
9:00 PM - K12.9
Tuning the Spin Blockade in Layered Cobaltates by Chemical and Epitaxial Pressure.
Dirk Fuchs 1 , Michael Merz 1 , Levin Dieterle 3 , Stefan Uebe 1 , Markus Wissinger 1 , Andrea Assmann 1 , Peter Nagel 1 , Stefan Schuppler 1 , Dagmar Gerthsen 2 , Hilbert Loehneysen 2 1
1 Institut für Festkörperphysik, Karlsruher Institut für Technologie, Eggenstein-Leopoldshafen Germany, 3 Laboratorium für Elektronenmikroskopie, Karlsruher Institut für Technologie, Karlsruhe Germany, 2 Physikalisches Institut, Karlsruher Institut für Technologie, Karlsruhe Germany
Show AbstractHole-doping the insulating parent compound of the layered cobaltates, La2CoO4, with Sr ions leads to the rapid suppression of the antiferromagnetic ground state (TN = 275 K). Upon reaching half-doping, i. e.,La1.5Sr0.5CoO4, charge order (TCO = 750 K) and spin order (TSO = 30 K) are realized. The simultaneous presence of high-spin (HS, S = 3/2) Co2+ and low-spin (LS, S = 0) Co3+ leads to the so-called “spin blockade” [1]. Previous measurements have shown that tensile strain is able to suppress a low-temperature spin-state transition in LaCoO3, “pinning” the larger room-temperature HS/LS ratio even for low temperature [2]. For this reason it is very likely that the spin blockade in the layered cobaltates can be also suppressed by tensile strain in the CoO2 layers, i. e., within the ab plane. Possible routes to this may be provided by the epitaxial growth of tensilely strained La1.5Sr0.5CoO4 films or by the substitution of Sr2+ by isovalent but larger Ba2+ ions. To this end we prepared polycrystalline bulk samples of La1.5A0.5CoO4 (A = Ca, Sr or Ba) which also served as targets for the thin film preparation. Epitaxially strained (001)-oriented films were grown by pulsed laser deposition on substrates with different lattice matching. The structural and magnetic properties of the samples were characterized by x-ray diffraction, transmission electron microscopy and superconducting quantum interference device magnetometry. Investigations on the spin structure were performed by x-ray absorption spectroscopy on the Co L2,3 and O K edge. With increasing A-site ion radius the effective magnetic moment indeed increases from 2.9 μB/Co for A = Ca to 3.4 μB/Co for A = Ba, indicating an increase of higher spin states. However, ferromagnetic correlations are becoming more pronounced with decreasing ion radius, which hints to some short range exchange interaction. Epitaxial films also display a slightly increased magnetization with increasing tensile or compressive strain, whereas the in-plane conductivity is only increased for compressively strained films.[1] C. F. Chang et al., Phys. Rev. Letters 102, 116401 (2009). [2] D. Fuchs, et al. Phys. Rev B 75, 144402 (2007).
Symposium Organizers
Gilberto Medeiros-Ribeiro Hewlett-Packard Laboratories
Jeremy Levy University of Pittsburgh
Rainer Waser Institut fuer Festkoerperforschung
Harold Hwang Stanford University
K13: Oxide Heterostructures II
Session Chairs
Daniela Bogorin
Scott Chambers
Friday AM, December 03, 2010
Back Bay B (Sheraton)
9:30 AM - **K13.1
Oxide Nanoelectronics on Demand.
Cheng Cen 1
1 Department of Physics and Astronomy, University of Pittsburgh, Pittsburgh, Pennsylvania, United States
Show AbstractControl over electronic confinement in the solid state is increasingly challenging as the dimensionality and size scale are reduced. By scanning a biased conducting atomic force microscope (AFM) tip along a programmed trajectory at room temperature, we can reversibly control in nanoscale the metal-insulator transition at the interface of an oxide heterostructure formed from LaAlO3 and SrTiO3. Positive tip voltages produce conducting regions at LaAlO3/SrTiO3 interface directly below the area of contact, through a process analogous to modulation doping. Negative tip voltages restore the area back to insulating state.Using the technique described above, a variety of rewritable nanosize devices and structures have been studied. These nanoelectronic components are mainly assembled from basic elements including conductive wires and dots whose characteristic dimensions are just a few nanometers. Among the most interesting devices is a sketch-based transistor (SketchFET). Besides the conventional field effect mode, SketchFET can also function in a field-emission mode where it is sensitive to electronic properties changes in surrounding materials. Switching speeds exceeding GHz barrier have been demonstrated. Other examples include in-plane rectifying junctions and field-tunable nanoscale photodetectors. At low temperatures, a variety of electronic, spintronic and superconducting properties are observed, with enormous potential for exploitation in quantum devices.
10:00 AM - K13.2
The Influence of the Structure and Quality of SrTiO3 on the SrTiO3-LaAlO3 Interface Properties.
Josee Kleibeuker 1 , Peter Brinks 1 , Bharat Jalan 2 , Mark Huijben 1 , Gertjan Koster 1 , S. Stemmer 2 , Dave Blank 1 , Guus Rijnders 1
1 Mesa+ institute for nanotechnology, University of Twente, Enschede Netherlands, 2 Materials Department, University of California, Santa Barbara, California, United States
Show AbstractSrTiO3 (STO) is probably the most well understood and most frequently used perovskite oxide substrate in the field of complex oxide electronics, even though it is known that STO crystals are defect rich, limiting its use as a reliable substrate. Nevertheless, STO has been widely used in interface effect studies, such as the conducting interface between the two wide-bandgap insulators STO and LaAlO3 (LAO). Altough, several theories have been proposed to describe this phenomenon, a final conclusion on the cause of this effect has not been provided yet.Up to now, many studies were mainly focused on the role of the LAO layer on the conductivity at the interface. On the other hand, due to the fact that the electronic properties are strongly influenced by the growth conditions, e.g. oxygen pressure, we expect that the carrier density and mobility at the interface also depend on the defect density and crystallinity of the STO near the interface. By improving the quality of the STO near the interface, one would expect an increase of the mobility.In this study, we show the relation between the STO quality and the electronic properties of the STO-LAO interface. Using several deposition techniques, like pulsed laser deposition (PLD) and hybrid molecular beam epitaxy (H-MBE), we created STO layers with a range of defect concentrations. In addition, by using different substrates, like the orthorhombic NdGaO3 and DyScO3 and the cubic LSAT, we studied the effect of the STO lattice structure on the electronic properties of the interface.By depositing an STO layer, a decrease of the conductivity at the LAO-STO interface was observed. Moreover, the number of carriers and the mobility behavior changed dramatically. Furthermore, the crystal structure of the substrate appears to play crucial role to achieve conductivity near the interface.
10:15 AM - **K13.3
Electronic Properties of Two-Dimensional Electron Liquids.
Jochen Mannhart 1
1 , University of Augsburg, Augsburg Germany
Show AbstractTwo-dimensional electron gases based on conventional semiconductors such as Si or GaAs have played a pivotal role in basic science and technology. The high mobilities achieved enabled the discovery of the integer and fractional quantum Hall effects and are exploited in high electron mobility transistors. Recent work has shown that two-dimensional electron systems can also exist at oxide interfaces [1]. These electron systems are characterized by properties that fundamentally differ from those shown by semiconductors.In the presentation we will provide an overview of our studies of the properties of these unusual electronic systems (see, e.g., [2,3]) and explore the potential of electron liquids at oxide interfaces for the use in nanoscale electronic devices. [1] A. Ohtomo et al., Nature 419, 378 (2002)[2] N. Reyren et al., Science 317, 1196 (2007)[3] J. Mannhart and D.G. Schlom, Science 327, 1607 (2010)
11:15 AM - **K13.4
Screening the Diverging Potential by Defect Formation in LaAlO3 Thin Films on SrTiO3.
C. Stephen Hellberg 1
1 , Naval Research Lab, Washington, District of Columbia, United States
Show AbstractI will present density functional calculations of LaAlO3 thin Films on SrTiO3. Charged defects form spontaneously to partially screen the diverging potential in the LaAlO3. Negative defects, primarily La and Al vacancies, form at the interface, while corresponding positive defects form at the surface. The importance of the stoichiometry of the film will also be addressed: The film must be grown Al-rich to form a metallic interface.
11:45 AM - **K13.5
Novel Functionality via Heteroepitaxy and Interfaces in Complex Oxides.
Yuri Suzuki 1 2 , Franklin Wong 1 , Virat Mehta 1 2 , Seung-Hyub Baek 3 , Ho-Wan Jang 3 , Chang-Beom Eom 3
1 Materials Science & Eng. , UC Berkeley, Berkeley, California, United States, 2 Materials Science Division, Lawrence Berkeley National Lab, Berkeley, California, United States, 3 Materials Science & Eng. , University of Wisconsin, Madison, Wisconsin, United States
Show AbstractRecent advances in complex oxide thin film deposition have enabled the synthesis of atomically precise oxide heterostructures and thus the controlled investigation of interfacial phenomena in complex oxide thin film materials. Novel functionalities have been demonstrated at oxide interfaces in some materials systems but in other cases heteroepitaxial strains may be the dominant factor in generating novel functionalities. In this talk, I will first present our work on LaAlO3/SrTiO3 where we elucidate the role of disorder on the metallicity observed at the (001) LaAlO3/SrTiO3 interface. We observe the trend of reduced mobility in higher sheet carrier concentration samples, and therefore speculate that disorder and carriers are introduced concomitantly in purely polar instability-induced metallic interfaces. Magnetotransport distinctly reveals stronger spin-orbit interaction in higher carrier concentration samples. The competition between spin-orbit scattering and inelastic scattering is evident in the temperature dependence of the magnetotransport. Metallicity has also been observed by a number of groups at the LaTiO3/SrTiO3 interface and attributed to charge transfer effects at the interface. However, we demonstrate that heteroepitaxial strain imposed on the LaTiO3 by SrTiO3 can generate metallicity. A comparison of LaTiO3 films of varying thicknesses grown on SrTiO3, DyScO3, and GdScO3 substrates, resulting in varying amounts of heteroepitaxial strain, demonstrates the sensitivity of electrical transport to lattice effects. Though interfacial electronic reconstruction effects may also be present in our LaTiO3/SrTiO3 samples, we have found strong evidence that metallic conduction is exhibited in the entire LaTiO3 film and not just from a confined interface or defective SrTiO3 substrate. Ultimately, understanding the combined electronic effects of lattice deformation and interface electronic reconstruction may enable us to exploit these novel oxide functionalities in nanoelectronic applications.
12:15 PM - K13.6
High Mobility Interface Electron Gas by Defect Scavenging in a Modulation Doped Oxide Heterostructure.
Mark Huijben 1 , Gertjan Koster 1 , Hajo Molegraaf 1 , Michelle Kruize 1 , Sander Wenderich 1 , Josee Kleibeuker 1 , Alix McCollam 2 , Veerendra Guduru 2 , Alexander Brinkman 1 , Hans Hilgenkamp 1 , Uli Zeitler 2 , Jan Kees Maan 2 , Dave Blank 1 , Guus Rijnders 1
1 , University of Twente, Enschede Netherlands, 2 , Radboud University, Nijmegen Netherlands
Show AbstractThe manifestation of quantum behavior in two dimensional electron gases and their progressive complexity towards fractional quantum Hall effect went hand-in-hand with the efforts to remove the effect of impurity scattering. For oxide materials, history is repeating itself and to date sample quality is reaching levels where quantum behavior starts to become accessible. To really understand the ground state of two dimensional electron gases in oxide systems, where electron-electron correlation effects seem more important, a step towards modulation doping is necessary, removing dopants away from a conduction channel. Here we show that by introducing a remote layer, which contains copper dioxide, the scattering of defects at the LaAlO3/SrTiO3 interfaces is significantly suppressed, allowing for the first time to observed quantum transport in a modulation doped oxide system.We will show our recent results for SrTiO3-LaAlO3-SrCuO2-SrTiO3 heterostructures, in which an SrCuO2 layer is placed at the top interface. RHEED analysis will be presented to show the highly controlled growth as well as magneto transport measurement, from 50 mK to 300 K and 0 to 30 Tesla, to demonstrate the dramatic increase of the mobility of the charge carriers in our system. In this high mobility regime, we are able to observe quantum oscillations from the 2-dimensional electron gas. Clear Shubnikov-de Haas (SdH) quantum oscillations can be observed in the transverse geometry with increasing amplitudes for higher magnetic fields. The effective mass m* was accurately determined from the temperature dependence of the SdH oscillation amplitudes by using the standard Lifshitz-Kosevich formula.To investigate carrier dimensionality, we further studied the magnetic field orientation dependence of the SdH effect. The Hall resistance demonstrated perfect cosine angle dependency for the perpendicular magnetic field component. The magnetotransport properties show vanishing SdH oscillations when approaching parallel alignment with the magnetic field. This clearly demonstrates the true 2-dimensional character of the electron gas in our system.
12:30 PM - K13.7
Strain Induced Metallicity in Epitaxial LaVO3 and LaTiO3 Thin Films.
Chunyong He 1 , Franklin Wong 1 , Virat Mehta 1 , Yuri Suzuki 1
1 , U.C. Berkeley, Berkeley, California, United States
Show AbstractLaTiO3 (LTO) is a Mott insulator in the bulk with a narrow charge gap. However when it is grown in superlattices with a band insulator, SrTiO3 (STO), the LTO/STO heterostructures exhibit metallic behavior. The metallic conductivity has been attributed to charge transfer at the LTO/STO interfaces [1,2]. Similar behavior has been observed in superlattices composed of STO and the Mott insulator LaVO3 (LVO) [3]. More recently, theoretical calculations suggest that tetragonal strain imposed by the STO substrate may also contribute to metallic behavior via an increase in t2g band width and decrease in crystal field splitting [4]. This explanation has been supported by studies of the transport properties of LTO films grown on substrates that impose a range of epitaxial strains on the overlying films [5]. In this talk, we present a study of a series of epitaxial LTO and LVO thin films and bilayers grown on STO and DyScO3 (DSO) substrates in order to understand the role of lattice distortions and interfaces on the transport properties. All films and bilayers are coherently strained to the underlying substrate with samples on STO under larger compressive epitaxial strains compared to those on DSO. We have found that all epitaxial LTO and LVO thin films and LVO/LTO bilayers grown on STO substrates exhibit metallic behavior, while corresponding samples grown on DSO exhibit insulating behavior. Such a stark contrast suggests the importance of lattice distortions imposed by the substrates in determining the transport properties of LVO and LTO thin films. The similarity in resistivity of LTO thin films and LVO/LTO bilayers grown on the same substrate indicates that neither the LTO/STO nor the LTO/DSO interface is essential in obtaining the contrasting transport behavior. While interface effects cannot be precluded from our samples, these results illustrate the sensitivity of electrical transport to lattice effects and demonstrate the tunability of correlated oxide thin film properties via substrate-induced tetragonal deformation.[1] A. Ohtomo, D. A. Muller, J. L. Grazul, and H. Y. Hwang, Nature 419, 378 (2002).[2] K. Shibuya, T. Ohnishi, M. Kawasaki, H. Koinuma, and M. Lippmaa, Jpn. J. Appl. Phys. 43,L1178 (2004).[3] Y. Hotta, T. Susaki, H. Y. Hwang, Phys. Rev. Lett. 2007, 99, 236805 [4] H. Ishida and A. Liebsch, Phys. Rev. B 77, 115350 (2008).[5] F. J. Wong, S. Baek, R. V. Chopdekar, V. V. Mehta, H. Jang, C. Eom, and Y. SuzukiPhys. Rev. B 81, 161101(R) (2010)
12:45 PM - K13.8
Polarization Induced Conductivity at the LaAlO3/SrTiO3 Interface.
Hans Boschker 1 , Gerwin Hassink 1 , Alexander Brinkman 1 , Gertjan Koster 1 , Mark Huijben 1 , Hans Hilgenkamp 1 , Dave Blank 1 , Guus Rijnders 1
1 , Mesa+ institute for nanotechnology, Enschede Netherlands
Show AbstractThe conductivity at the n-type LaAlO3/SrTiO3 (LAO/STO) interface, [Ohtomo, Nature 427, 423 (2004)], has attracted enormous interest. Recently, evidendence was presented that the expected potential buildup in the LAO layer, leading to a crossing of the LAO valence band with the STO conduction band and subsequently electronic reconstruction, does not occur [Segal, PRB 80, 241107 (2009)]. This result implies that the polar discontinuity at the LAO/STO interface is completely reconstructed with a charge transfer of 0.5 e-/uc from the LAO surface to the LAO/STO interface. As intrinsic electronic reconstruction can no longer explain the LAO thickness dependence of the conductivity [Thiel, Science 313, 1942 (2006) & Huijben, Nat. Mat. 5, 556 (2006)] an alternative explanation for these experiments is required.We suggest that the conductivity depends on the induced polarization at the interface in the STO. The polar discontinuity is reconstructed by electron transfer, but these electrons are trapped in impurity states within the STO bandgap and do not contribute to the conductivity until polarization is induced in the STO. The polarization results in band bending which frees the trapped electrons for transport. To substantiate this mechanism, we correlated the induced polarization, as measured with optical second harmonic generation experiments [Savoia, PRB 80, 075110 (2009) & Ogawa, PRB 80, 081106 (2009)], with the activation energies of the electrical transport at the interface and found good agreement.