Symposium Organizers
Chennupati Jagadish, Australian National Univ
James Cahoon, University of North Carolina at Chapel Hill
Hannah Joyce, University of Cambridge
Qihua Xiong, Nanyang Technological Univ
Symposium Support
JC Nabity Lithography Systems, Lake Shore Cryotronics, Inc., MilliporeSigma (Sigma-Aldrich Materials Science), Nano| A Nature Research Solution, SpringerMaterials
NM1.1: Synthesis and Growth Mechanism I
Session Chairs
Chennupati Jagadish
Kevin Ryan
Qihua Xiong
Monday PM, November 28, 2016
Hynes, Level 2, Room 206
9:00 AM - *NM1.1.01
Electric-Field-Controlled Growth of Nanowires and Self-Assembly of Nanowire-Based Structures
Federico Panciera 1 2
1 University of Cambridge Cambridge United Kingdom, 2 IBM T.J. Watson Research Center Yorktown Heights United States
Show AbstractSemiconductor nanowires with precisely controlled structure, and hence well-defined electronic and optical properties, can be grown by self-assembly using the vapor-liquid-solid (VLS) process. The growth of nanowires is generally determined by global parameters such as source gas pressure, gas composition and growth temperature. However, the ability to regulate nanowire growth at a local, individual level opens up opportunities for creating self-assembled structures that combine bottom-up grown nanowires and top-down fabricated nanostructures. Here we describe a local approach to the control of nanowire structure and direction that could further enable the integration of nanowires into nano-devices. During growth, we apply an electric field that modifies the shape, position and contact angle of the catalytic droplet and consequently, the nanowire structure and direction. We achieve electric field control by growing Au-catalyzed Si nanowires inside a micro-fabricated capacitor having a spacing of a few micrometers and the capability to apply ±100V. Using in situ transmission electron microscopy, we record in real time the deformation of the AuSi droplet that occurs when an electric field is generated inside the capacitor, and follow the effect of such deformation on nanowire structure and growth direction. We show how this droplet engineering can be used to kink nanowires in specific directions and alter the nanowire diameter. We also use the measurements to extract the droplet surface tension, important for quantitative development of strategies to control nanowire growth. We show in particular that it is possible to direct nanowire growth toward other nanostructures and form epitaxial contacts with Si surfaces. The formation of self-assembled contacts between VLS grown silicon nanowires and flat silicon surfaces will be discussed and we will demonstrate how the structure at the contact depends on the balance between silicon deposition and Au migration. We find that electromigration of the Au catalyst provides an efficient way of controlling the contact geometry. We conclude by discussing the possible structures that can be synthesized through these techniques for local control of nanowire growth, and we consider potential applications.
9:30 AM - NM1.1.02
Understanding Nucleation in Au-Ga and Ga Catalyzed GaAs Nanowires
Priyanka Periwal 1 2 , Federico Panciera 1 2 , Stephan Hofmann 1 , Frances Ross 2
1 University of Cambridge Cambridge United Kingdom, 2 IBM T.J. Watson Research Center Yorktown Heights United States
Show AbstractGaAs nanowires are of great interest as materials for optoelectronic devices owing to their band structure, crystal quality and ability to integrate with different nanomaterials and substrates. Metal-catalyzed vapor liquid solid growth is considered to be a versatile bottom-up method for the fabrication of these nanowires, and several different metals have been explored for the catalyst. Pure Ga is preferred because there are then no possibilities for contamination, but the narrow process window and controllability of these "self-catalyzed"
wires is challenging for device fabrication. In this talk, we observe the nucleation and the initial stages of growth of self-catalyzed and metal-Ga alloy-catalyzed GaAs nanowires using Ni, Au, or Mn, with the objective of understanding the limitations of self-catalyzed growth.
The observations are carried out in a UHV-TEM equipped with a heating stage and MOCVD precursors, allowing real-time capture of nucleation events and growth kinetics. Pure Ga and metal-Ga alloy droplets were first formed on a SiN membrane by Ni, Au or Mn evaporation and trimethylgallium flow at elevated temperature. Pure Ga and AuGa formed liquid droplets, whereas NiGa and MnGa alloys formed droplets with mixed solid and liquid phases, depending on composition and temperature. After the droplets had grown and coarsened to diameters in the range of 20-50nm, arsine (AsH3) gas was supplied. A GaAs crystallite nucleated in each droplet. The time to visible nucleation was registered as a function of the conditions and catalyst composition. Nucleation time depends on temperature and is proportional to arsine pressure, but surprisingly, we find nucleation time to be generally independent of the composition: in other words, pure Ga and AuGa and other alloys nucleate GaAs at similar times. We conclude that under these conditions, where growth is limited by collection of the group V species, the alloying metal does not speed up the collection of As atoms. However, alloying metals are known to change the conditions under which nanowires form; in particular, AuGa-catalysed nanowires form with good morphology more readily than self-catalyzed nanowires. We propose that the major role of the alloying metal is to act as an anchor for the accumulation of Ga atoms, providing stability to the catalytic droplet under conditions where un-anchored Ga, being very mobile, would tend to coarsen rapidly and form giant blobs. We test these ideas by imaging the kinetics of Ga accumulation at and diffusion away from particles of the alloying metals. We discuss how these results may provide better insights into crystal formation during GaAs nanowire growth.
9:45 AM - NM1.1.03
Semiconducting Solid-Core Metal-Organic Chalcogenide Nanowires with Atomic-Scale Structural Control through Diamondoid Directed Assembly
Hao Yan 1 2 , Fei Hua Li 1 2 , J. Nathan Hohman 3 , Diego Solis-Ibarra 4 , Chunjing Jia 1 2 , Jeremy Dahl 1 2 , Peter Schreiner 5 , Thomas Devereaux 1 2 , Zhi-Xun Shen 1 2 , Nick Melosh 1 2
1 Stanford University Stanford United States, 2 SLAC National Accelerator Laboratory Menlo Park United States, 3 Lawrence Berkeley National Laboratory Berkeley United States, 4 National Autonomous University of Mexico Mexico City Mexico, 5 Justus-Liebig-University of Giessen Giessen Germany
Show AbstractOrganic-inorganic hybrid assembly is a powerful approach to synthesize one-dimensional (1D) nanomaterials. Such 1D structures as nanowires, nanorods and coordination polymers can be directed by surfactants or metal-organic framework (MOF) linkers. These materials, however, usually lack electrical conductivity due to their amorphous nature, or the existence of organic bridging molecules hampering the conduction pathway. Here we report the synthesis, structures and properties of highly crystalline metal organic chalcogenide (MOC) core-shell nanowires with electrical conductivity. Unlike traditional MOF materials, these hybrid structures have solid, electrically-conductive inorganic cores with three-atom cross-sections, representing the smallest possible nanowire. The atomic structures of these ultra-small nanowires were directed by the strongly-interacting, rigid-cage ‘diamondoid’ molecules. Density functional theory (DFT) computations show that the strong dispersive interaction between diamondoids dictates a cis configuration of the diamondoid sidegroups in the oligomer nuclei, affording a wide access cone for precursor attachment and axial elongation of the nanowires. This novel ‘face-on’ growth mechanism is in clear contrast to the ‘edge-on’ growth in most 1D hybrid materials. The structure of the inorganic core can be altered by tailoring the competition between steric repulsion and van der Waals attraction though the choice of diamondoid sizes and shapes, allowing for the modulation of optical and electronic properties without changing the inorganic composition. The diamondoid directed assembly can be applied to a wide variety of semiconductors, superconductors and topological insulators such as cadmium sulfide, bismuth selenide and iron selenide. Our discovery highlights a previously unexplored regime of structure directing agents compared with traditional surfactants, block copolymers or metal-organic framework linkers, and opens up a new approach to create 1D nanostructures with ultra-small dimensions, atomic-scale structural control, wide material choices and tailorable properties.
10:00 AM - NM1.1.04
Strained Si Nanowire Formation during Oxidation of Si/SiGe Fins
Will Brewer 1 , Yan Xin 3 , Chris Hatem 2 , D. Diercks 4 , Van Truong 1 , Kevin Jones 1
1 University of Florida Gainesville United States, 3 High Magnetic Field Laboratory Tallahassee United States, 2 Applied Materials Gloucester United States, 4 Colorado School of Mines Golden United States
Show AbstractThis paper reports on the unusual diffusion behavior of Ge during oxidation of a multilayer Si/SiGe fin. It is observed that oxidation surprisingly results in the formation of vertically stacked Si nanowires encapsulated in defect free epitaxial strained SixGe1-x. High angle annular dark field scanning transmission electron microscopy (HAADF-STEM) shows that extremely enhanced diffusion of Ge occurs along the vertical Si/SiO2 oxidizing interface and is responsible for the encapsulation process. Further oxidation fully encapsulates the Si layers in defect free single crystal SixGe1-x (x up to 0.53) resulting in Si nanowires with up to -2% strain. Atom probe tomography reconstructions demonstrate that the resultant nanowires run the length of the fin. We found that the oxidation temperature plays a significant role in the formation of the Si nanowires. In the process range of 800-900 °C, pure strained and rounded Si nanowires down to 2 nm in diameter can be fabricated. At lower temperatures, the Ge diffusion along the oxidizing Si/SiO2 interface is slow and rounding of the nanowire does not occur, while at higher temperatures the diffusivity of Ge into Si is sufficient to result in dilution of the pure Si nanowire with Ge. The use of highly selective etchants to remove the SiGe could provide a new pathway for the creation of highly controlled vertically stacked nanowires for gate all around transistors.
10:15 AM - NM1.1.05
Instantaneous Switching between a Liquid and Superheated Solid Catalyst During Isothermal Nanowire Growth
Christopher Pinion 1 , David Hill 1 , Joseph Christesen 1 , James McBride 2 , James Cahoon 1
1 University of North Carolina at Chapel Hill Chapel Hill United States, 2 Vanderbilt University Nashville United States
Show AbstractKnowledge of nucleation and growth mechanisms is essential for the synthesis of nanomaterials with shapes and compositions precisely engineered for technological applications. For instance, semiconductor nanowires are conventionally grown by the well-understood vapor-liquid-solid (VLS) mechanism, which uses a liquid alloy as the catalyst for growth. Here, we show that it is possible to instantaneously and reversibly switch the phase of the catalyst between a liquid and superheated solid state under isothermal conditions 25-30 °C above the eutectic temperature. The solid catalyst induces a vapor-solid-solid growth mechanism, which provides atomic-level control of dopant atoms in the nanowire. A combination of nanowire growth kinetics and thermodynamic modeling demonstrate this unexpected switching effect is enabled by control of the nanowire surface chemistry and surface-mediated reactions. This control changes the dynamics of nanoparticle liquefaction and solidification to near-barrierless processes under the appropriate synthetic conditions.
These results add to the growing body of work that clearly demonstrates surface chemistry plays an integral role during nanowire growth and should be considered equally alongside other reactor conditions like temperature, reactant gases, and pressure. Given the generality of the VLS mechanism, we anticipate these results may be applied to other catalyst-nanowire systems, such as growth of III-V or II-VI semiconductor nanowires. Finally, this work demonstrates how the unique, and often unexpected, physics of nanoscale systems may be leveraged to develop new synthetic processes for nanoscale materials in emerging technologies.
10:30 AM - NM1.1.06
Self-Catalyzed Growth of Axial GaAsSbN Nanowires by Molecular Beam Epitaxy
Manish Sharma 1 , Pavan Kasanaboina 3 , C. Lew Reynolds 2 , Yang Liu 2 , Shanthi Iyer 3 1
1 Nanoengineering North Carolina Aamp;T State University Greensboro United States, 3 Electrical and Computer Engineering North Carolina Aamp;T State University Greensboro United States, 2 Material Science North Carolina State University Raleigh United States
Show AbstractOne dimensional nanowire architectures are attracting great interest due to their large surface to volume ratio which enables enhanced light trapping, better strain accommodation and flexibility in device design configuration. Dilute nitride III-V semiconductor alloys in the thin film configuration has been extensively studied as small amount of nitrogen incorporation in the III-V host lattice results in simultaneous reduction of bandgap and lattice parameter. Further, the GaAsSbN system has an added advantage that the N and Sb concentrations enable independent tuning of conduction and valence band offsets [1-3]. In this work
we report for the first time self-assisted growth of axial GaAsSbN nanowire using molecular beam epitaxy. Effects of N flux on NW morphology, NW density, low temperature micro-photoluminescence (μ-PL) and Raman spectra have been investigated. Post-growth annealing of NWs in a N
2 ambient, which is a prerequisite for annihilation of the N-induced defects [2, 3], leads to enhanced PL intensity and room temperature emission. An increase in nitrogen flux was found to lead to suppression of Sb incorporation, NW density, growth rate of the nanowires and with annealing produced a red shift in the μ-PL peak. In order to improve the NW density and the growth rate, a gradual increase in nitrogen flux during the growth was explored, resulting in significant enhancement in the PL intensity though broad. A red shift up to 1.0 μm has been achieved. High-resolution transmission electron images and associated selected area electron diffraction patterns confirm the zinc-blende structure of the nanowire. This work is supported by the Office of Naval Research (Grant No. N00014-16-1-2720, technical monitor: Brian Bennett) and by Army Research Office (Grant No. W911NF-15-1-0160, technical monitor: William Clark).
* Corresponding author:
[email protected]References[1] Kalyan Nunna, S. Iyer, L. Wu, J. Li, and S. Bharatan, Journal of Applied Physics102, 053106 (2007).
[2] J. Li, S. Iyer, S. Bharatan, L. Wu, K. Nunna, and W. Collis, Journal of Applied Physics 98 013703 (2005).
[3] Pavan Kumar Kasanaboina, Estiak Ahmad, Jia Li, C. Lewis Reynolds, Jr., Yang Liu,
and Shanthi Iyer, Applied Physics Letters 107, 103111 (2015).
10:45 AM - NM1.1.07
Catalyst-Free Growth of Iron-Oxide Nanowire Arrays at Atmospheric Pressure
Tobias Dlugosch 1 , Pavan Muralidhar 1 , Steffen Strehle 1
1 Ulm University Ulm Germany
Show Abstract
Iron-oxide is a well recognized, earth abundant and low cost material that is under discussion for solar power applications with emphasis to hematite (α-Fe2O3). Hematite might represent a potential and environmental friendly component to build advanced electrodes for photocatalytic water splitting [1]. The semiconducting properties of hematite comprise a band gap of about 2.2 eV and n-type conductivity. The performance of iron-oxide based systems is however so far hampered by an overall low hole mobility and high charge carrier recombination rates. Arrays of single-crystalline hematite nanowires might represent a strategy to overcome these constraints allowing efficient charge carrier transport to the surface, high optical absorption by light trapping in the nanowire array [2], and effective surface modifications based on the large surface to volume ratio.
Here, we present the growth of single-crystalline iron-oxide nanowire arrays using conventional iron sheets and rods as growth substrates. The iron substrates were placed in a quartz tube furnace and heated from room temperature up to 800°C at atmospheric pressure with varying oxygen concentration to trigger a catalyst-free hematite nanowire growth [3]. In dependence on the growth conditions, iron oxide nanowires with a diameter of 10 to 200 nm are obtained in high density and reproducibility with a length ranging from about 1 to 7 µm. Electron microscopy studies showed evidently that the nanowires are single-crystalline. Based on our experiments, we discuss the influence of the temperature in the range of 300°C to 800°C, the gas composition, and the substrate pretreatment effecting the overall nanowire morphology as well as the nanowire density significantly. The results will be furthermore supported by XRD, photocurrent measurements, and optical spectroscopy.
[1] A.G. Tamirat, et al., Nanoscale Horizons (2016) available online, DOI: 10.1039/c5nh00098j
[2] S. Jaeger & S. Strehle, Nanoscale Research Letters 49 (2014) 511
[3] L. Yuan et al, Materials Science and Engineering B 177 (2012) 327
11:30 AM - *NM1.1.08
Synthesis of Branched and Axial Nanowire Heterostructures of Silicon and Germanium Using High Boiling Point Organic Solvents
Kevin Ryan 1 , Grace Flynn 1 , Quentin Ramasse 2 , Tadhg Kennedy 1 , Hugh Geaney 1
1 Department of Chemical Sciences and Bernal Institute University of Limerick Limerick Ireland, 2 SuperSTEM Laboratory Sci-Tech Daresbury Daresbury United Kingdom
Show AbstractThis talk will discuss recent results on the formation of complex nanowire heterostructures in a wet chemical synthetic approach. The nanowires are grown using Sn as a catalyst in a high boiling point solvent growth system where the sequential injection of silcon and germanium percursors during growth allows formation of atomically abrupt heterojunctions at the Si-Ge interface. The interfacial regions are characterized by high resolution STEM analysis coupled with atomic resolved EELS analysis with the abruptness of the interface attributed to low solubility of Si and Ge in the Sn catalyst seed. The transfer of crystallographic defects from the Si to Ge segment within heterostructure NWs and their impact on interfacial abruptness is examined while preferential incorporation of catalyst atoms at defects was also detailed. We have further extended this synthetic protocol to the formation of multi-segmented heterostructure nanowires. The Si-Ge transition is obtained by sequential injection with the more difficult Ge-Si transition enabled by inclusion of a quench sequence in the reaction. This approach allows for alternating between pure Si and pure Ge segments along the entire nanowire length with very good control of the respective segment dimensions. The multi-segment heterostructure nanowires presented are Ge-Si, Si-Ge-Si, Ge-Si-Ge, Si-Ge-Si-Ge and Si-Ge-Si-Ge-Si-Ge. The approach can be further adapted to the formation of branched nanowire heterostructures with a germanium stem and silicon branches where it is possible to control the Si-Ge ratio very accurately. The application of the linear and branched heterostructures to lithium battery anodes will be outlined where the control of Si and Ge composition allows for tuning of capacity and rate capability.
12:00 PM - NM1.1.09
Nucleation Limited Composition of Gold Alloy Seeded InGaAs Nanowires
Jonas Johansson 1 , Masoomeh Ghasemi 1 , Daniel Jacobsson 1 , Maria de la Mata 1 , Kimberly Dick Thelander 1
1 Lund University Lund Sweden
Show AbstractThe ability to grow ternary materials is of highest importance for design and fabrication of modern nanoscale devices, relying on heterostructured nanowires with precisely tuned bandgap along the nanowire. This approach requires composition control and important steps in that direction has been taken by Wu et al who have demonstrated composition control in InGaAs nanowires grown by MOVPE [1]. Caroff et al have also demonstrated composition control in the same materials system and in addition they explained their findings using a mass transport model for the incorporation of InAs and GaAs [2]. Moreover, Dubrovskii has developed an analytical model for the composition of ternary nanowires in the limit of irreversible growth [3].
In the current investigation, we explain the composition of gold alloy particle seeded InGaAs nanowires in the nucleation limited regime. We use binary nucleation modeling [4] to account for the nucleation of InGaAs from a supersaturated quaternary liquid alloy particle containing Au, In, Ga, and As. This is the first time, to our knowledge, that binary nucleation theory is being used in nanowire growth modeling.
In our model we use realistic chemical potential differences between the quaternary liquid alloy seed particle and the ternary nanowire material. The chemical potentials are calculated from assessed thermodynamic parameters for Au, In, Ga, As, InAs, and GaAs in all relevant phases.
Using binary nucleation theory we are able to link the composition of the seed particle to the composition of the nanowire under different conditions. We vary the seed particle concentrations of As, Ga, In, and the temperature. For each of these conditions, we calculate the Gibbs free energy landscape for nucleation. The size and composition of the critical nucleus is given by the location of the saddle point in this free energy landscape.
We assume that the composition of the nanowire is the same as the composition of the critical nucleus and for each of the investigated conditions we plot the In fraction of the total group III concentration in the alloy particle versus the InAs fraction of the nanowire. We discuss our calculations in view of gold seeded InGaAs nanowire growth experiments. Finally, we foresee that these results will be very helpful in understanding the limitations of composition control in gold alloy seeded InGaAs nanowires.
[1] J. Wu, B.M. Borg, D. Jacobsson, K.A. Dick, L.E. Wernersson, J. Cryst. Growth 383, 158 (2013).
[2] A.S. Ameruddin, P. Caroff, H.H. Tan, C. Jagadish, V.G. Dubrovskii, Nanoscale 7, 16266 (2015).
[3] V.G. Dubrovskii, Cryst. Growth Des. 15, 5738 (2015).
[4] R.C. Flagan, J. Chem. Phys. 127, 214503 (2007).
12:15 PM - NM1.1.10
Applications of Phase Diagrams for Understanding Nanowire Growth
Masoomeh Ghasemi 1 , Jonas Johansson 1
1 Lund University Lund Sweden
Show AbstractSemiconductor nanowires are considered as promising candidates for integration in future devices. The optimal functionality of such devices depends on the growth process of nanowires. The Vapor-Liquid-Solid (VLS) growth is the most common growth mechanism of nanowires. In VLS, gas phase precursors decompose in presence of catalytic particles and after supersaturating the particles, solid nanowires start to nucleate and grow from the seed particle. The precise control of the growth demands excessive experimental and modeling efforts. Because the growth involves phase transformations and phase formations, studying the phase equilibria of growth systems is important to understand the mechanism. We have studied the phase equilibria of relevant materials systems for nanowires and have developed thermodynamic databases for them. These databases can be used to compute the phase diagrams of such materials systems or other thermodynamic properties such as chemical potentials.
We have used the well-established method for phase diagram calculations, the CALculation of PHAse Diagrams (CALPHAD) method [1]. Within CALPHAD, the Gibbs energy of all phases in a thermodynamic system (i.e., gas, liquid and solid phases) is modeled based on their physical/chemical structure. For each model, a few parameters are defined which are fitted to the experimental data on the phase equilibria and thermochemical properties of the system. CALPHAD can also be extended to nanoscale systems by considering the contributions of the surface energies. This will add size-dependent terms to the Gibbs energy models of the phases with which the size-dependent diagrams can be calculated. Furthermore, phases including point defects and dopants can also be modeled with CALPHAD.
In this presentation, we will show a few examples of applications of such thermodynamic calculations with regards to nanowire growth [2]. As the first example, the growth of Au-seeded GaAs nanowires will be discussed in connection to the Au-As-Ga ternary phase diagram. Next, we will show how the sharpness of Au seeded GaAs/InAs hetero-interface can be predicted using phase diagram calculations. In the following example, the size-dependent phase diagram of In-Sb system will be shown. This is in particular useful for understanding the growth of self-seeded InSb nanowires. Finally, we will explain how CALPHAD models can be extended to include defects and dopants in semiconductor phases.
References:
[1] Lukas et al. Computational Thermodynamics: The Calphad Method. Cambridge Uni. Press, 2007.
[2] M. Ghasemi, Thermodynamic modeling of materials systems for nanowires: CALPHAD, DFT and experiments, PhD thesis, Lund University, Lund, 2016.
12:30 PM - NM1.1.11
The Effect of Doping on Low Temperature Growth of High Quality GaAs Nanowires on Polycrystalline Films
Matt DeJarld 1 , Alan Teran 2 , Marta Luengo-Kovac 3 , Lifan Yan 1 , Eun Seong Moon 2 , Sara Beck 1 , Cristina Guillen 2 , Vanessa Sih 3 , Jamie Phillips 2 , Joanna Millunchick 1
1 Materials Science and Engineering University of Michigan Ann Arbor United States, 2 Electrical Engineering and Computer Science University of Michigan Ann Arbor United States, 3 Physics University of Michigan Ann Arbor United States
Show AbstractThere is an increasing demand for the production of miniature autonomous sensors for use in biomedical monitoring, machine learning, and surveillance. However, the integration of energy harvesting and optoelectronic devices on each sensor becomes more costly and problematic as the sensor shrinks in size. Optically active III-V nanowires grown directly on the sensor may offer a low cost solution. . Here we present on the deposition of high quality GaAs nanowires on polycrystalline conducting films at low temperatures. Low temperature nanowire growth is demonstrated on oxide (indium tin oxide) and metallic (platinum and titanium) films. Undoped, Si-doped, and Be-doped nanowires are also explored. Growing with Be significantly enhances the nanowire morphology and optical quality by 1-2 orders of magnitude compared to undoped and Si-doped nanowires. The Be doped nanowires have a high aspect ratio (>50:1) and high density, both of which are uncharacteristic of nanowire growth at low temperatures or growth on polycrystalline films. There is noticeable photoluminescence response at room temperature and temperature dependent measurements show a thermal activation energy of 14meV which is comparable to GaAs nanowires grown on crystalline substrates at high temperatures. Electrical measurements are performed by backfilling the nanowires with a polymer and confirm an Ohmic connection between the nanowires and the underlying metallic substrate.
12:45 PM - NM1.1.12
Defect-Free GaAs Nanostructures by Controlling the Orientation
Gozde Tutuncuoglu 1 , Edoardo Markov 1 , Mahdi Zamani 1 , Maria de la Mata 2 4 , Sara Marti Sanchez 2 , Davide Deiana 1 , Martin Friedl 1 , Heidi Potts 1 , Jean-Baptiste Leran 1 , Jordi Arbiol 2 3 , Anna Fontcuberta i Morral 1
1 École Polytechnique Fédérale de Lausanne Lausanne Switzerland, 2 ICN2 Bellaterra Spain, 4 ICMAB CSIC Bellaterra Spain, 3 ICREA Barcelona Switzerland
Show AbstractIII-V semiconductors possess promising intrinsic properties like direct band gap, high electron/hole mobility or spin-orbit interaction which can open up wide range of applications in high speed electronics, optoelectronics, photovoltaics etc. Nanostructures enable the exploitation and further functionalization of the inherent semiconductor properties. Exciting phenomena such as high efficiency in solar cells and LEDs, quantized conductance and single photon emission have been already realized in nanostructure platforms. [1-2] However, throughout the time of such exciting discoveries polytypism has always been an issue since both wurtzite and zinc blende phases become energetically favorable for some III-V semiconductors like GaAs at the nanoscale.
We demonstrate two methods to create defect-free pure zinc-blende GaAs nanostructures. The first is to modify the polarity of GaAs nanowires. We have optimized the growth parameters to obtain a high yield of (111)A nanowires on (100) GaAs. We have also grown an AlGaAs shell around those wires as a means of surface passivation and to investigate the formation of self-assembling quantum dots (QDs) that we have previously observed in (111)B GaAs nanowires. [2] We have characterized the optical properties with low temperature photoluminescence (PL) and cathodoluminescence (CL). We show that in terms of crystalline structure (111)A wires are superior to (111)B ones, and find that the QD characteristics are different.
Our second approach is to control the orientation of the nanostructure elongation to ‘lock out’ the defects. This is achieved by creating nanoscale membranes in the <112> directions. [3] With that method we have grown pure zinc-blende GaAs nanomembranes. We have also functionalized them with quantum wells and quantum dots and characterized them with PL, CL and TEM. Currently we are further performing single photon statistics of such QD like emissions in the same energy window of self-assembling QDs that we already have observed in core shell GaAs/AlGaAs nanowires.
In conclusion we have demonstrated two approaches to grow pure zinc blende GaAs nanostructures and functionalized them with quantum heterostructures. We believe our work makes a significant contribution to crystal phase engineering literature and it sheds light on the formation mechanism of self-assembling QDs in AlGaAs shells.
References
[1] Y. Zhang, W. Jiang, M. Aagesen, and H Liu. “III–V Nanowires and Nanowire Optoelectronic Devices.” 2015. Journal of Physics D: Applied Physics 48 (46): 463001
[2] M. Heiss, Y. Fontana, A. Gustafsson, G. Wüst, C. Magen, D. D. O’Regan, J. W. Luo, et al. “Self-Assembled Quantum Dots in a Nanowire System for Quantum Photonics.” 2013. Nature Materials 12 (5): 439–44
[3] G. Tutuncuoglu, M. de la Mata, D. Deiana, H. Potts, F. Matteini, J. Arbiol, and A. Fontcuberta i Morral. “Towards Defect-Free 1-D GaAs/AlGaAs Heterostructures Based on GaAs Nanomembranes.” 2015. Nanoscale 7 (46): 19453–60.
NM1.2: Characterization of Semiconductor Nanowires I
Session Chairs
Anna Fontcuberta i Morral
Monday PM, November 28, 2016
Hynes, Level 2, Room 206
2:45 PM - *NM1.2.01
Real-Time Investigation of III-V Nanowire Growth Using In Situ TEM
Kimberly Dick Thelander 1 2
1 Solid State Physics Lund University Lund Sweden, 2 Center for Analysis and Synthesis Lund University Lund Sweden
Show AbstractThe potential of semiconductor nanowires to add new functionality in a wide array of application areas lies not simply in the downscaling of conventional materials, but in the possibility to access new materials and structures not available in bulk, such as new crystal phases, alloy materials or heterostructure combinations. The development of these materials requires a fundamental understanding of the processes governing the formation of 1D crystalline structures on the nanoscale. Since nanowire growth is performed in a vapour phase atmosphere at high temperature, the dynamic processes controlling their formation cannot be directly deduced by analyzing only the final grown nanostructure. In this talk I will discuss in-situ growth of nanowires in environmental TEM, a technique which offers insights into the growth processes unparalleled by any other method. In particular, in-situ TEM has given important insights into nucleation processes, morphology of the growth front, and transport pathways of the precursor species. I will first shortly discuss GaAs and GaP nanowire growth performed in a UHV TEM system, specifically insights into the the crystal structure and nucleation processes. I will then describe the development of a dedicated CVD-TEM system able to resolve III-V nanowire growth processes in high resolution at pressures comparable to standard growth conditions. Most recent growth results from this system will be highlighted.
3:15 PM - NM1.2.02
Structural Characterization and Magnetotransport Properties of MnAs/InAs Hybrid Nanowires Grown by Selective-Area Metal-Organic Vapor Phase Epitaxy
Matthias Elm 1 2 , Ryutaro Kodaira 3 , Ryoma Horiguchi 3 , Kyohei Kabamoto 3 , Peter Klar 1 , Shinjiro Hara 3
1 Institute of Experimental Physics I Justus-Liebig University Giessen Germany, 2 Institute of Physical Chemistry Justus-Liebig University Giessen Germany, 3 Research Center for Integrated Quantum Electronics Hokkaido University Sapporo Japan
Show AbstractIII-V nanowires are of high interest for many nanotechnological applications and their utilization as building blocks for nanoscaled electronic or optoelectronic devices such as field-effect transistors, sensors, or solar cells has successfully been demonstrated. For the realization of magnetoelectronic or spintronic applications nanowires need to exhibit controllable magnetic properties. Therefore current research also focuses on the preparation and characterization of dilute magnetic semiconducting III-V nanowires or granular hybrid nanowires. Furthermore, high quality nanowires with magnetic properties are interesting model systems to study the magnetic properties and transport processes in confined systems. Here we present the structural and electrical characterization of MnAs/InAs hybrid nanowires. For the preparation of our hybrid nanowires, first InAs nanowires are grown using selective-area metal-organic vapor-phase epitaxy on a pre-patterned (111)B GaAs substrates followed by the endotaxy of MnAs nanoclusters [1]. During the endotaxy process the ferromagnetic nanoclusters form at the ridges of the nanowires. Size, distribution and number of the nanoclusters can be controlled by growth temperature and growth time. While the nanowire hybrids contain small nanoclusters with diameters typically below 100 nm at low growth temperatures, large nanoclusters which completely penetrate the host nanowires are observed at high growth temperatures. The structural properties of hybrid nanowires and the nanoclusters were characterized using scanning electron microscopy, energy dispersive X-ray spectroscopy as well as high resolution transmission electron microscopy, revealing the formation of high quality nanoclusters in hexagonal NiAs-type crystal structure with a c-axis oriented parallel to the <111>B direction of the host nanowire. The magnetic properties of the nanoclusters were investigated using magnetic force microscopy. We also present first magnetotransport measurements on single hybrid nanowire as a function of temperature and applied external magnetic fields up to 10 T. While our InAs nanowires show universal conductance fluctuations accompanied with a large positive magnetoresistance effect up to 150 % at low temperatures, a linear negative magnetoresistance effect of about 10 % is found for MnAs/InAs hybrid nanowires.
[1] R. Kodaira et al., Jpn. J. Appl. Phys., (Open Access) in press (2016)
3:30 PM - NM1.2.03
Defect-Mediated Quantum Confinement Phenomena in GaAs-Based Nanowires
Bernhard Loitsch 1 , Nari Jeon 2 , Marcus Muller 3 , Frank Bertram 3 , Juergen Christen 3 , Lincoln Lauhon 2 , Jonathan Finley 1 , Gregor Koblmueller 1
1 Walter Schottky Institut Technical University of Munich Garching Germany, 2 Materials Science and Engineering Northwestern University Evanston United States, 3 Otto-von-Guericke University Magdeburg Germany
Show AbstractQuantum confinement phenomena in III-V semiconductor nanowires (NWs) play an important role to explore new opportunities in mesoscopic devices for advanced quantum photonics, quantum transport as well as nanoelectronics. Here, we investigate the prototypical GaAs-based NW system and demonstrate how quantum confinement phenomena can be induced along the radial as well as axial directions within the unique 1D-NW structure by intentional or unintentional defects.
Using a novel reverse-reaction growth scheme to produce GaAs NWs with unprecedented thin diameters of less than 10 nm [1], we first elaborate the effect of stacking defects along the NW axis with respect to defect-free NWs. In GaAs NWs with negligible stacking defect densities, we observe strong radial quantum confinement evidenced by >100 meV blue-shifts in µPL spectra [1]. When introducing wurtzite (WZ) phase segments inside predominantly zincblende (ZB) phase NWs along such ultrathin GaAs NWs, additional confinement along the NW axis is realized characterized by sharp-line emission centers. These centers represent novel crystal phase quantum dots (CPQDs) with very narrow linewidths (~300 µeV), clear photon anti-bunching behaviour, and surprisingly fast radiative lifetimes below 1 ns [2], indicative of a band-offset transition from type-II to type-I at WZ/ZB polytype interfaces. We further found that even single monolayer-like WZ inclusions, i.e., twin-plane defects, can act as efficient CPQDs and single photon emitters as corroborated by detailed scanning-TEM/cathodo-luminescence experiments [3].
In addition, such quantum-dot (QD) like features are also observed in the shell structure of complex radial GaAs-AlGaAs core-shell NW heterostructures. We unambiguously identified that the origin of such unintentional sharp-line emission centers arise from nanoscale alloy fluctuations inside ternary AlGaAs shells grown along the predominant {110} sidewall facets [4]. Using correlated µPL, STEM-HAADF-EDX and atom probe tomography, we demonstrate that the alloy fluctuations can be tuned by growth kinetics, particularly by growth temperature. By reducing the shell growth temperature from T > 600 °C to below 400 °C we find a strong reduction in alloy fluctuation mediated sharp-line luminescence, concurrent with a decrease in the non-randomness of the alloy distribution in the AlGaAs shell. This trend is further characterized by a change in the alloy compositional structure from unintentional short-period superlattices of Ga- and Al-rich AlGaAs layers (at high T), to nanoscale Ga-rich clusters of ~2-10 nm in size (at intermediate T), to a nearly homogeneous random alloy distribution at low T [5].
[1] B. Loitsch, et al., Advanced Materials 27, 2195 (2015).
[2] B. Loitsch, et al., Nano Letters 15, 7544 (2015).
[3] B. Loitsch, et al., New J. Phys. 18, 63009 (2016).
[4] N. Jeon, B. Loitsch, et al., ACS Nano 9, 8335 (2015).
[5] B. Loitsch, N. Jeon, et al., submitted (2016).
3:45 PM - NM1.2.04
Ultra-Fast Carrier Dynamics and Optical Properties of ZnSe Nanowires Grown by Molecular Beam Epitaxy
Lin Tian 1 , Lorenzo Di Mario 1 , Valentina Zannier 2 , Daniele Catone 3 , Stefano Colonna 3 , Patrick O'Keeffe 3 , Stefano Turchini 3 , Nicola Zema 3 , Silvia Rubini 2 , Faustino Martelli 1
1 IMM Consiglio Nazionale delle Ricerche Roma Italy, 2 IOM Consiglio Nazionale delle Ricerche Trieste Italy, 3 ISM Consiglio Nazionale delle Ricerche Roma Italy
Show AbstractIn the search for blue light emitters, ZnSe has shown less reliability than GaN. However, the low growth temperature (Tg) of ZnSe nanowires (NWs) makes them of interest because it allows their growth on low-cost or flexible substrates that do not withstand the high Tg needed by GaN NWs. Some of the present authors developed the growth of ZnSe NWs by molecular-beam-epitaxy at Tg as low as 300°C on substrates such as GaAs, Si, SiO2 and ITO-coated glass[1-3]. Strong blue photoluminescence (PL) was observed on those samples [2], indicating good optical properties. Here we present a thorough study of the optical properties at room temperature of as-grown ZnSe NWs on ITO-coated glass at 300°C [2]. The transparent structure enables transmittance (T), reflectance (R), PL, time-resolved PL and fast transient absorption spectroscopy (FTAS) on the same samples.
PL and time-resolved PL were conducted using the pulsed 2nd harmonic (400 nm, 80 MHz) Ti:Sapphire laser. The monochromatic PL signal was collected by a CCD or a Si-APD for quasi-stationary or time-resolved analysis, respectively. FTAS has been performed using the amplified 2nd harmonic of a Ti:Sapphire laser (400 nm, 1 kHz, pulses of 50 fs) as pump, and a white light supercontinuum in the visible region as the probe. For T and R, which show strong light trapping, we used a photospectrometer equipped with an integration sphere.
As expected, FTAS shows that absorption decreases when the probed states are occupied with photo-carriers after pump excitation. Two main features are observed: a narrow peak at energies larger than 2.6 eV and a broad signal with maximum at about 2.5 eV.
The maximum absorption variation was achieved within 600 fs after excitation. It subsequently decays in about 20 ps, with the dynamics of the broad band (due to point defects) being faster than that of the narrow signal (due to band-gap states). The fast rising represents the thermalization process to reach a quasi-equilibrum distribution of hot carriers after pump excitation. The following decay gives information about carrier relaxation from probed states to lower energy states.
An important feature is the bandgap red-shift of about 34 meV occurring during the rise time and its recovery when photo-bleaching disappeared. The red shift is attributed to bandgap renormalization and is a result of sudden increase of carrier density when many-body effects modify the electronic band-structure. Using the measured red shift, the ZnSe exciton energy and its effective masses [4], we have estimated the photo-excited carrier density to be 6×1017 cm-3.
PL shows a dominant near-band gap recombination with excitonic contribution. The PL decay time of 0.5 ns confirms the good optical quality of our samples.
[1] A. Colli et al., Appl. Phys. Lett. 86, 153103 (2005).
[2] V. Zannier et al., Phys. Status Solidi RRL 8, 182 (2014).
[3] V. Zannier et al., Nanoscale 6, 8392 (2014).
[4] Landolt-Börnstein, vol. 22, Springer Verlag, Berlin 1987.
NM1.3: Growth and Mechanism—Heterostructures
Session Chairs
Monday PM, November 28, 2016
Hynes, Level 2, Room 206
4:30 PM - *NM1.3.01
Integration and Novel Designs of III-V Nanowire Heterostructures
Anna Fontcuberta i Morral 1
1 Ecole Polytechnique Fédérale de Lausanne Lausanne Switzerland
Show AbstractSemiconductor nanowires provide many solutions and perspectives in technological challenges of the XXI century. This involves providing solutions for next generation solar cells and novel platforms and solutions for optoelectronics [1,2]. Among the materials palette considered, III-Vs are a family with outstanding perspectives thanks to their optical and electronic properties. In this talk we will present our recent work on the synthesis of novel III-V nanowire-based structures. We will start by showing the different possibilities on traditional free-standing nanowire structures to turn towards nanoscale membranes [3], which have the potential of facile integration on a wafer. We will also show the functional characterization by cathodo-luminescence, Raman spectroscopy and transistor characteristics.
References:
[1] P. Krogstrup et al Nature Photonics 7, 306-310 (2013)
[2] A. Ionescu, H. Riel, Nature 479, 329 (2011)
[3] G. Tutuncuoglu et al Nanoscale 7, 19453 (2015)
5:00 PM - NM1.3.02
InxGa1-xAs Nanowire/p-Si(100) Heterojunction Diodes Grown by Metal Organic Chemical Vapor Deposition-Determination of Interface States Density at Heterojunction and Investigation of Rectification Properties of Single InxGa1-xAs Nanowire/p-Si Diode
Krishnendu Sarkar 1 , Pallab Banerji 1
1 Indian Institute of Technology, Kharagpur Kharagpur India
Show AbstractNanowires of InxGa1-xAs were grown on Si (100) through metal organic chemical vapor deposition technique with silver nanoparticles as catalyst. The heterojunction formed between InxGa1-xAs and Si was characterized by I-V and frequency dependent C-V and G-V measurements at room temperature. The interface trap density (Dit) was measured using a modified conductance-voltage method. The effect of the capacitance due to the oxide layer is neglected in the modified scheme and subsequent expressions have been figured out considering only the depletion region capacitance formed at the InxGa1-xAs nanowire/Si substrate heterojunction. The value of Dit is found to be 5.3x1011 eV-1cm-2. This result was compared with conventional interfacial layer model which gave Dit = 5.6x1010 eV-1cm-2. The transport of charge carriers through the junction is explained by thermionic emission theory. This is the first ever study on III-V nanowire/group IV heterojunction diodes using capacitance-voltage and conductance-voltage measurements. Electrical characterization of a single standalone nanowire has also been carried out using tungsten probe micromanipulator. Current-voltage characteristics have shown hysteresis behavior which signifies its potential in memristor application. Clear hysteresis has also been observed in capacitance-voltage measurements. The rectification characteristics of the nanoheterojunction have been demonstrated by different waveforms of sinusoidal, square, sawtooth and triangular for two different frequencies of 1 Hz and 0.1 Hz. Our study provides new insights into the field of nanowire heterojunction characterization using conventional C-V characterization which is also applicable to other types of nanoheterojunctions for optimizing heterojunction tunneling devices. The results presented here could open up a new route to characterize semiconductor nanoheterojunctions.
5:15 PM - NM1.3.03
Formation of a Heterojunction in SiGe Nanowires by Thermal Oxidation
Hsin-Yu Lee 1 , Tzu-Hsien Shen 1 , Cheng-Yen Wen 1
1 Department of Materials Science and Engineering National Taiwan University Taipei Taiwan
Show AbstractGroup IV semiconductor heterojunction nanowires have a wide range of potential applications in transistors and thermoelectric devices. For theses applications, perfection of the interfacial structure at the heterojunctions is essential for the ideal device performance. Therefore, a reliable process to fabricate defect-free and compositionally abrupt heterojunction nanowires will be useful. Heterojunction nanowires are usually fabricated by switching the gas precursors during the vapor-liquid-solid (VLS) or the vapor-solid-solid (VSS) growth. Here we show that heterojunctions between two SiGe alloys of different Si/Ge ratios can be formed by oxidizing SiGe alloy nanowires. The SiGe alloy nanowires are epitaxially grown on (111) Si substrates via the VLS method using AuGeSi eutectic liquid as the catalysts. The Ge concentration in the SiGe nanowires is about 6%. After oxidizing the SiGe nanowires in air at 700°C for 6 hours, a new SiGe segment with a higher Ge content is formed on top of the SiGe nanowire, accompanied by the formation of surface oxide, which is composed of only Si and oxygen, on the sidewalls of the SiGe nanowires. The width of the interface between the two SiGe alloys is about 1.6 nm, as observed in the high-angle annular dark-field scanning transmission electron microscopy image, and there is no misfit dislocation at the interface. We propose that the formation of the heterojunction in the SiGe nanowire is via the solid-liquid-solid (SLS) mechanism. During oxidation of the SiGe nanowires, silicon oxide is formed on the sidewalls. The unreacted Si and Ge atoms diffuse to the liquid catalysts on the nanowire tip, where Si atoms are further oxidized to form an oxide layer on the surround of the catalyst. The remaining Ge atoms are accumulated, and precipitation of a SiGe layer occurs once the solute concentration in the liquid catalyst reaches saturation limit. The oxidation-induced SiGe segment has an increasing Ge concentration up to 70% along with its growth.
5:30 PM - NM1.3.04
Self-Assisted MBE Growth of Axial GaAs1-xSbx Nanowires and p-i-n Junction
Estiak Ahmad 1 , Sai Krishna Ojha 2 , Manish Sharma 1 , M.R. Karim 1 , C. Lew Reynolds 3 , Yang Liu 3 , Shanthi Iyer 1 2
1 Joint School of Nanoscience and Nanoengineering Greensboro United States, 2 North Carolina Agricultural and Technical State University Greensboro United States, 3 North Carolina State University Raleigh United States
Show AbstractIn this work we present a comprehensive study on the effects of Sb incorporation on the composition modulation, structural and optical properties of self-assisted axial GaAs1-xSbx nanowires that are 2-6 µm in length and grown on (111) Si substrate by molecular beam epitaxy. The Sb composition in the GaAs1-xSbx axial nanowire (NW) was varied from 1-12 at.%, as determined from energy dispersive x-ray spectroscopy. All the nanowires exhibited a zinc blende crystal structure and the high quality of the nanowires was attested by the lack of any noticeable planar defects in the axial GaAs1-xSbx NW, as ascertained by high resolution transmission electron microscopy (HR-TEM) imaging as well as selected area diffraction patterns (SAED). Lower Sb composition leads to thinner nanowires and inhomogeneous Sb composition distribution radially with a wide Sb surface depleted region that exhibited weak type-II optical emission. This was accompanied by the presence of an additional peak at higher Bragg angle in the X-ray diffraction (XRD) spectra and an electric-field-induced strong Raman LO mode. At higher Sb composition of 12 at.%, one observes a more uniform Sb compositional distribution radially leading to type-I optical transitions which exhibits the lowest photoluminescence (PL) peak energy occurring at 1.13 eV. The shift and broadening of the Raman LO and TO modes reveal evidence of increased Sb incorporation in the nanowires. Significant improvement in optical characteristics was achieved by using a Al0.2Ga0.8As passivating shell. The results are very promising and reveal the potential to further red shift the optical emission wavelength by fine tuning of the fluxes during the growth. In situ Te-doping of GaAs1-xSbx nanowires has also been investigated. Enhanced Te incorporation in the NW at higher Te cell temperature was attested by the broadening of the XRD peak and the presence of strong coupled-LO phonon mode in the Raman spectra. The Te-doping was estimated from the shift in the coupled-LO phonon mode to be ~2.0 x1018/cm3. The surfactant nature of Te modulated the growth kinetics, which was manifested in an enhanced radial growth rate with improved PL characteristics at both room temperature and 4K. No noticeable planar defects were observed as ascertained from the HR-TEM and SAED. Finally, we demonstrate the experimental realization of a GaAs1-xSbx axial p-type/intrinsic/n-type (p-i-n) structure on a Si substrate with Te as the n-type dopant. The GaAs1-xSbx p-i-n NW structures exhibited rectifying current-voltage (I-V) behavior. In order to corroborate the experimental data, finite element (FEM) simulation has been performed using Comsol Multiphysics Software. Transport parameters have been extracted for these p-i-n GaAs1-xSbx nanowires by best fit of the simulated data to the experimental I-V characteristics the dopant concentration and the transport parameters estimated from the PL spectra and I-V curve were found to be in good agreement.
Symposium Organizers
Chennupati Jagadish, Australian National Univ
James Cahoon, University of North Carolina at Chapel Hill
Hannah Joyce, University of Cambridge
Qihua Xiong, Nanyang Technological Univ
Symposium Support
JC Nabity Lithography Systems, Lake Shore Cryotronics, Inc., MilliporeSigma (Sigma-Aldrich Materials Science), Nano| A Nature Research Solution, SpringerMaterials
NM1.4: Semiconductor Nanowires for Optoelectronics I
Session Chairs
Kimberly Dick
Chennupati Jagadish
Tuesday AM, November 29, 2016
Hynes, Level 2, Room 206
9:00 AM - *NM1.4.01
Light Emission from Group IV Semiconductors
Erik Bakkers 1 2 , Hakon Ikaros Hauge 1 , A. Li 1 , Simone Assali 1 , A. Dijkstra 1 , R. Tucker 1 3 , Y. Ren 1 , Sonia Conesa-Boj 2 , Jos Haverkort 1 , Marcel Verheijen 1 4
1 Eindhoven University of Technology Eindhoven Netherlands, 2 Delft University of Technology Delft Netherlands, 3 National Institute for Nanotechnology University of Alberta Edmonton Canada, 4 Philips Innovation Services Eindhoven Netherlands
Show AbstractLight emission from Si, would allow integration of electronic and optical functionality in the main electronics platform technology, but this has been impossible due to the indirect band gap of Si. In this talk I will discuss 2 different approaches, using unique properties of nanowires, to realize light emission from Si-based compounds.
In the first route we focus on the fabrication of defect-free GeSn compounds. GeSn has been shown to exhibit a direct band gap at Sn concentrations above 12.5% in the infrared part of the spectrum (around 0.5 eV).1 However, in bulk layers the strain between the Ge and the GeSn layer is released by the introduction of defects near the interface affecting the optical properties of the layer. In the nanowire geometry the lattice strain can be effectively relieved in the radial direction, which is exploited to grow Ge/GeSn core shell nanowires with high (13%) Sn content. In this talk the growth mechanism is discussed, the structural properties are investigated by Electron Microscopy and Atom Probe Tomography and the temperature dependent optical properties are studied.
In the second route we concentrate on Si and Ge with a different crystal structure. It has been predicted that SiGe alloys with the hexagonal (2H) crystal structure have a direct band gap. It has been shown that by using the VLS nanowire growth mechanism it is possible to fabricate III-V semiconductors, which normally crystallize in the cubic phase, can now been grown with a 2H crystal structure.2 Here, we employ crystal structure transfer, in which we use wurtzite GaP as a template to epitaxially grow SiGe compounds with the hexagonal crystal structure (see figure 1).3 We show that with this method we can grow defect free hexagonal SiGe shells and branches with tunable Ge concentration. The structural and optical properties of these new crystal phases will be discussed.
References
Wirths, S. et al. Lasing in direct-bandgap GeSn alloy grown on Si. Nat. Photonics 9, 88–92 (2015).
Assali, S. et al. Direct band gap wurtzite gallium phosphide nanowires. Nano Lett. 13, 1559–1563 (2013).
Hauge, H.I.T. et al. Hexagonal Si realized, Nano Letters 15, (2015), DOI: 10.1021/acs.nanolett.5b01939
9:30 AM - NM1.4.02
Guided Growth of Horizontal ZnSe Nanowires and Their Integration into High-Performance Blue-UV Photodetectors
Eitan Oksenberg 1 , Ronit Popovitz-Biro 1 , Katya Rechav 1 , Ernesto Joselevich 1
1 Weizmann Institute of Science Rehovot Israel
Show AbstractThe organization of nanowires on surfaces is one of the main obstacles toward their large-scale integration into functional devices. Recently, our group demonstrated the guided growth of perfectly aligned horizontal nanowires with controllably varied orientations (Science 2011, 333, 1003). This approach exploits epitaxial and graphoepitaxial interactions with the substrate to guide the nanowires horizontally during the synthesis. The horizontal growth of semiconductor nanowires has been implemented on several materials and substrates, but to date, the reported horizontally grown nanowires provide only limited structural and optoelectronic diversity. Specifically with respect to their optical properties, none of them had band gap energies in the visible range on a transparent substrate, such as needed for optoelectronic applications. Here we present the guided growth of horizontally aligned ZnSe nanowires and their integration into high-performance blue-UV photodetectors (Advanced Materials 2015, 27, 3999). This is the first report of horizontal nanowires of a visible-range optoelectronic material on a transparent substrate. Their crystal phase, either wurtzite (hexagonal) or zincblende (cubic), and their crystallographic orientations, are exquisitely controlled by the epitaxial relations with different planes of sapphire. Furthermore, the guided growth of perfectly aligned arrays of horizontal nanowires enables their parallel and simple integration into blue-UV photodetectors. We found that these devices have the lowest dark current and the fastest measured rise and decay times for photodetectors based on ZnSe 1D nanostructures.
9:45 AM - NM1.4.03
Detect-Enabled Second Harmonic Generation in Semiconductor Nanowires and Nanobelts
Mingliang Ren 1 , Rahul Agarwal 1 , Pavan Nukala 1 , Wenjing Liu 1 , Jacob Berger 1 , Ritesh Agarwal 1
1 University of Pennsylvania Philadelphia United States
Show AbstractDefects in crystalline materials such as nanotwins play an important role in determining their mechanical, electronic and optical properties and their detailed characterization requires development of new probing techniques. For example, nanotwins can increase the efficiency of charge separation for solar energy conversion and improve the thermal stability of diamond while evolution of defects in many materials under electrical or mechanical stress dynamically controls the system’s response. We have studied and observed optical second harmonic generation (SHG) in a variety of nanostructures originating from defects in the system,, and are developing a methodology for probing the nature and evolution of defects which cannot be easily resolved via other methods. For example, distinct SHG polarimetric signals observed in periodically twinned CdTe nanowires was ascribed to wrong-bond nanotwins rather than energetically favorable nanotwins, which have not been observed in NWs and could be the origin of their unique properties. Furthermore, studies on GeTe (phase change material) show the potential of the SHG polarimetry technique to detect the evolution of defects under the influence of current pulses or electric fields, which provides exquisite insights about the changes in material’s structure and properties while in a device setting under opeation.. We will discuss the potential of defect-enabled SHG in a variety of materials and devices to detect the nature and evolution of defects thus allowing for a deeper understanding of their unique properties critical for fabricating novel devices with precisely tailored responses.
10:00 AM - *NM1.4.04
Fabrication of Three-Dimensional Metal Halide Perovskite Nanowire Arrays and Optoelectronic Devices
Zhiyong Fan 1 , Leilei Gu 1 , Mohammadmahdi Tavakoli 1 , Daquan Zhang 1
1 Hong Kong University of Science and Technology Kowloon Hong Kong
Show AbstractMetal halide perovskite materials are emerging as highly promising materials for high performance optoelectronic devices thus triggered broad attention globally. In this work, we report for the first time a chemical vapor deposition (CVD) process to grow ordered three-dimensional (3-D) metal halide nanowire (NW) arrays in nanoengineering templates. This unique CVD process utilizes metal nanoclusters at the bottom of vertical nanochannels to initiate high quality NW growth. As the nanochannels have largely controllable geometrical factors, namely, periodicity, diameter and depth, NW geometry can also be precisely nanoengineered. As the result, the ordered 3-D NW arrays can achieve ultra-high NW density in the range of 4×108/cm2~109/cm2 at a sizable scale of ~9 cm2. The 3-D NW arrays are conspicuously promising for 3-D integrated nano-electronics/optoelectronics. To further demonstrate the technological potency of the perovskite NW arrays, they have been fabricated into proof-of-concept image sensors. Each image sensor consists of 1,024 photodiode pixels made of vertical perovskite NWs, and the imaging functionality has been verified by recognizing various optical patterns projected on the sensor. It was found that the NW sensors can respond to dynamic optical input with reasonable speed, thus video capturing function of the NW image sensor was also successfully demonstrated. As the diameter of each NW can be as small as hundreds of nanometers and each NW can serve as one sensor pixel, this unique image sensor design can potentially lead to extremely high resolution approaching optical diffraction limit. In addition, fabrication and characterization of flexible image sensors have also been demonstrated in this work. The flexible optical sensor arrays can find broad applications for wearable electronics, electronic eyes, multifunctional robotic and artificial skins, etc
10:30 AM - NM1.4.05
GaAs-AlGaAs Core-Shell Nanowire Lasers on Silicon
Thomas Stettner 1 , Philipp Zimmermann 1 , Benedikt Mayer 1 , Bernhard Loitsch 1 , Michael Kaniber 1 , Gerhard Abstreiter 1 , Gregor Koblmueller 1 , Jonathan Finley 1
1 Walter Schottky Institut, Physik Department, Technische Universität München Garching Germany
Show AbstractSemiconductor nanowires (NWs) are rapidly emerging as a new generation of miniaturized on-chip coherent light sources by virtue of their unique geometry. In particular, due to the natural Fabry-Perot resonators formed by guided modes between the NW-end facets, combined with the possibilities for direct monolithic integration on Si, NW lasers offer attractive applications in future optical interconnects and data communication [1].
In this contribution we demonstrate a novel monolithic integration scheme that enables high reflectivity of low-order fundamental modes in GaAs-AlGaAs NW lasers directly on Si. By employing a 200nm thick dielectric SiO2 mask layer into which NWs were grown using site-selective molecular beam epitaxy, we create vertical-cavity NW lasers with high spontaneous emission (β) factor of β = 0.21. The S-shaped lasing spectra are characterized by a strong line width reduction and a low threshold pump power of 11 pJ per pulse. Based on an analysis of the temporal photon statistics in the strong excitation regime [2] as well as ultrafast pump-probe spectroscopy investigations [3] the lasing emission occurs at ultrafast modulation rates of > 250 GHz [2,3].
In addition, we investigated the impact of replacing the bulk GaAs active region of the NW-laser with epitaxially precise quantum well (QW) gain media to tune lasing wavelength and threshold. We present single-mode lasing of radial single and multiple GaAs quantum wells as active gain media in a GaAs-AlGaAs core-multishell NW. When subject to optical pumping lasing emission with a distinct s-shaped input-output characteristics and emission energies associated with the confined QWs are confirmed [4]. The low temperature performance shows a reduced threshold power density for 7 coaxial QWs compared to a single QW in a NW with the same diameter, which confirms that gain characteristics can be optimized by epitaxial design. Temperature-dependent investigations show that lasing prevails up to 300 K. Moreover, we identified the mode that supports lasing by performing polarization-dependent measurements combined with numerical simulations. The simulations reveal the lowest threshold for two transversal lasing modes e.g., TE01 and TM01. Polarization-dependent measurements in the lasing regime reveal that the emitted light is polarized perpendicular to the NW axis, thus making the TE01-mode the most probable lasing mode [4].
[1] R. Yan et al., Nature Photonics 3, 569-576 (2009).
[2] B. Mayer et al., Nano Lett., 16 (1), pp 152–156 (2016).
[3] B. Mayer et al., arVix:1603.02169 (2016).
[4] T. Stettner et al., Appl. Phys. Lett. 108, 011108 (2016).
10:45 AM - NM1.4.06
Selective-Area InAs-Based Nanowires on InP Substrates Towards SWIR/Mid-IR Optical Devices
Dingkun Ren 1 , Alan Farrell 1 , Xiao Meng 2 , Benjamin Williams 1 , Diana Huffaker 1 2
1 University of California, Los Angeles Los Angeles United States, 2 Cardiff University Cardiff United Kingdom
Show AbstractNanowire-based III-V semiconductor photonic devices operating at wavelength longer than 2 µm have received increasing attention due to a variety of applications in lasers and photodetectors in the short-wave infrared (SWIR) and mid-wave infrared (mid-IR). Indium arsenide (InAs) as well as its ternary, arsenic-rich (As-rich) InAsP and InAsSb, serve as potential building blocks for interband and intersubband nanowire (NW) optical devices. Compared with planar growth, a unique capability of nanowires is that heterogeneous interfaces can be formed with minimized threading dislocations and misfit dislocations, allowing growth of heterostructures with large lattice-mismatch. Thus, the growth of such nanowires on low-cost substrates such as indium phosphide (InP), is of particular interest.
In this work, we first report the demonstration of catalyst-free InAs and As-rich InAs1-xPx (0< x≤0.33) nanowires grown on InP (111)B substrates by selective-area metal-organic chemical vapor deposition (SA-MOCVD). It is shown that by introducing a thin InAs seeding layer prior to InAs and InAsP nanowire growth, rotational twins between (111)A and (111)B are eliminated, resulting in extremely high vertical yield. InAsP nanowire arrays show strong emission by photoluminescence (PL) at room temperature due to strong carrier confinement by InP substrates with larger bandgap. The phosphorus composition of InAsP is verified by energy-dispersive X-ray spectroscopy (EDX). Interestingly, a bowing relationship exists between target phosphorus composition of InAs1-xPx and favorable vertical growth temperature.
Second, we present the characterization of n-type InAs nanowire photodetectors on p-type InP substrates. The nanowires are passivated in-situ by InP to reduce the density of surface states. Power-dependent PL at 4.5 K and temperature-dependent PL are performed to study the material quality of InAs nanowires. Temperature dependence of dark current is measured to investigate the carrier generation mechanism. Photocurrent is measured at 1060 nm and 1310 nm, and InAs/InP interface is carefully studied by three-dimensional (3D) electrical simulation to fit I-V curves.
Finally, we show controllable growth of InAs(Sb) inserts embedded in InAsP nanowires. It is found out that by changing V/III ratio during growth of InAs and InAsP, the overgrowth along the lateral direction can be significantly reduced, while vertical growth can be largely enhanced. Moreover, PL characterization is carried out for axial InAs wells with different growth times – it is clearly observed that the peak energy of InAsP is almost constant, while the peak energy of InAs is shifting towards higher energy with shorter InAs growth time. Such drift of peak energy might be due to the quantization of InAs layers. Last but not least, InAsSb inserts are also grown in InAsP nanowires, showing a PL peak wavelength of 3.7 µm, suggesting conduction band offsets of over 200 meV between InAsSb and InAsP.
NM1.5: Nanowire Nanoelectronics Interfaced to Biosystems
Session Chairs
Tuesday PM, November 29, 2016
Hynes, Level 2, Room 206
11:30 AM - *NM1.5.01
Nanowire Building Blocks for Nano-Bioelectronics
Charles Lieber 1 2
1 Department of Chemistry and Chemical Biology Harvard University Cambridge United States, 2 John A. Paulson School of Engineering and Applied Sciences Harvard University Cambridge United States
Show AbstractNanoscale materials can enable unique opportunities at the interface between the physical and life sciences. In this presentation, the development of nanowire-based nanoelectronic devices for probing cells at the length scales relevant to biological function will be discussed. First, we will introduce key concepts and goals for developing electronic devices for biological studies with a focus on ‘active’ nanowire nanoelectronic devices. Second, the synthesis and/or assembly of nanowire building blocks into unique topologies required for high-resolution intracellular recording will be described, including the fabrication/characterization of the nanowire building blocks into three-dimensional nanoelectronic devices capable of minimally-invasive cell measurements. Third, studies of cellular recording, including intracellular studies of primary rodent cardiomyocytes and neurons as well as simultaneous measurements of electrical and mechanical responses of cardiac cells, will be presented. Worked directed towards biological targeting of nanowire devices to cells will also be introduced. Future prospects and challenges for nanomaterials development and novel biological measurements will be discussed in summary.
12:00 PM - NM1.5.02
Long-Term Intracellular Recording of Light Sensitive Cells by Vertical Nanowire Multi Electrode Array
Jisoo Yoo 1 , Hankyul Kwak 1 , Juyoung Kwon 1 , Jukwan Na 1 , Min-ho Hong 1 , Eunji Cheong 1 , Heon-Jin Choi 1
1 Yonsei University Seodamun-Gu Korea (the Republic of)
Show AbstractThe long-term recording of living cells in an intra-, extracellular mode on a single cell level is crucial to fully understand the information processing of complex bio-system such as spinal cord and/or brain. Multi-sites addressing of the recording is also crucial to understand the information networks of the bio-systems. Patch clamp, a representative intracellular recording method which uses a glass pipettes having a diameter of 2 µm, is useful for recording the electrical activity of a single cell. However, it is difficult to record repeatedly for a long time because of a serious damage of the cells in the course of recording.
Herein, we demonstrate long term-, intra/extra recording of living cells on a single cell level using vertical nanowire multi electrode array (VNMEA). The VNMEA was fabricated by bottom-up growing of silicon nanowires and then by using top-down semiconductor fine processing. The VNMEA with 5 x 5 array was connected to printed circuit board (PCB), amplifier, converter and monitoring program system. We cultured light sensitive cells, ChETA-expressing HEK293T cells that were modified to react upon 450 nm light stimulus, on VNMEA for 24 hours. The recording was carried out for 24 hours with periodic stimulation of light with wavelength of 450 nm. It was revealed that recording of cell activities for 24 h is feasible without any damages of the cells. Intracellular- and extracellular recording are also successfully carried out by using VNMEA. Multi-site recording for response of cells was demonstrated in this study. Our results indicate that VNMEA is suitable for long-term recording of electrical activity of cells under living states. It could be useful to address the complex information processing of spinal cord and/or brain efficiently.
12:15 PM - NM1.5.03
Ultrasensitive Label-Free Detection of DNA and Disease Biomarkers by InP Nanowire FET-Like Biosensor
Prasana Sahoo 1 , Richard Janissen 1 2 , Aldeliane Maria da Silva 1 , Clelton Santos 3 , Douglas Oliveira 1 , Diogo Almeida 1 , Antonio von Zuben 1 , Carlos Cesar 1 , Anete de Souza 3 , Monica Cotta 1
1 Institute of Physics Gleb Wataghin University of Campinas Campinas Brazil, 2 Department of Bionanoscience Kavli Institute of Nanoscience Delft Delft Netherlands, 3 Center of Molecular Biology and Genetic Engineering University of Campinas Campinas Brazil
Show AbstractSpecific detection of minute amount of biomarkers has tremendous impact in health care, biomedical research and environmental control. Apart from several advances in different diagnostic tools, however, label-free operation, high sensitivity, and multiplex detection of various analytes are of paramount important. In this context, one dimensional nanostructures with dimensions close to the biomolecule levels, such as semiconductor nanowires are emerging not only in high throughput optoelectronic devices but also in realizing label-free direct electrical detection of specific disease biomarkers. Successful implementations of nanowire based biosensing devices, however, involve several challenges, associated with high biochemical detection specificity, high detection sensitivity, reproducibility and chemical stability.
We developed reproducible yet simple and generic protocols for highly sensitive detection of different biomolecules using Indium Phosphide (InP) semiconductor nanowires as sensing transducer component of the Field Effect transistor (FET) based biosensor. A series of biochemical processes were optimized in order to maximize the organochemical nanowire functionalization efficiency which has a direct impact on the overall biosensing performance. The evaluations of InP nanowire functionalization, biomarker immobilization efficiency and biomolecule passivation character of poly(ethylene glycol) (PEG) cross-linker coatings were performed via quantitative fluorescence microscopy as well as scanning Kelvin probe force microscopy. We observed that ethanolamine surface functionalization combined with PEG polymer coatings can vastly enhance biomolecule binding specificity and signal-to-noise ratio for biomarker detection. The simple yet powerful methodology was applied to our developed InP nanowire-based biosensor platform.
The developed biosensor was designed and assembled by single crystalline InP nanowires in an ordered fashion to form of a functional network over a 4x64 channel electrode chip. We show that nanowires can be aligned in a controlled manner over arrays of Au electrode by combining microfluidics with the surface pre-patterning. Further, selective passivation steps were employed to de-link the sensor response from other resources. Prior to the biomolecule detection process, the nanowire arrays were covalently functionalized with single stranded DNA and Chagas disease antibodies as specific biomarker capture molecules, followed by adding specific and unspecific biomarkers via specially designed microfluidic chips in controlled manner. In titration experiments, we have demonstrated that this nanowire based biosensor can readily detect specific DNA sequences and biomarkers from the Chagas disease down to tens of femtomolar, a sensitivity which is nearly 10 times higher than that reported for Graphene Oxide based and Silicon nanowire assembled FET biosensor.
12:30 PM - *NM1.5.04
Silicon Nanowire-Based Biophysical Tools
Bozhi Tian 1
1 University of Chicago Chicago United States
Show AbstractBiological systems are organized hierarchically, with unique characteristics and functionalities spanning multiple length scales; some examples include collagen fibers, metabolic networks, and chromosome organization. It is important to select the right organizational length scale for device and biointerface design. In the case of sub-cellular organization, this length scale is on the order of tens to hundreds of nanometers. In this talk, I will present several chemical strategies for three-dimensional silicon nanowire based materials. These materials have been tested as biophysical tools with extra- and intracellular components (i.e., extracellular matrix, cytoskeleton and phospholipid bilayer), with an initial emphasis on mechanical interactions and optical control. These studies will deepen our understanding of the fundamental limits of physical and biological signal transduction between subcellular components and synthetic systems. At the end of my talk, I will discuss future opportunities in materials science toward seamless biointegration.
NM1.6: Nanowires for Energy Conversion and Storage
Session Chairs
Tuesday PM, November 29, 2016
Hynes, Level 2, Room 206
2:30 PM - *NM1.6.01
Nanowires for High Efficiency Photovoltaics
Magnus Borgstrom 1
1 Lund University Lund Sweden
Show AbstractSemiconducting nanowires have been recognized as promising materials for high-performance electronics and optics where optical and electrical properties can be tuned individually. Especially, the geometrical shape of the NWs offers excellent light absorption. For NWs to provide the new architecture for next generation photovoltaics there is a strong need to take complete control over synthesis. By optimizing growth conditions with respect to tapering we created nanowire-InP nanowire based solar cells using Au seed particles for growth.
We will report on the growth, processing and characterization of nanowire array-based solar cells with 13.8 % efficiency [1]. First, gold particles were patterned on InP substrates with a 500 nm pitch, using nanoimprint lithography. Then, about 1.5 μm long InP nanowires were grown using DEZn and TESn as doping precursors, to create an axially defined p-i-n junction. HCl was used to prevent radial overgrowth. The nanowires were processed as-grown with a transparent conductive oxide as top contact to create one square millimeter solar cells, with 4 million nanowires per cell.
The solar cells were investigated using a sun simulator at Fraunhofer ISE CalLab reference setup. Although the 180 nm-diameter NWs only covered 12 % of the surface, the photocurrents were 71 % of the theoretical maximum for an InP solar cell. This is six times the limit in a simple ray optics description, and comparable to the record planar InP cell. To understand the absorption, we used three-dimensional electromagnetic optical modeling [2, 3]. We find excellent agreement between the spectra of modeled absorption and the experimentally measured external quantum efficiency.
1. J. Wallentin et al. Science, 339, 1057 (2013)
2. N. Anttu et al., Phys. Rev. B 83, 165431 (2011)
3. J. Kupec et al., Opt. Express 18, 27589 (2010)
3:00 PM - NM1.6.02
Enhanced Light Absorption in Single Nanowire Photovoltaics with Dielectric/Metallic Grating Structures
Jin-Sung Park 1 , Sun-Kyung Kim 2 , James Cahoon 3 , Jung Min Lee 1 , Jae-Pil So 1 , Hong-Gyu Park 1
1 Physics Korea University Seoul Korea (the Republic of), 2 Applied Physics Kyung Hee University Gyeonggi-do Korea (the Republic of), 3 Chemistry University of North Carolina at Chapel Hill Chapel Hill United States
Show AbstractSemiconductor nanowires provide efficient light confinement, scattering, and absorption for photonic and optoelectric applications. Highly localized cavity modes in a nanowire exhibit strong interaction with incident light despite a small diameter less than 300 nm. In this work, we experimentally and theoretically investigated the absorption characteristics of p-type/intrinsic/n-type core/shell silicon nanowire photovoltaic devices with dielectric and metallic grating structures. Because the dielectric grating converts normally incident photons to horizontally propagating ones, we can expect the enhancement of light absorption as well as the excitation of new resonant modes. Also, plamonic effects can be observed in the nanowires with metallic grating. First, we synthesized p-i-n core/shell silicon nanowires by the vapor-liquid-solid (VLS) mechanism in a chemical vapor deposition (CVD) system. The nanowires were dry-transferred on a Si3N4/SiO2/Si substrate and wet-chemically etched to reveal the p-type core. Ti/Pd metal contacts were deposited separately onto the p-type core and n-type outer shell. Then, dielectric or metallic grating structures were transferred onto the n-type outer shell of the nanowire photovoltaic devices. The pitch of the grating was changed from 400 to 500 nm. Next, we systematically measured the absorption spectra from these single nanowire photovoltaic devices with dielectric or metallic grating over a wavelength range of 350-1000 nm. In particular, in the nanowire with dielectric grating, enhanced light absorption and new pronounced modes at long wavelengths were clearly observed. We also performed finite-difference time-domain simulations to calculate the absorption properties of a nanowire with dielectric grating. The simulation showed that the wavelengths of the absorption peaks were red-shifted with increasing pitch of the dielectric grating. We believe that our work provide a new method to increase the conversion efficiency in nanowire-based ultrasmall photovoltaic devices.
3:15 PM - NM1.6.03
High-Performance Ge Microwire Li-Ion Battery Anode Prepared by the Electrochemical Liquid-Liquid-Solid Process
Luyao Ma 1 , Eli Fahrenkrug 1 , Eric Gerber 1 , Adam Crowe 1 , Frances Venable 1 , Bart Bartlett 1 , Stephen Maldonado 1
1 University of Michigan Ann Arbor United States
Show AbstractA high-performance Li-ion battery anode were made using polycrystalline Ge microwires prepared by the electrochemical liquid-liquid-solid (ec-LLS) process. Polycrystalline Ge microwires were grown directly on SU-8 patterned Cu substrate through ec-LLS process and transferred into a coin cell for battery tests. The Ge microwire anode was charge-discharged against a Li counter electrode and produced ~1620 mAh/g specific capacity in the 1st cycle at a current rate of C/10. The Ge anode showed only 2.5% capacity loss between 1st and 2nd cycle and retained more than 80% capacity after 80 cycles at C/10 rate. We show by electron microscopy that polycrystalline Ge microwires underwent less than 33% volume expansion and formed small fractures on microwire surface during lithiation at C/10 rate. It is concluded that the lower surface to volume ratio of Ge microwires comparing to Ge nanowires reduced the capacity loss caused by solid-electrolyte interface layer formation during the initial charge-discharge cycle. Also, the polycrystallinity and Ga doping of ec-LLS grown Ge microwires enhanced the mechanical stability and cycle life of Ge anode.
3:30 PM - NM1.6.04
Electrochemical Performances of Silicon/Germanium Core/Shell Nanowire Heterostructures for Fast Charging Lithium Ion Battery Anode
Dongheun Kim 1 , Nan Li 1 , Jinkyoung Yoo 1
1 Center for Integrated Nanotechnologies Los Alamos National Laboratory Los Alamos United States
Show AbstractGroup-IV semiconductor (Si, Ge) nanowires (NWs) have revolutionized the electrical energy storage research due to their potentials of high-capacity, fast ion transport, and facile synthesis. Moreover, heterostructured NWs have shown capability of controls of ion transport by introducing potential profiles in single nanostructures. Our studies have revealed control of Li ion transport behavior in single core/shell NW heterostructure. However, integrated study of electrochemical performances and Li ion transport in NW heterostructures has rarely been studied. We present charging rate-dependent electrochemical performances and structural/chemical characteristics of Si/Ge core/shell NW heterostructures. Si/Ge core/shell NW heterostructures show the potentials of utilizing both the high capacity of Si and fast ion diffusion in Ge.
The Si/Ge core/shell NW heterostructures were directly grown on stainless steel current collectors by low-pressure chemical vapor deposition. The electrochemical performances of the NW heterostructures were assessed with half-coin cell battery under different charging/discharging rates in the range of 0.2 and 5 C. The capacities of the NW heterostructures exceed that of Si NWs under the charging rates of >3 C. The structural characteristics were investigated by high-resolution transmission electron microscopy and energy dispersive X-ray spectroscopy. The C-rate dependency of the kinetic parameters was investigated by electrochemical impedance spectroscopy. Based on the capacity, kinetic, and microscope information, the ion transport mechanism in Si/Ge core/shell NW heterostructures will be discussed.
3:45 PM - NM1.6.05
Carrier Transfer across the GaNP Nanowire—Electrolyte Interface Studied by Spin Trapping Experiments
Detlev Hofmann 3 , Jan Philipps 3 , Jan Stehr 1 , Irina Buyanova 1 , Charles Tu 2 , Martin Eickhoff 3
3 Institute of Experimental Physics I Justus-Liebig-University-Giessen Giessen Germany, 1 Department of Physics Linköping University Linköping Sweden, 2 Department of Electrical and Computer Engineering University of California San Diego United States
Show AbstractGaP nanowires doped with a few percent of nitrogen show a strong near bandgap emission at room temperature and have a high surface to volume ratio. Both properties are required to use the material as opto-chemical sensor or for water splitting applications. We therefore investigated the transfer of photo-excited carriers form GaP- and GaNP - nanowires to an electrolyte by bias dependent photo-current and electron paramagnetic resonance experiments using DMPO (5,5-dimethly-1-pyrroline-N-oxide) as a spin trap. This novel approach reveals that OH- radicals are created by the hole transfer from the semiconductor to the electrolyte all over the complete applied bias range from -1000 mV to +1500 mV. In contrast the photocurrent changes from cathodic to anodic at the open circuit potential of the of the 3 electrode setup in which the nanowire sample acts as working electrode. The experiments show that the transfer of holes from the nanowires to the electrolyte is much more efficient for GaNP-nanowires compared to that of GaP-nanowires. This indicates that the GaNP nanowires are favourable for applications. The results will be discussed in the frame of the surface-band- bending-model for the semiconductor nanowire – electrolyte interface.
NM1.7: Light-Matter Interactions in Nanowires—Modeling and Spectroscopy Measurements
Session Chairs
Tuesday PM, November 29, 2016
Hynes, Level 2, Room 206
4:30 PM - *NM1.7.01
Encoding Active Device Elements at Nanowire Tips
Hong-Gyu Park 1 , You-Shin No 1 , Ruixuan Gao 2 , Max Mankin 2 , Robert Day 2 , Charles Lieber 2
1 Korea University Seoul Korea (the Republic of), 2 Harvard University Cambridge United States
Show AbstractSemiconductor nanowires (NWs) are powerful tools for highly sensitive and spatially-confined electrical and optical signal detection in biological and physical systems. However, it has been challenging to spatially localize active electronic or optoelectronic device function at one end of NWs. In this work, we present a new bottom-up synthetic scheme for tip-modulated NWs with nanoscale p-n junction at the tips. Electron microscopy studies characterize the synthesized NWs with p-Si core/SiO2 inner shell/n-Si outer shell configurations with clean p-Si/n-Si tip junction. Electrical transport measurements with independent contacts to the p-Si core and n-Si shell exhibited a current rectification behavior through the tip and no detectable current through the SiO2 shell. Water-gate experiments also exhibited ~90% device sensitivity localized to within 0.5 μm from the NW p-n tip. In addition, photocurrent experiments showed an open-circuit voltage of 0.75 V at illumination power of ~28.1 μW, exhibited linear dependence of photocurrent with respect to incident illumination power with an estimated responsivity up to ~0.22 A/W, and revealed localized photocurrent generation at the NW tip. These tip-modulated NW devices provide substantial opportunity in areas ranging from biological and chemical sensing to optoelectronic signal and nanoscale photodetection.
5:00 PM - NM1.7.02
Surface-Enhanced Infrared Absorption Using Highly Doped InAsSb/GaSb Nanostructures
Maria Jose Milla Rodrigo 1 2 , Franziska Barho 1 2 , Fernando Gonzalez-Posada 1 2 , Laurent Cerutti 1 2 , Benoit Charlot 1 2 , Mario Bomers 1 2 , Eric Tournie 1 2 , Thierry Taliercio 1 2
1 Institute d electonique et des Systèmes Montpellier France, 2 IES Institute of Electronics and Systems UMR5214 Montpellier France
Show AbstractPlasmonic nanostructures have been proposed as potential materials for the development of biochemical sensors [1] and surface-enhanced spectroscopy [2]. Noble metal nanostructures especially those based on gold and silver resonators have been generally used as the best candidates for surface plasmon technology. Nevertheless, they show some limitations such as poor stability to environmental conditions, incompatibility to Si-technology and difficulty to reach the mid-infrared (mid-IR) spectral range and thus the molecular fingerprint region [3]. Recently, highly doped semiconductors (HDSC) have emerged as alternative materials for the development of plasmonic devices in the mid-IR. They allow the tuning of the plasma properties by adjusting the doping level and the size of the nanostructures as well as lab-on-a-chip integration [4,5].
In this work, we demonstrate the well suitability of a system based on 1-dimensional periodic nanostructures of Si-doped InAsSb/GaSb semiconductor for mid-IR plasmonic applications. The samples were grown by molecular beam epitaxy and the nanoribbons fabricated using photo-lithography and acid wet-etching with a nanostructures array pattern of 2μm pitch and adjustable width. We performed infrared-optical reflectance measurements under different environments for localized surface plasmon (LSP) wavelength determination.
We show a detailed analysis of the surface plasmon resonance (SPR) and surface enhanced infrared absorption (SEIRA) sensing as a function of the nanoantennas doping level and ribbon size. The nanoantennas were subjected to different environments showing a clear reflectance redshift when changing the external conditions. Thin Polydimethylsiloxane (PDMS) layers (~15 nm) was used to demonstrate SEIRA sensing. The nanoantennas were designed to have a LSPR peak centred at 12.5 μm (800 cm-1), where PDMS presents a strong absorption line. We report a dependence of the SEIRA sensing on the doping level of the nanoantenna. These results make InAsSb/GaSb HDSC very suitable for the development of highly sensitive and selective biosensing devices in the molecular fingerprint region.
5:15 PM - NM1.7.03
Quantum-Dot Like Localization in AlGaN Nanowires
Bruno Gayral 1 , Matthias Belloeil 1 , Bruno Daudin 1
1 CEA-Grenoble Grenoble France
Show AbstractAlxGa1-xN nanowires (NWs) are considered to be a promising solution for solid-state ultraviolet (UV) emission. Their high crystalline quality, resulting from the absence of extended defects such as dislocations or grain boundaries, should allow UV-light emitting diodes (LEDs) based on such NWs to reach high internal quantum efficiencies. AlGaN and AlN NW-based UV-LEDs operating at low and room temperature have notably been achieved recently. The basic physics of ternary alloys in NWs, and in particular of AlGaN NWs is however still to be explored.
Here we report on the study of the structural and optical properties of AlGaN sections grown on top of GaN NWs on Si (111) substrates by plasma-assisted molecular beam epitaxy, in particular as a function of AlGaN growth temperature and ternary alloy composition
Several series of samples with AlxGa1-xN sections on top of GaN NWs were grown in N-rich conditions, at different average AlN molar fractions (x in the 0.3-0.6 range) determined by X-ray diffraction. For each series, GaN NW bases were grown in the same conditions and the metallic fluxes were fixed to the same values, while the AlGaN growth temperature was varied over a much wider range (650-875°C) than previously investigated.
Surprisingly, scanning electron microscopy reveals that pure AlN sections nucleate preferentially on top of the GaN section before AlGaN sections actually grow, despite the large AlN/GaN lattice mismatch. The length of these AlN sections decreases when the AlGaN growth temperature is decreased, or when the AlN molar fraction is decreased, thus indicating that this AlN interlayer is due to growth kinetics.
Optical properties were first studied by photoluminescence of ensembles of nanowires and of single dispersed nanowires. Microphotoluminescence of single nanowires reveals a broad spectrum made of sharp lines with linewidths in the 1-5 meV range. This kind of spectrum is similar to what one obtains when probing in luminescence an ensemble of quantum dots. We thereafter performed photon correlation measurements in a Hanbury-Brown and Twiss set-up, which showed that indeed, antibunching is observed when probing a single line. Such a single AlGaN nanowire thus behaves as a collection of quantum dots, which we attribute to localization centers due to Ga-richer regions in the AlGaN matrix.
We will discuss in more details what motivates this interpretation. A notable consequence is that counting in a simple microphotoluminescence study the number of sharp lines observed allows to determine the spatial density of such localized emission centers. Finally, we will also discuss time-resolved photoluminescence on such sharp emission lines. Indeed, for three-dimensionally confined excitons, the radiative lifetime is inversely proportional to the exciton volume. The measurement of the distribution of luminescence lifetimes thus allows to directly probe the size homogeneity of these localization centers in the AlGaN alloy.
5:30 PM - *NM1.7.04
Novel Classical and Quantum Photonic Devices by Manipulating Light-Matter Interactions in Low-Dimensional Systems
Ritesh Agarwal 1
1 University of Pennsylvania Philadelphia United States
Show AbstractStrongly confined electrical, optical and thermal excitations drastically modify material’s properties and break local symmetries that can enable precisely tunable novel responses and new functionalities. With an emphasis on low-dimensional materials such as nanowires and monolayer MoS2, we will discuss how extreme confinement of fields interacting with low-dimensional materials produces new and unexpected materials response. For example, we will discuss how the strong plasmonic fields can lead to a new paradigm of nanoscale Si-based photonics such as optical emission in the visible region and nonlinear optical devices. Furthermore, by utilizing the fundamental symmetry breaking properties of fields, new nonlinear optical properties and quantum phenomena such as chirality-dependent optical and electronic properties will be discussed in non-chiral materials and utilized to enable new functionalities that are only possible in strong spin-orbit coupled materials. The role of geometry such as in nanowires to produce new properties in the presence of symmetry breaking fields will be discussed. Finally, effect of plasmons on light matter interactions in 2D excitonic crystals will be discussed, which can be engineered to produce novel responses such as enhanced emission, Fano resonances and strongly coupled polaritons.
NM1.8: Poster Session I: Nanowire Growth
Session Chairs
Wednesday AM, November 30, 2016
Hynes, Level 1, Hall B
9:00 PM - NM1.8.01
H2S Sensing with Zinc Oxide Nanowires
Florian Huber 1 , Soeren Riegert 1 , Manfred Madel 1 , Klaus Thonke 1
1 Institute of Quantum Matter / Semiconductor Physics Group Ulm University Ulm Germany
Show AbstractWe present detailed investigations on the sensing properties of zinc oxide (ZnO) nanowires towards hydrogen sulfide (H2S). H2S is a potential medical agent and the therapeutic capabilities, especially of so-called “slow releasing H2S-donors” as potential anti-cancer agents, are in the focus of recent research. For monitoring the H2S content in the breath of a patient a fast and sensitive sensing device is needed. Main challenge is the extremely low clinically relevant concentration of just some ppb, which has to be detected.
Our relatively simple H2S sensor is based on the electrical readout of very thin ZnO nanowires. The nanowires were grown by gold-catalyzed chemical vapor deposition on silicon, and subsequently separated from the substrate. By using dielectrophoresis to place the nanowires on a gold structure, a large amount of nanowires were electrically contacted in parallel in a fast and very cheap way. The sensing behavior of these structures is investigated in a temperature stabilized setup applying a constant voltage to the nanowires. Exposing the sensor to H2S leads to an increase of the current through the nanowires. We find that oxygen plays an important role in the sensing mechanism, both in the recovery of the sensors after H2S detection and in the detection process. E.g., pure nitrogen cannot reset the sensor, and the conductivity remains at high level even in pure nitrogen ambient. By flushing with air, oxygen is adsorbed at the nanowire surface, and the initial conductivity level can be recovered. According to our findings, H2S does not interact directly with the ZnO nanowires, but reacts with adsorbed oxygen at the surface of the nanowires, influencing this way indirectly the surface-near band bending.
With our device we could realize multiple sensing cycles, and an extremely low concentration of only 50 ppb H2S could be detected, showing the potential of this material system for medical applications.
9:00 PM - NM1.8.02
Wedding Cake Growth Mechanism in One-Dimensional and Two-Dimensional Nanostructure Evolution
Xin Yin 1 , Jian Shi 4 , Xiaobin Niu 3 , Dalong Geng 1 , Hanchen Huang 2 , Xudong Wang 1
1 University of Wisconsin-Madison Madison United States, 4 Rensselaer Polytechnic Institute Troy United States, 3 University of Electronic Science and Technology of China Chengdu China, 2 Northeastern University Boston United States
Show AbstractMorphology is one essential element that gives rise to extraordinary physical, chemical, and mechanical properties in nanomaterials. Precise morphology control of nanomaterials is a notorious task, which heavily relies on fundamental understanding of the governing atomistic mechanisms and kinetics at the nanoscale. Despite numerous studies on the growth and application of nanostructures, current understanding of kinetics that governs the nanocrystal evolution is yet limited.
By programming deposition conditions at time domain, we observed the wedding cake growth mechanism in the formation of 1D and 2D ZnO nanostructures. Within a narrow growth window, the surfaces of 2D structures were covered with a unique concentric terrace feature. This mechanism was further validated by comparing the characteristic growth rates to the screw dislocation-driven model. An interesting 1D to 2D morphology transition was also found during the wedding cake growth, when the adatoms overcome the Ehrlich-Schwoebel (ES) barrier along the edge of the top crystal facet triggered by lowering the supersaturation. The evolution of 2D plate structure from 1D pillars represents a dynamic crystal growth behavior transition when the local deposition conditions were tuned in-situ. It lively recorded the wedding cake growth model in nanostructure formation from vapor phase, which was rare to be observed when the deposition conditions were remained constant. The terrace feature on these nanostructures provided a valuable platform for understanding the wedding cake growth kinetics that could be an important mechanism to design and predict the nanocrystal morphology formation from the bottom-up. Analyzing the supersaturation and temperature-related growth behavior provides a new insight into nanostructure growth mechanisms and morphology control.
Wedding cake growth is a layer-by-layer growth model commonly observed in epitaxial growth of metal films, featured by repeated nucleation of new atomic layers on the topmost surface owing to the confinement of the Ehrlich–Schwoebel (ES) barrier. This study expands the application of the wedding cake growth mechanism to the nanostructure growth. It enriches our understanding on the fundamental kinetics of nanostructured crystal growth and provides a transformative strategy to achieve rational design and control of nanoscale geometry.
9:00 PM - NM1.8.03
Near-Quantitative Synthesis and Plasmonic Metal Modification of Chiral Semiconductor Helices
Wenchun Feng 1 , Ji-Young Kim 1 , Xinzhi Wang 1 , Heather Calcaterra 1 , Nicholas Kotov 1
1 Department of Chemical Engineering University of Michigan Ann Arbor United States
Show AbstractChiral semiconductor nanostructures present rich materials platforms for polarization optics, photocatalysis, and biomimetics. However, unlike plasmonic metals and organic optical materials, the relationship between the geometry of chiral semiconductors and their chiroptical properties remains enigmatic. Enantioselective preparation of semiconductor nanohelices from cadmium telluride nanoparticles (CdTe NPs) provides an opportunity to simulate and experimentally validate complex relationships between geometrical parameters and chiroptical properties of semiconductor nanostructures using circular dichroism (CD) spectroscopy. The chiral nanohelices were enantioselectively prepared using collective chiral interaction and self-organization. These semiconductor nanohelices can template the conformal deposition of plasmonic materials (such as gold) for modulation of chiroptical response and surface properties. Gold-coated nanohelices may be potentially useful in chiral catalysis and biological applications due to the expectedly lower cytotoxicity compared to the original CdTe nanohelices. This work provides a comprehensive understanding of the structure-property relationships of chiral semiconductor nanostructures, as well as ushering in a new class of micron-scale chiral plasmonic materials suited for chiral catalysis and biomedical applications.
9:00 PM - NM1.8.04
Low Temperature Synthesis of Germanium Nanowires Using Oligosilylgermane Precursors
Mohammad Aghazadeh Meshgi 1 , Subhajit Biswas 2 3 , Ilse Letofsky-Papst 4 , Peter Poelt 4 , Justin D. Holmes 2 3 , Christoph Marschner 1
1 Institute of Inorganic Chemistry Graz University of Technology Graz Austria, 2 Materials Chemistry and Analysis Group, Department of Chemistry and the Tyndall National Institute University College Cork Cork Ireland, 3 Advanced Materials and BioEngineering Research at the Centre for Research on Adaptive Nanostructures and Nanodevices Trinity College Dublin Dublin Ireland, 4 Institute of Electron Microscopy and Nanoanalysis Graz University of Technology Graz Austria
Show AbstractNew oligosilylgermane (OSG) precursors have been employed to synthesis crystalline germanium nanowires (Ge NWs) with a rapid process in solution at a reaction temperature as low as 180 °C, using indium nanoparticles as catalytic seeds. Upon decomposition the OSG compounds release reactive Ge atoms into solution, along with highly stable oligosilyl functional groups, as confirmed by 29Si NMR spectroscopy. The incorporation of Si in the Ge nanowires was not detected over the temperature range investigated, between 180-400 °C. The decomposition behaviour of the OSG precursors was found to strongly depend on their molecular structure, the reaction temperature and the presence of oxidising agents such as trioctylphosphine oxide. These parameters could be manipulated to control the rate of reactive Ge(0) release into solution which affected the morphology and crystallinity of the Ge nanostructures formed, e.g. nanoparticles, microparticles, curly nanowires and single crystalline straight nanowires with smooth surfaces could be produced. Depending on the synthesis temperature and the type of OSG precursor employed, Ge NWs were doped with indium atoms between 0.9 to 1.7 at. %. The solution process developed is rapid and highly scalable and the Ge NWs produced are of sufficient quality for use in a range of applications, including batteries, sensors and photoresistors.
9:00 PM - NM1.8.05
Lead-Free Perovskite Nanowire Photodetector with Improved Stability
Aashir Waleed 1 , Mohammadmahdi Tavakoli 1 , Umar Siddique Virk 1 , Zhiyong Fan 1
1 Hong Kong University of Science and Technology Hong Kong Hong Kong
Show AbstractOrganohalide lead perovskite materials have attracted enormous attention due to their intriguing properties such as long diffusion length, tunable band gap, and excellent light absorption. Recently, one-dimensional (1D) nanostructures for organic perovskites have opened new horizons for optoelectronic devices. In fact, 1D nanostructures has the exciting feature of guided carrier transportation in one direction, which makes them suitable candidates for many devices like diodes and transistors. Aside from all these advantages of organohalide Lead perovskites, one of the concern about these materials is toxicity of Lead (Pb) metal. Previously only a few works have tried to cope up with toxicity issue by replacing the lead metal with other metal such as Tin (Sn). These works suggested that orgahalide Tin Perovskite materials can solve the toxicity and increase photo conversion abilities over organic lead perovskites but their stability is worse than Lead perovskites. MASnI3 are not stable in ambient air due to oxidation and chemical instability of tin metal in required 2+ oxidation state, however it is worth noting that tin perovskite stability can be improved by suitable packaging techniques.
Here, we report fabrication of tin perovskite 1D nanowires based photodetector with enhanced stability by using a new approach of Chemical Vapor deposition (CVD) for the first time. So far, 1D nanostructures of tin perovskite based devices have not been explored as per our knowledge. Ordered and uniform arrays of tin perovskite nanowires have been grown up inside Anodized Aluminum Oxide (AAO) membrane using tin metal as a precursor, which is electrodeposited at the bottom of AAO nanochannels. These nanowires come up with feature of geometry tune ability (Radius and length of nanowire can be tuned) by altering geometry and shape of AAO template. The second advantage of this work is enhanced stability of grown tin perovskite nanowires inside AAO template. AAO template not only guides the nanowire growth direction but also provides protection from ambient air condition. The results of stability test of tin perovskite thin film compared with tin perovskite nanowires grown inside AAO show the enhanced stability of tin perovskite nanowires. Based on tin perovskite nanowires, a photodetector device is realized with 1010 Jones detectivity and enhanced responsivity measures using Aluminum and Gold as electrodes. Overall, this work presents low cost fabrication of an efficient tin perovskite nanowires based photodetector with improved stability.
9:00 PM - NM1.8.06
Single Crystal Silicon Nanowires Fabrication Based on Top-Down Approach
Zuhal Tasdemir 1 , Davide Sacchetto 2 , Yusuf Leblebici 3 , B. Erdem Alaca 1
1 Koc University Istanbul Turkey, 2 Swiss Center for Electronics and Microtechnology Neuchatel Switzerland, 3 Ecole Polytechnique Fédérale de Lausanne Lausanne Switzerland
Show AbstractOne-Dimensional (1D) nanostructures have gained a considerable attention in the field of semiconductor technology for the past several decades. With the help of rapid development in the process technology, it becomes possible to scale these nanostructures down, leading to higher performance devices. Advancements in the fabrication technologies enable the integration of nanostructures with the large-scale structures on the same chip, thus combining the superior functionality brought up by nanoscale element with the bigger functional structures. Even though there are some techniques which use bottom-up approach, the resulted structures suffer from the alignment and manipulation (pick and place) issues making a reliable integration even more difficult to achieve due to interfacing problems. In order to eliminate assembly issues, the limits of the conventional micro/nano-fabrication processes should be addressed and further studied. In addition, the use of single-crystal silicon in conventional micro/nano-fabrication processes gives the advantage of improved mechanical performance thanks to the lack of impurities and crystallographic defects as compared to their bottom-up counterparts. In this study, we aim to develop a novel fabrication technique which allows the co-fabrication of both micro and nano-structures on the same chip at the same time without any need of further alignment or manipulation. For this purpose, we modified the well-known micromachining process called SCREAM (Single Crystal Reactive Etching and Metallization) to be able to use it for the nano-scale. Linewidths of silicon nanowire is patterned on the silicon wafer by using e-beam lithography. A special reactive ion etching recipe is developed to both suspend the patterned nanowire along with etching the whole silicon underneath, which will form the rest of the microscale device. The fabricated structures vary between 20 nm- 80 nm in diameter and 1 µm to 12 µm in length. The maximum trench depth of 10 µm is achieved giving rise to an aspect ratio (trench depth/ linewidth) of 500. High-resolution imaging is carried out both by SEM and TEM. Cross-sectional area analysis is carried out by coating a thin Platinum layer with atomic layer deposition and cross-sections are further analyzed quantitatively by using TEM. The measured values are related to the process parameters to gain control on the dimensions both in-plane and out-of- plane. This work provides a significant contribution to the monolithic fabrication of silicon nanowires where crystalline orientation, location and dimensions are solely determined by the layout design. Although an etch depth of 10 µm is demonstrated, guidelines are as well provided to increase the depth to 50 µm and beyond. The developed technology is unique and has important implications for the future integration of nanowires with microsystems.
9:00 PM - NM1.8.07
Catalyst Free GaN Nanowires on Si (211) Substrates Grown Using Plasma Assisted Molecular Beam Epitaxy for Sensing Applications
Mansi Agrawal 1 , Anubha Jain 2 , Bodh Mehta 1 , Rangarajan Muralidharan 3
1 Department of Physics Indian Institute of Technology Delhi New Delhi India, 2 Solid State Physics Laboratory Delhi India, 3 Centre for Nano Science and Engineering Indian Institute of Science Bangalore India
Show AbstractIn this study, we investigated GaN nanowires grown without any catalyst on Si (211) substrates by plasma assisted molecular beam epitaxy [1] for sensing applications. The stepped nature of the Si (211) surface [2] was exploited for the study of GaN nanowires based sensors. Field emission scanning electron microscopy measurements indicate that the nanowires are self aligned, highly dense, and oriented perpendicular to the Si (111) terrace and at about 700 with respect to Si (211) substrate. Photoluminescence spectroscopy results showed a sharp band edge emission peak at about 3.42 eV which revealed high optical quality of the grown nanowires. The GaN nanowires were then used for the detection of NOx gas. For such measurements, two ohmic contacts using Indium were deposited on the surface of nanowires and resistance between contacts was measured as a function of time. GaN nanowires showed a response (δR/R) of about 24% along with a fast recovery time to 100 ppm of NOx at room temperature with excellent repeatability. Gas sensing experiments indicate that GaN nanowires on Si(211) substrates show an excellent sensitivity to NOx gas due to the preferential orientation of the GaN nanowires in comparison to GaN nanowires grown on Si (111) substrates where they are oriented perpendicular to the Si(111) substrate. Our study suggests that GaN nanowires on Si (211) substrates have potential for the detection of hazardous gases like NOx due to faster response time and large surface sensitivity.
References:
1.Mansi Agrawal, Anubha Jain, D.V. Sridhara Rao, Akhilesh Pandey, Anshu Goyal, Anand Kumar, Sushil Lamba, B.R. Mehta, K. Muraleedharan, R. Muralidharan, J. Cryst. Growth, 402 (2014).
2.C. Fulk, S. Sivananthan, D. Zavitz, R. Singh, M. Trenary, Y. Chen, G. Brill, N. Dhar, J. Electron. Mater. 35 (2006) 1449.
9:00 PM - NM1.8.08
SiGe and Ge Nanowires Grown by Plasma-Assisted VLS Using Indium as a Catalyst
Jian Tang 1 , Jun Wang 1 , Jean-luc Maurice 1 , Wanghua Chen 1 , Martin Foldyna 1 , Erik Johnson 1 , Pere Roca i Cabarrocas 1
1 Laboratoire de Physique des Interfaces et des Couches Minces Ecole Polytechnique Palaiseau France
Show AbstractThe Si-Ge system has attracted tremendous interest but has not been used yet in nanowire (NW) radial junction solar cells. So far we have been using Si NWs to successfully fabricate low cost high efficiency NW solar cells. SiGe NWs and Ge NWs have high potential to enhance these solar cells performance. Because adding Ge to SiNWs enhances the carrier mobility and allows adjusting the bandgap and absorption. Moreover Ge-Si core-shell NW structure can enhance carrier separation. In this study, SiGe and Ge NWs have been produced by plasma-assisted vapor-liquid-solid (VLS) method using Indium as a catalyst. The chemical composition of the SiGe NWs has been studied quantitatively using Raman spectroscopy, energy-dispersive X-ray spectroscopy (EDX) inside Transmission electron microscopy (TEM) setup and Scanning electron microscope (SEM) setup. A Ge atomic fraction from 0 to 100% has been achieved. We found that the atomic ratio of Ge/(Si + Ge) in SiGe NWs is around 5 times larger than the flow rate ratio of GeH4/( SiH4 + GeH4) in the precursor gas. Ge mapping of the SiGe NW have been acquired by scanning transmission electron microscopy (STEM) EDX analysis and shows that the Ge distribution inside the NWs is uniform. For pure Ge NWs, we found a process window for which micrometers long and straight Ge NWs with a smooth surface and constant diameter (10-20 nm) from bottom to top can be obtained. In order to get information of the growth process, a 500 µm thick mask has been put in the center of the substrate before GeNW growth, and we studied the NW morphology as a function of the distance from the mask. As the distance to the mask increases, the length of Ge NWs varies from 0 to several µm. Near the mask, only catalyst droplets with diameters around 20 nm lead to NW growth, while the large size catalyst droplets (~100 nm) produce bulk Ge crystals. As the distance from the mask increases, both the Ge NW length and the bulk crystal size increase. To our knowledge, this is the first report which shows NWs with constant diameter obtained by plasma-assisted VLS growth. TEM studies show that straight SiGe NWs are usually monocrystalline and that the crystalline defects are mainly twins.
9:00 PM - NM1.8.09
From Defect-Free InAsSb Nanowires to InAs Hockey-Sticks
Heidi Potts 1 , Gozde Tutuncuoglu 1 , Martin Friedl 1 , Nicholas Morgan 1 , Kechao Tang 2 , Federico Matteini 1 , Jean-Baptiste Leran 1 , Paul McIntyre 2 , Anna Fontcuberta i Morral 1
1 École Polytechnique Fédérale de Lausanne Lausanne Switzerland, 2 Stanford University Stanford United States
Show AbstractInAs and InSb nanowires offer an interesting platform for future electronic devices and to probe novel physical properties. High purity InAs nanowires can be grown using catalyst-free molecular beam epitaxy. However, self-catalyzed InAs nanowires typically show polytypism, their electrical properties strongly depend on their surface, and they are limited to being grown in <111> direction.
In this work we grow InAs(Sb) nanowires on GaAs(111)B substrates without a foreign catalyst. We find that incorporation of antimony suppresses polytypism, and twin-free pure zinc blende nanowires are found for nanowires with an antimony content above 25% [1]. We further study the effect of surface passivation on the electrical properties of InAs(Sb) nanowires. To do this, nanowires are first capped with a thick layer of metallic arsenic in order to prevent oxidation, and then a high quality alumina layer is grown using atomic layer deposition [2]. Nanowire devices are fabricated using ebeam lithography in order to study their electrical properties. We find that the passivated nanowires show better reproducibility, and the alumina layer allows fabrication of top-gated field-effect transistors which show almost no hysteresis [2]. Finally, we also investigate the formation of indium droplets on InAs(Sb) nanowires, and demonstrate how they can be used to change the growth direction. Indium droplets on nanowires can be formed by annealing InAs(Sb) nanowires in vacuum to preferentially evaporate the group V elements. Droplet formation starts on the top facet of the nanowires until the droplet reaches a critical size and slides down onto the nanowire side facets. The indium droplets can then be used as collector particles to continue growth. We observe that the nanostructure growth follows the orientation of the droplets. Therefore, depending on the droplet position, the resulting nanostructures are either straight nanowires, or L-shaped ‘hockey-sticks’. This approach allows one to study the properties of nanostructures with crystal orientations that are not accessible conventionally.
In conclusion, we demonstrate the growth of twin-free nanowires by incorporation of antimony and demonstrate the importance of surface-passivation. We further investigate the formation of indium droplets as an approach to change the growth direction of InAs(Sb) nanostructures. Our results help to understand the growth of catalyst-free InAs(Sb) nanowires and are an important step towards nanowire based electronic devices.
[1] H. Potts, M. Friedl, F. Amaduzzi, K. Tang, G. Tütüncüoglu, F. Matteini, E. Alarcon Llado, P.C. McIntyre, A. Fontcuberta i Morral. Nano Letters 16, 637 (2016)
[2] J. Ahn, T. Kent, E. Chagorov, K. Tang, A. C. Kummel, P.C. McIntyre. Applied Physics Letters 102, 071602 (2013)
9:00 PM - NM1.8.10
Crucial Role of Crystal Growth Interface on Conduction Property of Vapor-Liquid-Solid Oxide Nanowires
Hiroshi Anzai 1 , Kazuki Nagashima 1 , Gang Meng 1 , Fuwei Zhuge 1 , Katsuichi Kanemoto 2 , Takehito Seki 3 , Naoya Shibata 3 , Takeshi Yanagida 1
1 Kyushu University Kasuga Japan, 2 Osaka City University Sumiyoshi Japan, 3 University of Tokyo Bunkyo Japan
Show AbstractSingle crystalline metal oxide nanowires recently gain lots of interest not only as a tool to investigate the fundamental nanoscale physics in oxides but also as building blocks for functional nanodevices due to the exotic properties of oxides which are not attainable in conventional semiconductors and due to the chemical robustness in air and water environments. Theoretically, the metal oxides are electrically insulative with large band gap and therefore the various functionalities of oxides are obtained by means of impurity doping to insulative oxides. However, most of previously reported oxide nanowires exhibited the nontrivial electrical conduction even without intentional impurity doping. This contradiction might be caused by the unintentional doping such as crystal defects and interstitials formed during the nanowire growth, which had been a long standing issue for the device applications using oxide nanowires.
Here we demonstrate the crucial role of two crystal growth interfaces (i.e. vapor-solid (VS) and liquid-solid (LS) interfaces) in vapor-liquid-solid (VLS) nanowire growth on the unintentional doping of tin oxide (SnO2) nanowires for the first time. The VLS growth is known to be the most promising technique to fabricate the highly crystalline oxide nanowire. We found that the SnO2 nanowire with sidewall growth showed 7 orders of magnitude higher conductivity than the one without sidewall growth. The spatial distribution analysis of conduction property in single SnO2 nanowire clearly showed that the crystal grown from the VS interface governs the electrical conduction of nanowire. Furthermore, the STEM-EELS analysis clearly exhibited that the VS growth has more oxygen deficiencies than the LS growth, suggesting that the conductivity observed in VS grown crystal was related to such oxygen deficiencies, and they can be diminished by precisely suppressing the VS crystal growth. According to the mechanism clarified in this study, we successfully achieved the highly crystalline insulative SnO2 nanowires (~1014Ohm) by precisely controlling the VLS nanowire crystal growth. Thus our findings provide a way to explore and modulate the intrinsic property of oxide nanowires towards the novel functional nanodevices.
9:00 PM - NM1.8.11
Rational Concept for Reducing Growth Temperature of Vapor-Liquid-Solid Metal Oxide Nanowire Growth
Kazuki Nagashima 1 , Zetao Zhu 1 , Masaru Suzuki 1 , Gang Meng 1 , Masaki Kanai 1 , Hiroshi Anzai 2 , Fuwei Zhuge 1 , Yong He 1 , Takeshi Yanagida 1
1 Institute for Materials Chemistry and Engineering Kyusyu University Kasuga Japan, 2 Kyusyu University Kasuga Japan
Show AbstractSingle crystalline metal oxide nanowires have attracted lots of interests in various application fields such as optoelectronics, energy harvesting/storage, and sensors due to their large surface-to-volume ratio, carrier transport without crystal boundaries, and chemical robustness in various environments. A vapor-liquid-solid (VLS) growth is well-investigated method to construct the single crystalline nanowire structure via a metal catalyst. However, the growth temperature of VLS oxide nanowire growth has been high, which had limited the range of potential applications. Here we propose a rational design concept to reduce the growth temperature for various VLS oxide nanowire growth beyond the previous empirical limit. Molecular dynamics (MD) simulations theoretically predicts the possibility to reduce the growth temperature of VLS nanowire growth by precisely controlling the vapor flux. This concept is based on the fact that the appropriate vapor flux for VLS nanowire growth decreases with decreasing the growth temperature. Experimentally, we found the applicability of this concept for reducing the growth temperature of VLS processes for various metal oxides including MgO, SnO2 and ZnO. Furthermore, we employed this concept for the applications to various substrates such as ITO glass and polyimide, which had been difficult previously. This general concept for designing the growth temperature gives us the tremendous opportunities to expand the range of nanodevice applications using well-defined single crystalline oxide nanowires.
9:00 PM - NM1.8.12
‘Material Flux Window Principle’ for Designing a Vapor-Liquid-Solid Metal Oxide Nanowire Growth
Kazuki Nagashima 1 , Annop Klamcheun 2 , Masaru Suzuki 1 , Hideto Yoshida 3 , Masaki Kanai 1 , Gang Meng 1 , Fuwei Zhuge 1 , Yong He 1 , Shoichi Kai 4 , Seiji Takeda 3 , Tomoji Kawai 3 , Takeshi Yanagida 1
1 Institute for Materials Chemistry and Engineering Kyusyu University Kasuga Japan, 2 National Nanotechnology Center National Science and Technology Development Agency Bangkok Thailand, 3 Institute of Scientific and Industrial Research Osaka University Ibaraki Japan, 4 Research Institute for Time Studies Yamaguchi University Yamaguchi Japan
Show AbstractMetal oxide nanowires hold great promise for various device applications due to their unique and robust physical properties in air and/or water and also due to their abundance on Earth. Vapor-liquid-solid (VLS) growth of metal oxide nanowires offers the high controllability of their diameters and spatial positions. In addition, VLS growth has applicability to axial and/or radial heterostructures, which are not attainable by other nanowire growth methods. However, material species available for the VLS growth of metal oxide nanowires are substantially limited even though the variation of material species, which have fascinating physical properties, is the most interesting feature of metal oxides. Here we demonstrate a rational design rule for the VLS growth of various metal oxide nanowires, so-called “material flux window principle”. This material flux window offers the concept of VLS nanowire growth only within a limited material flux range, where nucleation preferentially occurs only at a liquid-solid interface. Although the material flux was previously thought to affect primarily the growth rate, we experimentally and theoretically demonstrate that the material flux is the most important experimental variable for the VLS growth of metal oxides. According to the material flux window principle, we discovered novel metal oxide nanowires, including MnO, CaO, Sm2O3, NiO, and Eu2O3, which were previously impossible to form via the VLS route. Furthermore, newly grown NiO nanowire showed the excellent stability in memristive switching superior to the conventional polycrystalline device due to its single crystalline nature. Thus this new VLS design route gives us a useful guideline for the design and discovery of novel single crystalline nanowires that are composed of functional oxide materials.
[Ref] K. Nagashima et al. Nano Lett. 15, 6406 (2015)
9:00 PM - NM1.8.13
Synthesis of GeSn Alloy Nanowires with High Tin Content Using Molecular Sources
Sven Barth 1 , Michael Seifner 1 , Patrik Pertl 1
1 Vienna University of Technology Vienna Austria
Show AbstractGroup IV semiconductor nanowires are interesting building blocks for electronic and optoelectronic devices. However, the light emission and absorption characteristics can change dramatically, when a heavier homologue is incorporated in the crystal structure. Germanium can be converted in a tuneable narrow direct bandgap material by alloying with Sn to form a metastable Ge1−xSnx phase. This metastable group IV alloy can be used for band structure engineering by varying the tin content. Ge1−xSnx alloys are usually formed in gas phase processes taking advantage of homo- or heteroepitaxy between the growing layer and the substrate. The transition from an indirect to a direct bandgap was demonstrated for tin contents of approx. 11 % , which is far above the equilibrium solubility of Sn in Ge (<1%).
This contribution will address our strategy for the first bottom–up synthesis of Ge1–xSnx nanowires in a microwave supported, solvent-based growth process without the use of a substrate. The microwave assisted procedure allows us to grow Ge1−xSnx nanowires with tin contents of >11 % (up to 28 %), which is well above the limits of other bottom up approaches in liquids described in literature (<4.3 %).[1] The growth mechanism as well as the active metalorganic species involved in the growth will be discussed.[2] Different growth stages during the formation of nanowires can be distinguished and a diameter dependence of the Sn content in Ge1−xSnx nanowires is observed.
References:
[1] S. Barth, M. S. Seifner, J. Bernardi Chem. Commun. 2015, 51, 12282-12285.
[2] M. S. Seifner, F. Biegger, A. Lugstein, J. Bernardi, S. Barth Chem. Mater. 2015, 27, 6125-6130.
9:00 PM - NM1.8.14
Superhydrophobic Hierarchical Silicon Microstructures Fabricated with a Two-Step Method
Suling Shen 1 , Ni Zhao 1 , Ching Ping Wong 1
1 Department of Electronic Engineering Chinese University of Hong Kong Hong Kong Hong Kong
Show AbstractWater-repellent and self-cleaning of silicon surface are usually highly desired for high performance and reliability of silicon based electronic and photonic devices. Surface structuring is one of the most effective strategies for achieving such properties. Here, we designed and fabricated a series of the hierarchical structures with different dimensions through a silver (Ag) nanoparticle-assisted HF/HNO3 etching process. Different from the previously reported etching direction of (111) - (100) governed by an inhomogeneous electrochemical bias, we found that in our process the flux of etching solution and the HNO3 concentrations also affect the motional direction of the Ag nanoparticles. As a result, our etching process generates an interesting zigzag morphology on silicon pyramid sides with the directional orientation of (110)-(100)-(110). Furthermore we found a strong morphology dependence on the HNO3 concentration. This renders the hierarchical structures tunable, allowing us to carry out further analysis on the structure-property correlations. Under the optimized condition, the hierarchical structure shows excellent superhydrophobic property, achieving a contact angle of 158° and hysteresis of 1.2° without any low surface-energy-polymer treatment. We systematically analyzed the correlation between the surface nanostructure and the superhydrophobic characteristics, based on which a microscopic model describing the behavior of the three-phase contact line is proposed.
9:00 PM - NM1.8.15
Study of Initial Stages of Ordered GaAs NW Growth in Views of Optimizing the Yields
Jelena Vukajlovic Plestina 1 , Wonjong Kim 1 , Gozde Tutuncuoglu 1 , Federico Matteini 1 , Heidi Potts 1 , Anna Fontcuberta i Morral 1
1 Material Science École Polytechnique Fédérale de Lausanne Lausanne Switzerland
Show AbstractNowadays it is of technological importance to find a reproducible way of integration of III-V NWs on silicon or other mismatched substrates. Moreover, vertical NW ordered arrays are of particular importance since patterning the substrate provides control over the NW density and morphology. For this purpose we use two different types of patterns: a) 500 nm high SiO2 nanotubes on silicon a substrate, and b) deep holes etched into a Si substrate masked with nanoholes in a 20 nm thin SiO2 layer. The depths and diameters of the tubes/holes are systematically varied experimental parameters. In previous work we have explored the growth of InAs nanowires in our patterns and shown that a high yield of nanowires can be obtained by optimizing the growth parameters [1].
In this work we focus on patterned growth of GaAs since obtaining GaAs NW arrays has been shown to be challenging and difficult to reproduce. It is known that elements such as gallium pre-deposition, and thickness and composition of the growth mask play an important role for successful growth [2,3]. In that spirit, we are directing our investigation to the initial stages of the growth, more precise to the Ga pre-deposition step. Ga droplet shape and position within the nanoscale opening can be directly correlated with NW morphology [4]. It has been reported that the droplet wetting angle needs to be close to 90 deg in order to promote vertical NW growth [5]. The tube diameter and modification of surfaces within the template can influence the wetting behavior of liquid phase, what is crucial for controlling the NW orientation [3,4].
Main tools of investigation are scanning electron microscopy and atomic force microscopy. Combining this two techniques we can directly correlate the substrate properties e.g. diameters of the openings, template profiles and depth with the growth conditions and the yields. We found that Ga droplet positioning and then, further, GaAs NW growth is very sensitive to changes in the substrate parameters, and therefore requires the adaptation of growth conditions, particularly Ga predeposition time and arsenic pressure, accordingly. Furthermore, we demonstrated the strong correlation between the yield and size of the template openings, indicating the change in the wetting behavior of liquid Ga within the nucleation site.
References:
[1] J.Vukajlovic-Plestina, et al, submitted to Nanotechnology
[2] S.Plissard, et al, Nanotechnology 2011, 22 275602-1- 7
[3]E.Russo et al, Nano Lett. 2015, 15, 2869−2874
[4]F.Matteini et.al, submitted to Crystal growth and design
[5] F.Matteini et al Cryst. Growth Des. 2015, 15 (7), pp 3105–3109
9:00 PM - NM1.8.16
Computational Modeling of Shape and Orientation Selection of Vapor-Liquid-Solid-Grown Nanowires
Longhai Lai 1 , Alain Karma 1
1 Physics Department and CIRCS Northeastern University Boston United States
Show AbstractVapor-liquid-solid (VLS) growth has been widely used to synthesize semiconductor nanowires (NWs) that can serve as building blocks for various nanotechnology applications ranging from nanoelectronics to sensors to solar energy harvesting. Even though VLS growth has been extensively studied both theoretically and experimentally for decades, basic aspects of this nonequilibrium process remain poorly understood fundamentally. In particular, experimental observations show complicated solid-vapor sidewall morphologies like sawtooth and intricate orientation selection during nanowire growth. Approach of understanding how the NW growth shape and orientation is dynamically selected for various substrates and growth conditions still remains investigating. Progress on such issues has been limited to two dimensions (2D) and hindered by the lack of computational method to simulate NW growth in 3D. Tracking the evolution of faceted solid-liquid and solid-vapor interfaces under the constraint that different facets meet with the isotropic liquid-vapor interface at a triple line has remained a daunting task in 3D. Phase-field method circumvents this difficulty by making all interface spatially diffuse. Thus we exploit the power of the phase-field method to model NW growth quantitatively in 3D for a full set of faceted interfaces corresponding to cusps in the solid-liquid and solid-vapor gamma plots. Our choice of gamma plots is guided by existing experimental measurements and atomistic simulations of small crystal equilibrium shapes in the silicon-gold system. This gamma plot can well reproduce all the facets of equilibrium shape. The simulations reproduce the complete morphological development of elongated nanowires emerging from an initial droplet on a substrate. Further simulations with optimized gamma plot and mobility anisotropy can reproduce more experimentally observed features of NW growth like sawtooth faceting of NW side-walls and NW kinking. Our simulations shed light on fundamental energetic and kinetic interface properties that govern the dynamical selection of both the NW growth shape and orientation. The computational models and theoretical insights developed in this study enhance current capabilities to design and control complex VLS grown NW morphologies in a wide range of applications.
9:00 PM - NM1.8.17
Different Growth Regimes for Ag-Catalyzed Wurtzite InP Nanowires
Douglas Oliveira 1 , Mariana Zavarize 1 , Luiz Tizei 2 , Monica Cotta 1
1 Institute of Physics Gleb Wataghin University of Campinas Campinas Brazil, 2 Laboratoire de Physique des Solides Université Paris-Sud Orsay France
Show Abstract
Semiconductor nanowires are currently under intense investigation, both as basic science – to understand the dynamics of formation of these nanostructures – and for technological applications in areas such as optoelectronics and energy harvesting, among many others. Au catalysts have been widely explored in semiconductor nanowire synthesis; however, some characteristics of Au-catalyzed nanowires limit their potential applications. For example, the quantum efficiency emission may decrease due to the unintentional incorporation of Au atoms along the NW. On the other hand, the high metal solubility in Au catalysts may also inhibit the formation of well-defined interfaces in heterostructures.
In this work, we explore Ag as a catalyst for InP nanowire growth. This metal presents many properties similar to gold, such as crystal structure, melting point and ductility. For these reasons, this material is a natural alternative to the use of Au as a catalyst. In this work, the nanowires have been grown by Chemical Beam Epitaxy. Scanning electron microscopy provides nanowire shape statistics and Energy-dispersive X-ray spectroscopy and transmission electron microscopy were used to investigate chemical and structural properties, respectively.
By varying growth conditions, we found two different kinds of Ag-catalyzed InP nanowire populations. A large structure is formed at the nanowire apex in one of them; for the other, we observe a metal catalyst on the nanowire apex, and shapes which suggest vapor-liquid-solid (VLS) growth. The former type of nanowire dominates for growth under low group III flow and temperature; our results suggest an In-assisted growth regime, with solid Ag nanoparticles. Analyzing the composition and morphology of the second type of nanowires, grown at higher temperatures and group III flow, we conclude they are indeed grown by the VLS method. Under these conditions, InP nanowires with a pure wurtzite crystal structure and high aspect ratio are obtained.
9:00 PM - NM1.8.19
Morphologically Controlled Silicon Nanowires for Optoelectronics through Selective Boron and Phosphorus Doping and Etching
David Hill 1 , Christopher Pinion 1 , Joseph Christesen 1 , Taylor Breidenbaugh 1 , James Cahoon 1
1 University of North Carolina at Chapel Hill Chapel Hill United States
Show AbstractBottom-up control of nanoscale materials provides the opportunity to tune both optical and electrical properties on dimensions not easily achieved by top-down methods. The axial structure of Si nanowires (NWs) grown by the vapor-liquid-solid mechanism can be controlled through a selective phosphorus doping and etching process. However, this methodology can only produce n-type materials, and complementary p-type structures are needed for many applications, including photodiodes and thermoelectrics. When growing p-type Si NWs, unwanted shell deposition and low dopant incorporation typically frustrate attempts to create structures with well-defined electrical characteristics. We demonstrate that by carefully tuning the growth conditions, pristine p-type NWs with high dopant incorporation can be produced. Furthermore, the p-type doping level can be rapidly modulated along the NW axis, producing complex electronic structures. Due to their high doping level, rapid modulation, and shell-free surfaces, these encoded segments can act as selective etch stops, allowing for the design of morphologically controlled p-type NWs. The p-type doping conditions can be directly translated to n-type doping conditions, allowing for the production of morphologically controlled p-i-n structures. We can exploit this morphological control in the design of unique and precisely tuned nanoscale optoelectronic components.
9:00 PM - NM1.8.20
Visualizing the Dimensionality-Dependent Evolution of a Semiconductor’s Electronic Structure
Matthew McDonald 1 , Rusha Chatterjee 1 , Jixin Si 1 , Boldizsar Janko 1 , Masaru Kuno 1
1 University of Notre Dame Notre Dame United States
Show AbstractDespite numerous studies investigating the origin of the ~100 meV band gap differences between 1D and 0D CdSe nanostructures, no consensus exists as to when the 1D-to-0D transition occurs. We therefore demonstrate the use of single nanowire (NW)/nanorod (NR) absorption spectroscopy to probe the dimensionality-dependence of CdSe's electronic structure. This approach has the unique advantage of measuring a nanostructure's true optical response, free of inhomogeneous broadening inherent to ensemble measurements. We unambiguously show significant blueshifts of the lowest energy transition in single NW/NR absorption spectra as a function of length. Observed transition energies are modeled by accounting for both quantum confinement, as well as electron-hole electrostatic interactions. We find that electrostatic interactions play a fundamental role in governing dimensional crossover. Furthermore, the aspect ratio-dependent interplay between confinement and electrostatic energies determines the critical length at which the 1D-to-0D transition occurs.
9:00 PM - NM1.8.21
Label-Free AC-Impedance Biosensing Using Silicon Nanowire Field-Effect Transistors with Local Gates
Yuan Wang 1 , Quan Qing 1
1 Arizona State University Tempe United States
Show AbstractSilicon nanowire field-effect transistor (SiNW FETs) biosensors enable multiplexed real-time and label-free detection of biological molecules. However existing schemes of SiNW FET biosensing based on surface charge modulation see a major decrease of sensitivity when ionic strength of the electrolyte increases due to Debye screening limit. Here we propose that a pair of local gate can be utilized to provide high frequency AC voltage modulation of a SiNW FET which will be less sensitive to the ionic strength and provide more stable and reliable evaluation of the changes within the electric double layers (EDLs) and/or the dielectric environment between the gate and the SiNW. We will demonstrate the fabrication and characterization of a prototype SiNW FET device with local gates, and focus on the high frequency AC impedance characterization with surface modified devices, including pH sensing and protein sensing. We hope that our results could establish a new platform to explore further scaling down of the device size and study how low copy number biomolecules can be enriched and detected in physiological environments.
9:00 PM - NM1.8.22
Low-Temperature Conformal Growth of Ordered III-Nitride and Metal-Oxide Hollow Nanocylinder Arrays for Optoelectronics and Photocatalysi
Petro Deminskyi 2 1 , Ali Haider 2 , Hamit Eren 2 , Sevde Altuntas 3 , Kholoud Elmabruke 4 , Ibrahim Yilmaz 4 , Mehmet Yilmaz 2 , Fatih Buyukserin 3 , Necmi Biyikli 2
2 Institute of Materials Science and Nanotechnology Bilkent University Ankara Turkey, 1 Photonics NAS of Ukraine Kiev Ukraine, 3 Department of Biomedical Engineering TOBB University Ankara Turkey, 4 Department of Electrical Engineering Turgut Özal University Ankara Turkey
Show AbstractCurrently, atomic layer deposition (ALD) is one of the most promising low-temperature nano-scale deposition techniques. Rapidly evolving ALD science and technology opens new horizons for advancements in semiconductor industry, especially for sub-micron Si/A3B5 based CMOS technologies. Although research on III-nitride and metal-oxide nanostructures is quite old, emerging technologies still lack new energy-efficient and low-cost techniques for nano-scale semiconductor device fabrication.
In this work, we used template-based synthesis to fabricate ordered III-nitride and ZnO hollow nano-cylinder arrays on Si substrate by low-temperature plasma-assisted atomic layer deposition (PA-ALD) and reactive ion etching (RIE) processes. Our synergistic approach offers highly conformal growth even on complex 3d surfaces, with a precise thickness control and brings various advantages such as: (1) highly uniform distribution of hollow nanocylinders on the growth surface, (2) precisely controlled nanostructures synthesis. AlN, GaN, InN, and ZnO ordered hollow nano-cylindrical arrays were fabricated using template-assisted ALD which was carried out in plasma-ALD reactor.
Fabrication of III-nitride nanostructures consists of the following steps:
- Electrochemical anodization of aluminum (Al) foil to obtain free-standing nanoporous AAO membrane;
- Transfer and sticking of AAO membrane to Si substrate by tritone and 2-propanol solutions;
- Ar and CHF3 based reactive ion etching (RIE) using AAO membrane as hard mask to achieve uniformly distributed Si nanopores;
- Conformal growth of III-nitride compounds into nanoporous Si surface via LT PA-ALD. III-nitride layers were deposited on Si (100) nanoporous area at T=200 °C. The TMAl, TMGa and N2/H2 plasma have been used as Al, Ga and nitrogen precursors, respectively. TMIn, and N2 plasma have been used as indium and nitrogen precursors, respectively. Ar has been used as the carrier gas for metal precursors and plasma gas;
- Ar based RIE to etch PA-ALD coated AlN, GaN, InN and ZnO from horizontal top Si surface;
- SF6 based isotropic RIE of surrounding Si to attain highly-ordered vertical AlN, GaN, InN, and ZnO hollow nano-cylinder arrays. (SF6 was used as a selective etchant for Si etching due to the AlN, GaN, InN, and ZnO compounds inertness to the RIE conditions).
Materials characterization (XRD, TEM, XPS, SEM, PL, Ellipsometer) of the fabricated hollow nanocylinders was performed. These large-area ordered nanostructures grown on Si substrate might provide ideal material platforms for the development of high-performance (opto)electronics (sensors, lasers, HEMTs, biosensors, etc.) for defense, space and civil applications.
As a future research study, following tasks will be performed: (1) investigation of photocatalytic properties of obtained nanostructures; (2) development of AlN, GaN, InN, and ZnO nanostructures on quartz nanoporous network; (3) utilization of AlN, GaN, InN, and ZnO nanostructures for further device applications.
9:00 PM - NM1.8.23
Visible Light Absorbing Nanowire Colloid for Naked Eye Optical Detection of Electrostatic Charges
Andris Sutka 1 2 , Martin Timusk 1 , Kristjan Saal 1
1 Institute of Physics University of Tartu Tartu Estonia, 2 Institute of Silicate Materials Riga Technical University Riga Latvia
Show AbstractStraightforward and effective electrostatic charge detection would have significant importance for electronic assembly. Triboelectric charges arising from contact-separation between materials having different electron affinity causes damage of electronic components and devices valuable in billion dollars per year. In the present study we are demonstrating for the first time the visually perceptible vivid color to black transition in visible light absorbing nanowire colloids in viscous polydimethylsiloxane (PDMS) by nanowire directional alignment caused by electrostatic surface charges. The concept for triboelectric charge detection presented here does not require any power consumption or additional optical detection devices. The presented functional colloid is extremely simple, consisting from visible light absorbing nanowires in viscous PDMS. There is no necessary to use photonic crystals, nanowires with monosize distributions of diameters and lengths or nanowires in well-ordered structures. Here the visually perceptible vivid color to black transition is observed in ZnO visible light absorbing nanowire colloids in viscous PDMS by nanowire directional alignment caused by electrostatic surface charges.
Symposium Organizers
Chennupati Jagadish, Australian National Univ
James Cahoon, University of North Carolina at Chapel Hill
Hannah Joyce, University of Cambridge
Qihua Xiong, Nanyang Technological Univ
Symposium Support
JC Nabity Lithography Systems, Lake Shore Cryotronics, Inc., MilliporeSigma (Sigma-Aldrich Materials Science), Nano| A Nature Research Solution, SpringerMaterials
NM1.9: Light-Matter Interactions in Nanowires
Session Chairs
Wednesday AM, November 30, 2016
Hynes, Level 2, Room 206
9:30 AM - *NM1.9.01
Purcell Effect in a Halide Perovskite Semiconductor Microcavity
Zhanghai Chen 1
1 State Key Laboratory of Surface Physics, Key Laboratory of Micro and Nano Photonic Structures, Department of Physics, Collaborative Innovation Center of Advanced Microstructures Fudan University Shanghai China
Show AbstractThe organic-lead mixed halide perovskite semiconductors are highly desirable novel materials for the coupling of a quantum emitter and an optical microcavity at room temperature, due to their strong photoluminescence (PL), long lifetimes, huge oscillator strengths of excitons and low Auger recombination losses. Considerable efforts have been dedicated to achieve the cavity quantum electro-dynamics effects in these materials. The exciton-photon weak coupling is expected to modulate the spontaneous emission (SE) rate of excitons (known as Purcell effect) in perovskites, and is of importance for developing resonant cavity light-emitting diodes and vertical cavity surface emitting lasers. However, in the past several decades, the Purcell effect has not yet been observed in the organic-inorganic perovskite as an active dipole emitter. In this work, we fabricated a high fluorescent halide perovskite semiconductor within a planar microcavity structure and carried out angle-resolved and time-resolved PL spectroscopic studies on this microcavity-perovskite (MP) system. We found that the intensity of the exciton emission significantly enhanced and its SE lifetime (~ 1.4 ns) decreased at the exciton-cavity-mode resonance (760 nm), resulting in a Purcell factor of 1.8. This light-mater coupling induced enhancement of photon emission of the perovskite-semiconductor-microcavity sytem paves the way for developing novel organic-inorganic hybrid optoelectronic devices.
Reference:
J. Wang, J. Lu, Zhanghai Chen et al, Appl. Phys. Lett. 108, 022103 (2016)
10:00 AM - NM1.9.02
Two-, One-, and Zero-Dimensional Excitons in Crystal Phase Quantum Structures in III-V Semiconductor Nanowires
Pierre Corfdir 1 , Oliver Marquardt 1 , Christian Hauswald 1 , Timur Flissikowski 1 , Johannes Zettler 1 , Sergio Fernandez-Garrido 1 , Ryan Lewis 1 , Hanno Kupers 1 , Javier Grandal 1 , Achim Trampert 1 , Lutz Geelhaar 1 , Holger Grahn 1 , Oliver Brandt 1
1 Paul-Drude-Institut Berlin Germany
Show AbstractCharge carrier confinement in crystal phase quantum structures is achieved by alternating the crystal structure of a semiconductor instead of the composition. As these structures are free of strain as well as alloy disorder and possess perfectly abrupt interfaces, they are promising candidates for the realization of quantum devices with superior optical properties. Whereas crystal phase quantum structures are only accidentally encountered in the bulk, recent developments in growth techniques make it possible to tune the crystal phase when synthesizing semiconductors in the form of nanowires.
Here, we investigate the optical properties of crystal phase quantum structures in GaN and group-III arsenide nanowires grown by molecular beam epitaxy (MBE) on Si substrates. GaN nanowires exhibit a wurtzite lattice structure with only occasional I1 basal plane stacking faults. These stacking faults can be seen as three monolayer thick insertions of zincblende material in the wurtzite, which localize excitons along the nanowire axis and give rise to transitions centered typically 50 meV below the excitonic bandgap. Using time-resolved photoluminescence (PL) experiments on GaN nanowires with a diameter of 50 nm, we show that the density of states of excitons bound to stacking faults is two-dimensional [1]. Stacking faults in nanowires thicker than 50 nm thus act as quantum wells.
We then study the impact of radial confinement on the properties of excitons in stacking faults. We have fabricated ultrathin GaN nanowires with a diameter down to 6 nm by post-growth annealing of an ensemble of GaN nanowires. The PL energy of the stacking fault exciton in such samples is blue shifted compared to that of as-grown GaN nanowires, and its radiative lifetime is independent of temperature. These findings reveal a zero-dimensional character of the confined exciton state and demonstrate that I1 stacking faults in ultrathin nanowires are genuine quantum dots [2]. The exciton radiative lifetime in these quantum dots is significantly longer than that of the stacking fault exciton in as-grown nanowires, which we attribute to a decrease in the exciton coherence area with decreasing wire diameter.
We finally explore the optical properties of GaAs/(In,Ga)As/GaAs core/shell nanowires. GaAs nanowires grown by MBE exhibit a high density of crystal phase quantum structures. Transmission electron microscopy shows that these defects, formed during the axial growth of the GaAs core, extend radially during the shell growth. We demonstrate that the quantum rings forming at the intersection of stacking faults with the radial (In,Ga)As quantum wells are optically active. When their diameter is much larger than the Bohr radius of the exciton in the bulk, crystal phase quantum rings act electronically as quantum wires that are promising for studies of quantum interferences of excitons.
[1] P. Corfdir et al., Phys. Rev. B 90, 195309 (2014).
[2] P. Corfdir et al., Phys. Rev. B 93, 115305 (2016).
10:15 AM - *NM1.9.03
Inhomogeneous Broadening and Radiative Decay of Excitons in Nanoplatelets
Alexander Efros 1 , Roman Vaxenburg 2
1 Naval Research Laboratory Washington United States, 2 George Mason University Fairfax United States
Show AbstractWe investigate theoretically the absorption spectrum, the inhomogeneously broadened photoluminescence line, and the distribution of radiative decay times of two-dimensional excitons in semiconductor nanoplatelets (NPs). In these nanostructures, the photoluminescence exciton line does not show any Stokes shift and practically coincide with the exciton absorption line. In addition, the photoluminescence line widths are significantly narrower than could have been produced by one monolayer thickness fluctuations of the NP, as happens, for example, in epitaxial quantum wells. This suggests that the NPs are atomically flat structures with uniform width. Here we propose that the inhomogenous broadening of the excitons in NPs is connected with incomplete passivation of the dangling bonds at the NP surfaces. This incomplete passivation should result in a random adiabatic potential for in-plane exciton motion. The randomization is connected with fluctuation of uncompensated dangling bond surface concertation. We find, in general, that the shortest radiative decay time is directly proportional to the inhomogeneous broadening of the exciton line, which is in turn proportional to the correlation parameter of the random potential. We compare the results of our calculations with available experimental data.
10:45 AM - NM1.9.04
Properties of Excitons Bound to Inversion Domain Boundaries in GaN Nanowires
Pierre Corfdir 1 , Carsten Pfuller 1 , Christian Hauswald 1 , Johannes Zettler 1 , Timur Flissikowski 1 , Sergio Fernandez-Garrido 1 , X. Kong 1 , Achim Trampert 1 , Lutz Geelhaar 1 , Holger Grahn 1 , Oliver Brandt 1
1 Paul-Drude-Institut Berlin Germany
Show AbstractThe high structural perfection of GaN nanowires (NWs) has triggered worldwide research activities that have led to the demonstration of light emitting devices based on group-III nitride NWs on Si. However, several open questions still exist regarding the spontaneous formation of GaN NWs and their structural and optical properties. In particular, a prominent band at 3.45 eV has been widely reported in the low-temperature photoluminescence (PL) spectra of GaN NWs. Recently, Auzelle et al. [1] correlated the observation of the PL band at 3.45 eV with the presence of inversion domain boundaries (IDBs) in GaN NWs on AlN/Si(111) and concluded that this band is caused by exciton recombination at IDBs.
Here, we report a comprehensive investigation of the structural and optical properties of GaN NWs directly on Si(111) fabricated with or without intentional substrate nitridation and within a wide range of substrate temperatures. Using transmission electron microscopy and cathodoluminescence spectroscopy, we confirm the findings of Auzelle et al. [1] that the band at 3.45 eV is due to the presence of IDBs in the NWs. In addition, we show that the IDBs are either planar, when forming between Ga- and N-polar NWs, or tubular in NWs with a Ga/N-polar core/shell geometry.
Using the potential profile proposed by Fiorentini [2] for IDBs in GaN, we compute the wavefunction and the energy of an exciton bound to this planar defect. We demonstrate that an IDB can be seen as a thin type-II quantum well that binds holes and that the Coulomb attraction exerted by these holes is strong enough to bind electrons. The energy calculated for the IDB exciton is 3.445 eV, in good agreement with that measured by PL spectroscopy on GaN NWs.
For many samples, the PL band at 3.45 eV related to IDBs is actually a doublet. Based on temperature-dependent and time-resolved PL experiments, we show that the higher-energy component of this doublet arises from the recombination of two-dimensional excitons free to move in the plane of the IDB, while the line at lower energies is due to excitons localized in the plane of the IDB. We attribute this exciton localization to the presence of shallow donors in the vicinity of the planar defect [3].
Analogously to stacking faults, the type-II quantum wells formed by IDBs do not suffer from fluctuations in layer thickness or composition and are ideally suited for the study of low-dimensional excitons [4]. In particular, we propose that the intersection of tubular IDBs in Ga/N-polar core/shell NWs with stacking faults forms perfect crystal-phase quantum rings with well-defined dimensions, which make these rings ideal model systems for the investigation of advanced quantum effects.
[1] T. Auzelle et al., Appl. Phys. Lett. 107, 051904 (2015).
[2] V. Fiorentini, Appl. Phys. Lett. 82, 1182 (2003).
[3] P. Corfdir et al., Phys. Rev. B 80, 153309 (2009).
[4] P. Corfdir et al., Phys. Rev. B 93, 115305 (2016).
NM1.10: Semiconductor Nanowires for Optoelectronics II
Session Chairs
Ritesh Agarwal
Hong-Gyu Park
Wednesday PM, November 30, 2016
Hynes, Level 2, Room 206
11:30 AM - *NM1.10.01
Nanowire Photoconductive Detectors for Terahertz Time-Domain Spectroscopy
Patrick Parkinson 1 , Kun Peng 2 , Lan Fu 2 , Hannah Joyce 3 , Jessica Boland 4 , Christopher Davies 4 , Hoe Tan 2 , Chennupati Jagadish 2 , Michael Johnston 4
1 School of Physics and Astronomy University of Manchester Manchester United Kingdom, 2 Department of Electronic Materials and Engineering Australian National University Canberra Australia, 3 Department of Engineering University of Cambridge Cambridge United Kingdom, 4 Department of Physics University of Oxford Oxford United Kingdom
Show AbstractTerahertz time-domain spectroscopy is a powerful optical tool for investigating ultrafast phenomenon in a variety of materials. Traditionally, photoconductive antenna based on Auston-type switches1 on bulk semiconductor have been employed for coherent detection of terahertz pulses, however, interest in near-field optics has prompted the development of new materials for this application.
Single nanowires have several properties which are advantageous for use in near-field photoconductive antenna detection. In particular, their inherently nanoscale dimensionality, high carrier mobility2 and tuneable (surface recombination dominated) photocarrier lifetime2 provide great promise for incorporation into time-domain spectroscopy applications. Here, we present the development of such antenna based on a number of nanowire types with different optoelectronic properties3,4,5.
We have studied the impact of nanowire structure3, nanowire material4, antenna arrangement4 and most recently contact doping5 on the sensitivity and broadband performance of detectors. Optimised nanowire devices now exhibit bandwidth, signal-to-noise ratios and dynamic ranges similar to conventional detectors; coupled with their potential as near-field detectors, single nanowire detectors have a promising future.
References
1 D. Auston, Appl. Phys. Lett, 26, 101 (1975)
2 H. Joyce et al., Nanotechnology, 24, 214006 (2013)
3 K. Peng et al., Nano Letters, 15, 206 (2014)
4 K Peng et al. Nano Letters, ASAP, 10.1021/acs.nanolett.6b01528 (2016)
5 K Peng et al. Manuscript in preparation.
12:00 PM - NM1.10.02
A Fast Switchable III-V Nanowire Terahertz Modulator
Sarwat Baig 1 , Jessica Boland 2 , Hoe Tan 3 , Chennupati Jagadish 3 , Michael Johnston 2 , Hannah Joyce 1
1 University of Cambridge Cambridge United Kingdom, 2 University of Oxford Oxford United Kingdom, 3 Australian National University Canberra Australia
Show AbstractThe terahertz frequency range (0.3 - 10THz) has the potential to be used in a wide range of applications, from imaging to high speed communications. However, a vital component is missing in the THz frequency range: a practical, fast, switchable THz modulator.
Here, we propose a THz modulator, based on a a III-V nanowire THz polariser. These polarisers are based on wire-grid polarisers fabricated using aligned GaAs nanowires, embedded in a parylene C polymer thin film. Photoexcitation renders the nanowires conductive, and these polarisers that can be switched “on” when photoexcited with an 800 nm pulse (35 fs duration). The ultrashort photoconductivity lifetime (<1 ps rise and <5 ps fall times) of the nanowires means that these polarisers can in principle be switched at high frequencies. Several nanowire-polymer thin films are laminated together, in order to gain the highest modulation depth. We then implement these polarisers into a modulation system in order to show a proof-of-concept communications system based on the polariser.
12:15 PM - NM1.10.03
Toward a Metrological Quantification of the Conversion Efficiency in GaAs Nanowire Based Photodetectors
Davide Cammi 1 , Beatrice Rodiek 2 , Martin Friedl 3 , Anna Fontcuberta i Morral 3 , Stefan Kueck 2 , Tobias Voss 1
1 Institute of Semiconductor Technology and Laboratory for Emerging Nanometrology Braunschweig University of Technology Braunschweig Germany, 2 Department of Photometry and Applied Radiometry National Metrology Institute of Germany Braunschweig Germany, 3 Laboratory of Semiconductor Materials Ecole Polytechnique Fédérale de Lausanne Lausanne Switzerland
Show AbstractPhotodetectors based on semiconductor nanowires with diameters of less than 100 nm have shown a huge potential in terms of photoconductive gain and detection speed. Furthermore, they allow in principle to quantitatively measure the spatial intensity distribution of a light beam with a resolution comparable with the nanowire diameter.
However, the large scale distribution of such nanoscale devices on the market requires the development of a metrological procedure for their calibration. This could allow in particular a precise quantification of the photoconversion's efficiency of the nanowire as well as the identification of loss mechanisms at the contact regions.
In this contribution we propose a novel approach toward a standardized calibration of photodetectors based on single semiconductor nanowires. The method combines optical and electrical characterizations, which are conducted according to metrological standards, and provides a two dimensional spatially resolved mapping of the device's photoresponse. We focus the investigation in particular on contacted single GaAs nanowires, which act as photodetectors in the near infrared spectral range. Possible investigation extensions to other material systems are also discussed.
12:30 PM - NM1.10.04
Dynamical Tuning of Single Nanowire Laser Spectra
Maximilian Zapf 1 , Robert Roeder 1 , Karl Winkler 2 , Alois Lugstein 3 , Carsten Ronning 1
1 Institute of Solid State Physics University of Jena Jena Germany, 2 Lund University Lund Sweden, 3 Vienna University of Technology Vienna Austria
Show AbstractThe availability of coherent light sources on the nanoscale has recently brought up visionary concepts of integrated photonic circuits, nanospectroscopy and nanosensing. However, the requirements of these concepts go far beyond the simple availability of coherent monochromatic radiation on the subwavelength scale, as most of these approaches will benefit from a dynamically tunable laser spectrum. Semiconductor nanowires (NWs) can provide both continuous wave laser emission [Röder et al., Nano Lett. 13, 3602 (2013)] and ultrafast modulation capabilities [Röder et al., Nano Lett. 15, 4637 (2015)], depending on the temporal pump conditions, but individual NW laser devices currently suffer from fixed emission spectra determined by the material band gap. However, tuning the laser spectrum of individual semiconductor NWs can be achieved by applying uniaxial strain along the NW in order to induce a fully controllable bandgap modulation [Wei et al., Nano letters 12, 4595 (2012)]. Such NW laser devices were fabricated by placing single NWs on a structured low refractive index substrate followed by fixing the NW ends. The middle part of the NW is bridging a length tunable gap, allowing to apply uniaxial strain to the gap region of the NW. The strain distribution along the NW was verified using spatially resolved microphotoluminescence and Raman spectroscopy. Subsequently, the nanowire lasing performance was measured as a function of the applied strain indicating a significant laser mode red-shift with increasing strain, as the gain envelope was shifted to smaller emission energies for all pump powers above the laser threshold. Besides the laser emission red-shift, the NW laser characteristics such as mode spacing, threshold and spontaneous emission factor x0 remain almost unaffected. Thus, this NW laser device enables the realization of dynamically tunable nanoscale coherent light sources. Furthermore, this concept can be extended towards strain switchable nanophotonic waveguides
NM1.11: Modulated Growth—Defects, Structural Inhomogeneity and Doping
Session Chairs
Wednesday PM, November 30, 2016
Hynes, Level 2, Room 206
2:30 PM - NM1.11.01
Manipulating Phonons in Superlattices Nanowires
Marta De Luca 1 , Zakaria Azdad 1 , Mara Capone 2 , Simone Assali 3 , Luca Gagliano 3 , Paolo Postorino 2 , Erik Bakkers 3 , Ilaria Zardo 1
1 University of Basel Basel Switzerland, 2 Sapienza University of Rome Rome Italy, 3 Technical University Eindhoven Eindhoven Netherlands
Show AbstractThe capability to control photons and electrons in crystals has brought to an astonishing level of knowledge in fundamental physics and to extraordinary technological achievements. On the contrary, the manipulation of phonons is still quite unexplored, despite its potential in the control of heat conduction in solids, which is of fundamental and technological interest [1].
Semiconductor nanowires (NWs) are an ideal platform to explore phonon management since they i) offer the possibility to modify to a large extent the phonon properties by playing with different phonon scattering mechanisms at different length scales; ii) can be used as a growth template for complex architectures with high degree of freedom on composition/structure/size; iii) are a model system for 1D phonon transport.
In this work, phonon transport was investigated in InP/InAs and crystal-phase GaP superlattice NWs [2]. At variance with conventional 2D superlattices formed by alternating layers with different chemical compositions, in NWs it is possible to obtain superlattices by periodically changing only the crystal structure (between wurtzite, WZ, and zincblende, ZB) along the wire axis. This approach ensures atomically sharp interfaces and no interface mixing, still providing effective interfaces for phonons, owing to the fact that different crystal structures have different phonon dispersions [3]. The NWs have a pure WZ structure for most of the NW length, then the growth conditions are switched to create the WZ/ZB superlattice close to the NW tip. The position of the WZ/ZB junctions and the length of the different segments were carefully controlled by tuning the supply of the Ga precursor and the growth temperature [2].
We measured single NWs transferred on different substrates by spatially-resolved Raman spectroscopy. As highlighted by polarization-dependent measurements performed both on the pure WZ segments and on the WZ/ZB superlattice, the structural quality of these NWs is unprecedented. Most importantly, we observe spectral features of the superlattice, ascribed to its behavior as a lattice with longer periodicity compared to the pure WZ crystal. In the reciprocal space, this creates a reduction of the Brillouin zone and the folding of the phonon dispersion [4]. We report evidence of phonon confinement in the superlattice region, arising from the reduced length of the WZ and ZB segments with respect to the phonon mean free path. Confinement enables to observe by optical spectroscopy vibrational modes that are symmetry forbidden in the bulk materials.
These results represent the first experimental observation of phonon confinement in superlattices nanowires and are an important step in the investigation of the quantum-mechanical nature of phonons.
[1] M. Maldovan, Nature 503, 209 (2013)
[2] S. Assali et al., Nano Letters 15, 8062 (2015)
[3] A. Porter et al., Phys. Rev. B 93, 195431 (2016)
[4] M. Cardona et al., Superlattices and Microstructures 5, 27 (1989)
2:45 PM - NM1.11.02
Ga-Diffusion Induced Morphological and Compositional Variations in Self-Catalyzed GaAsSb Nanowire Array
Dingding Ren 1 , Junghwan Huh 1 , Dasa L. Dheeraj 2 , Helge Weman 1 , Bjorn-Ove Fimland 1
1 Department of Electronics and Telecommunications Norwegian University of Science and Technology Trondheim Norway, 2 Crayonano Trondheim Norway
Show AbstractArrays of epitaxially grown and vertically aligned III-V nanowires (NWs) are a promising building block for next generation nano-electronics and photonics devices, such as high-efficiency solar cells[1] and lasers[2]. Self-catalyzed ternary III-V-V NW growth enables controlled crystal phase and tunable bandgap without introduction of foreign metal as catalyst, which is compatible to conventional Si technology. However, most of the published studies of ternary III-V-V NW growth focus on the random growth.[3] To the best of our knowledge, there is no study of mask pattern parameters that affect the growth of self-catalyzed ternary III-V-V NWs in arrays. In this report, we present a systematic study of the influence of pitch lengths of the patterns in the mask and specific NW locations in the array on the morphology and composition of self-catalyzed GaAsSb NWs.
Due to stronger competition for limited amount of Ga adatoms, the GaAsSb NWs in the center of array patterns with short pitch lengths possess a smaller contact angle of the catalyst droplets than that of NWs at the pattern edge. This smaller contact angle will lead to a reduction in the collection of group V flux, as compared to NWs with large contact angles, bringing about shorter NW morphology for the NWs in the center than for those at the edge. Meanwhile, for pitch lengths beyond the diffusion length of Ga adatoms, the GaAsSb NWs are taller with larger contact angles than in the case with short pitch lengths. Considering that the Sb has a longer diffusion length on the side facets of NWs than that of the As, a reduction/increase of contact angle will bring about an increase/reduction in the Sb to As ratio of the group-V fluxes, collected by the catalyst droplets. By performing µ-PL measurements on the GaAsSb NW arrays with the laser spot at the center of the pattern for different pitch lengths, a red shift of the PL spectra was found with a decrease of the pitch lengths. This red shift is consistent with the observed blue shift by moving the laser spot from the center to the edge of the pattern, which is due to an increase of contact angle of the catalyst and thus higher As incorporation rate.
These findings demonstrate that the Ga-diffusion induced contact angle difference is the main reason for variations in NW morphology and composition with different pitch lengths and different NW locations in the mask. This study provides a better understanding on the growth of ternary III-V-V NW arrays, which will help to optimize the design of NW array devices for advanced optoelectronic applications.
1. J. Wallentin and et al., Science, 2013, 339, 1057.
2. T. Frost and et al.. Nano Lett., 2014, 14 (8), pp 4535–4541
3. X. Yuan and et al. Nanoscale, 2015,7, 4995-5003
3:00 PM - NM1.11.03
Inducing Imperfections in Germanium Nanowires
Subhajit Biswas 1 2 3 , Justin D. Holmes 1 2 3
1 Materials Chemistry and Analysis Group University College Cork Cork Ireland, 2 Tyndall National Institute University College Cork Cork Ireland, 3 Advanced Materials and BioEngineering Research at the Centre for Research on Adaptive Nanostructures and Nanodevices Trinity College Dublin Dublin Ireland
Show AbstractEngineering the semiconductor nanowires through the manipulation of morphology and crystal defects, formation of heterostructures and incorporation of suitable impurities add new functionalities to the nanostructures for the applications in future technologies, such as spin-based electronic devices, tunnelling-based transistors and quantum computing devices etc. Also, to fully utilise the potential of nanowires, it is important to understand and control their structural properties, such as defect density, polytypism and impurity inclusion as they can influence electron and phonon transport and the electronic band structure in the nanomaterials.
In particular, nanowires with inhomogeneous heterostructures and periodic twin boundaries are interesting due to their potential use as components for optical, electrical and thermophysical applications. Additionally, the incorporation of non-equilibrium amount of impurities in semiconductor nanowires is attracting enormous research interest recently as this impurity induction could substantially alter the basic properties of semiconductors which are critical for of emerging nanometre scale technologies.
The widely popular vapour-liquid-solid (VLS) growth model refers to a three phase system where material supplied from a vapour phase absorbs into a liquid catalyst alloy and precipitates upon supersaturation to form a solid crystal. Three phase bottom-up growth is a feasible way to incorporate and engineer imperfections such as crystal defects and impurities in semiconductor nanowires via catalyst and/or interfacial manipulation. Recently we were successful in implementing controlled crystal imperfections in the form of twinning defects and in inducing non-equilibrium tin impurities in Ge nanowire via catalyst engineering in a three phase nanowire growth[1-3]. An “epitaxial defect transfer” process and catalyst-nanowire interfacial engineering was employed to induce twin defects parallel and perpendicular to the nanowire growth axis. Regarding impurity injection and engineering, third-party metal catalysts were used to guide the non-equilibrium incorporation of Sn adatoms into the precipitated Ge bi-layers; during Ge nanowire growth, where the impurity Sn atoms become trapped with the deposition of successive layers, thus giving an extraordinary Sn content (> 9 at.%) in the Ge nanowires.
In this presentation, we will review our progress and understanding in deliberate induction of imperfections; in terms of twin boundaries and additional impurity; in germanium nanowire for new/enhanced functionalities. Role of catalysts and catalyst-nanowire interfaces for the growth of engineered imperfect nanowires via three phase paradigm will be further explored.
1. S. Biswas et. al. Nano Lett. 12 (11), 5654-5663 (2012).
2. S. Biswas et. al. Chem. Mat. 27(2015) 3408.
3. S. Biswas et. al. Nature communications 7 (2016) 11405.
3:15 PM - NM1.11.04
Delayed Zn Doping in GaAs Nanowires
Ibeth Cordoba 1 , James McNeil 2 , Ali Darbandi 1 , Simon Watkins 1 , Karen Kavanagh 1
1 Simon Fraser University Burnaby Canada, 2 TRIUMF Vancouver Canada
Show AbstractCharacterizing the abruptness of nanowire (NW) p-n junctions and reducing interface resistance is necessary for their application in multi-junction devices. Growth via vapour-liquid-solid (VLS) catalysis must involve delays when switching from one semiconductor phase to another and when changing the type of dopant impurity. The precursor elements for growth of the bulk phase or addition of dopant impurities, are alloyed with the metal catalyst to varying degrees, and the excess must evaporate or be incorporated into the growing NW before changes are observed. We have been investigating the abruptness of homojunctions in axial GaAs NWs grown by Au-catalysed VLS, by imaging the potential gradients via off-axis electron holography (EH).[1] The junctions are grown via an abrupt change in appropriate dopant precursor gases from n-type (Te, 5x1017 cm-3) to p-type (Zn, 6x1019 cm-3) during Au-catalysed VLS growth. The resulting carrier concentrations are calibrated via direct probing of uniformly-doped NWs in an SEM. Similar electrical probing of free-standing, axial NWs with p−n junctions showed transport dominated by recombination currents, typical of a heavily-doped device. Despite the delays expected, EH measured a total p−n depletion width of 75 ± 10 nm, only slightly larger than the theoretical width of an abrupt junction, 64 nm. More interestingly, measurement of the exact location of the p-n junction was vital to finding that they showed a 1 - 2 % reduction in NW diameter that preceded the junction center (n = p). Once the size reduction was complete Zn doping of the GaAs begins and a diffusional delay was observed. In this work we will present further investigations into the effects of NW diameter on the length of NW required for the size reduction. Is this process a surface or volume limited process? Initial results indicate that it is correlated with the volume of the Au suggesting it is limited by the diffusion of Zn into Au. In that event, it is remarkable that the Zn doping is delayed by apparently a two-step process involving diffusion of Zn into the Au and then into the GaAs.
[1] Nano Letter June 15, 2016 DOI: 10.1021/acs.nanolett.6b00289
NM1.12: ZnO and Other II-VI Compound Semiconductor Nanowires
Session Chairs
Wednesday PM, November 30, 2016
Hynes, Level 2, Room 206
4:30 PM - *NM1.12.01
3-Dimensional Epitaxial Growth of Oxide and Nitride Semiconductor Nanocrystals
Won Park 1
1 Division of Materials Science and Engineering Hanyang University Seoul Korea (the Republic of)
Show AbstractThere has been a substantial interest in direct synthesis/fabrication of finely controlled three-dimensional (3D) nanostructures. Despite the recent advances in 3D fabrication, however, epitaxial growth of finely controlled, single crystalline 3D nanostructures is still constrained. Here, we demonstrate step-by-step growth of hierarchical 3D architectures composed of single crystalline semiconductor nanostructures. To achieve this goal, we established a low-temperature solution-phase synthesis of 3D architectures constructed with well-regulated, single crystalline ZnO crystals, and subsequent heteroepitaxial growth of InGaN multilayers. First, we study systematically the axial growth rate in correlations with the diameter and interspacing of nanocrystals. We found the strong interactions among the neighboring nanocrystal arrays with precisely defined positions and diameters, and they produce three different types of height versus diameter relationships. Second, the control of preferential growth direction provides additional opportunities for finely regulating the shape of each constituent and diversifying the ultimate structures. Similar to biomolecular additive, artificial additive of citrate ions interact preferentially with a top surface of crystals, thereby regulating the growth anisotropy, from predominantly vertical to lateral direction. We have further optimized the condition to minimize the interrupt of citric ions for epitaxial and iterative stacking of Zn and O ions, and achieved single-crystalline hexa-plates. This feature is further combined with multiple growth strategy to produce single crystalline 3D architectures. Finally, we have established heteroepitaxial growth of GaN/InGaN multilayer on the 3D ZnO crystals, which were then implemented to fabricate unconventional, 3D light emitting diode arrays.
5:00 PM - NM1.12.02
Realization of ZnO-Nanowire-Induced Nanocavities in Grooved SiN Photonic Crystals
Sylvain Sergent 1 2 , Masato Takiguchi 1 2 , Atsushi Yokoo 1 2 , Hideaki Taniyama 1 2 , Akihiko Shinya 1 2 , Eiichi Kuramochi 1 2 , Tai Tsuchizawa 1 3 , Tetsuya Akasaka 2 , Masaya Notomi 1 2
1 NTT Nanophotonics Center Atsugi Japan, 2 NTT Basic Research Laboratories Atsugi Japan, 3 NTT Device Technology Laboratories Atsugi Japan
Show AbstractSemiconductor nanowires positioned in grooved Si photonic crystals (PhCs) have recently been shown to be a promising spatially and spectrally tunable platform to achieve high-Q nanocavities in the infra-red range [1]. We here show that this approach can be extended to shorter wavelengths, using grooved SiN PhC and ZnO single nanowires (NWs), a configuration that would be of interest for the realization of near-UV nanolasing and more. According to three dimensional finite-difference time-domain (3D-FDTD) calculations, bare 3-μm-long ZnO NWs with diameters smaller than 90 nm are too thin to support any photonic Fabry-Pérot modes in the near UV-range where ZnO NWs emit. On the contrary, positioning a single ZnO NW in a grooved SiN PhC line-defect waveguide induces light confinement without the need for any structural modulation of the SiN PhC. This can lead to nanocavities presenting a fundamental mode with a quality factor as high as Qc = 18000 in the near-UV range for a mode volume Vm = 5.52 (λ/n)3. We implement this design in a 100-nm-thick SiN slab obtained by plasma-enhanced chemical vapor deposition on a silicon substrate. We first fabricate free-standing two-dimensional PhC line-defect waveguides with lattice constants varying between 160 and 180 nm. A 90-nm-wide groove is defined in the waveguide and ZnO NWs of smaller diameter are dispersed on the surface of the patterned SiN. By atomic force microscope nanomanipulation, individual NWs are then positioned at any point inside grooved PhC waveguides. As predicted by 3D-FDTD, room-temperature microphotoluminescence (μPL) of bare ZnO NWs do not present any spectral feature indicating the presence of Fabry-Pérot modes, whereas NWs positioned in grooved PhCs exhibit NW-induced nanocavity resonances in the near-UV range. We show that the optical properties of such resonant modes are in fair agreement with 3D-FDTD calculations and we report on quality factors as high as Qexp = 460, which is a promising first step toward the use of ZnO-NW-induced nanocavities for novel UV photonic devices.
This work was supported by JSPS KAKENHI Grant Number 15H05735.
[1] M. D. Birowosuto et al., Nature Materials 13, 279 (2014).
5:15 PM - *NM1.12.03
Fabrication of Flexible, Vertical Transferred Silicon Micropillar/ ZnO Nanofilm Light Emission Diode Arrays and Light Emission Enhancement by Piezo-Phototronic Effect
Xiaoyi Li 1 2 , Zhong Lin Wang 3 2 , Jing Zhu 1 , Caofeng Pan 2
1 Tsinghua University Beijing China, 2 Chinese Academy of Sciences Beijing Institution of Nanoenergy and Nanosystem Beijing China, 3 Georgia Institute of Technology Atlanta United States
Show AbstractWith the advantages of the anisotropic electronic and optical properties, large surface to volume ratios, abilities of carrier transport and thermal conductivity, large-area transferred Si wire arrays show great potential for applications, ranging from light emission diodes, solar cells, biosensors, field-effect transistors to thermoelectronic device. Here we report a simple approach to achieve a flexible, transferred film of silicon wire arrays.
N-ZnO nanofilm/p-Si micropillar heterostructure LED arrays are designed for light emissions at room temperature and white light LEDs are achieved with EL spectrum presenting peaks in both visible and near-infrared regions. By introducing piezo-phototronic effect, piezoelectric polarization charges have been utilized to modify the energy band structure of ZnO and thus tune/control the transport, separation and recombination processes of photo-generated carriers. The light emission intensity of heterostructured LED array was enhanced by 120% under -0.05% compressive strains. These results indicate a perspective approach to fabricate Si-based light-emitting components with high performances enhanced by piezo-phototronic effect, which has potential applications in touchpad technology, personalized signatures, bio-imaging, optical MEMS and smart skin. Combined with silicon microelectronic industry, large-scale device integration could be easily achieved which will have a great future in silicon-based PIC and optical communication.
5:45 PM - NM1.12.04
ZnO Nanowire LED Arrays for Visual Strain/Pressure Mapping by Piezo-Phototronic Effect
Caofeng Pan 1 2 , Zhong Lin Wang 2 , Xun Han 1 , Mengxiao Chen 1
1 Chinese Academy of Sciences Beijing China, 2 Georgia Institute of Technology Atlanta United States
Show AbstractEmulation of human senses via electronic means has long been a grand challenge in research of artificial intelligence, and is of pivotal importance for developing intelligently accessible and natural interfaces between human/environment and machine.
In this talk, we present a novel design of nanowire LED arrays, which can be used to directly record the strain distribution by piezo-phototronic effect, which is published in Nat. Photonics, Adv. Mater. et al.
In our group, we have firstly demonstrated how the piezo-phototronic effect can be effectively utilized to enhance the emission intensity of an n-ZnO/p-GaN NW LED (Nano Lett. 11, 4012, Nano Lett. 13, 607). The emission light intensity and injection current at a fixed applied voltage has been enhanced by a factor of 17 and 4 after applying a 0.1% compressive strain, respectively. Here, we extend the single NW device to NW LEDs array, for pressure/force sensor arrays for mapping strain with a resolution as high as 2.7 μm (Nat. Photonics 7, 752). Such sensors are capable of recording spatial profiles of pressure distribution, and the tactile pixel area density of our device array is 6250000/cm2, which is much higher than the number of tactile sensors in recent reports (~ 6-27/cm2) and mechanoreceptors embedded in the human fingertip skins (~ 240/cm2).
When the device is under pressure, the images unambiguously show that the change in LED intensity occurred apparently at the pixels that were being compressed by the molded pattern, while those were off the molded characters showed almost no change in LED intensity. Instead of using the cross-bar electrodes for sequential data output, the pressure image is read out in parallel for all of the pixels at a response and recovery time-resolution of 90 ms. Furthermore, our recent studies achieve such piezo-phototronic effect induced strain mapping in a flexible n-ZnO NWs/p-polymer LEDs array system. This may be a major step toward digital imaging of mechanical signals by optical means, with potential applications in touch pad technology, personalized signatures, bio-imaging and optical MEMS.
Furthermore, this piezo-phototronic effect was achieved on p-Si/n-ZnO LED array (Adv. Mater. 27, 4447) and p-PSS:PEDOT/n-ZnO NW LED array(Adv. Funct. Mater. 25, 2884). By applying a strain onto the top of the LEDs, the light emission intensity of LEDs array was enhanced as well by 120% under -0.05% compressive strains. A pressure map can be created by reading out in parallel the change of the electroluminescent intensities from all the pixels in the near future.
This research not only introduce a novel approach to fabricate flexible light-emitting components with high performances, but also may be a great step toward digital imaging of mechanical signals using optical means, having potential applications in artificial skin, touch pad technology, personalized signatures, bio-imaging and optical MEMS, and even and smart skin.
NM1.13: Poster Session II: Nanophotonics, Optoelectronics and Energy Applications
Session Chairs
Thursday AM, December 01, 2016
Hynes, Level 1, Hall B
9:00 PM - NM1.13.01
Al-Catalyzed SiNW Formation Controlled by Substrate Temperature
for Photovoltaic Application
Wipakorn Jevasuwan 1 , Thiyagu Subramani 1 , Toshiaki Takei 1 , Naoki Fukata 1
1 National Institute for Materials Science Tsukuba Japan
Show AbstractNanowires (NWs) have been the focus of attentions for future devices due to their unique physical properties which have great potentials for fabrication of NW-based solar cells and NW-field-effect transistors. Vapor-liquid-solid mechanism using nanocluster catalysts of chemical-vapor-deposition (CVD) technique is one of candidates to create high-quality NWs with single crystalline structures and in large quantities. Several Au-catalyzed NW formations and characterizations in our previous researches have already been reported [1-4]; however, high performance devices are remaining in progress to accomplish owning to Au contamination. In this study, we would like to present Al which has been recently proposed as a new alternative catalyst to form SiNWs [5,6]. The binary Al-Si phase diagram suggested that SiNWs can be grown at low eutectic temperature of 577 °C with Si composition of 12.6%. The effect of substrate temperature on Al-catalyst SiNW formation and Al-doping concentration obtained by thermal CVD process were investigated. The Al removal from the tip of SiNWs by diluted HF after CVD growth was observed. SEM, TEM, EDX and Raman spectroscopy were applied for characterizations. Al-catalyst SiNW-based solar cells with an active area of 1 cm2 were demonstrated.
All SiNW samples were carried out using n-Si(111) substrates and the 50-nm-thick Al-catalyst films were prepared using sputtering. SiNW formation was performed with various substrate temperatures of 550 °C, 600 °C, 650 °C, and 700 °C. SEM images showed that SiNWs could be formed at 600 °C and good vertical taper-shaped NW structure was achieved at 650 °C. Adding of non-directional SiNW branch was more obvious at 700 °C. TEM images revealed that amorphous Si and remaining Al catalyst were observed at SiNW surface and on the tip of SiNW, respectively. Single-crystalline structure was detected inside SiNW with a [111] growth direction. Raman spectroscopy showed good crystallinity for SiNWs grown at 650 °C and 700 °C. Fano-effect was observed in Si optical phonon peak by Raman spectroscopy and Al doping concentration was examined. The removal of Al at the tip of Si nanowire after CVD growth by dipping in diluted HF could be successful. EDX images showed no detection of Al on SiNW tip and surrounding surface with good maintained SiNW structure. Light reflectance spectra of SiNW structure were detected at lower than 10% for entire spectral response wavelength. SiNW-based solar cells are in progress. [1] N. Fukata, et al., Nanoscale, 7 [16] (2015) 7246-7251, [2] N. Fukata, et al., Phys. Status Solidi C 11[2] (2014) 320-330, [3] N. Fukata, et al., J. Phys. Chem. C 117[39] (2013) 20300-20307, [4] N. Fukata, et al., ACS Nano, 6 [4] (2012) 3278-3283, [5] Y. Wang, et al., Nature Nanotech., 1 (2006) 186-189, [6] O. Moutanabbir, et al., Nature, 496 (2013) 78-82.
9:00 PM - NM1.13.02
Silicon Photonics Device and Process Technology for Photonic Integrated Circuits
Tohru Mogami 1 , Tsuyoshi Horikawa 2 1 , Keizo Kinoshita 1
1 Photonics Electronics Technology Research Association Tsukuba Japan, 2 National Institute of Advanced Industrial Science and Technology Tsukuba Japan
Show AbstractSilicon is a very useful material not only for electrical LSI’s but also for photonic IC’s. Si photonics application basically comes from optical properties of Si, that is, the absorption coefficient of Si is very small between 1.2-1.6 μm wavelengths and the refractive index of Si is higher than silica. Si photonics IC‘s are expected to overcome the increasing bandwidth of the "Internet of Things” world thank to easy integrated CMOS processes and device reproducibility. For this optical interconnect, Si photonics IC’s based on Si CMOS technology is a key to produce photonic integrated circuit chips. The optical waveguide is an optical signal line to connect photonics devices and systems. There are two-type, wire and rib, waveguides. The wire-type waveguide is suitable for IC because of small footprint. The optical propagation loss of waveguide strongly depends on the line edge roughness. When we have fabricated wire-type waveguide with 440nm width using an ArF-immersion lithography technique, applied for the advanced CMOS fabrication, low-loss waveguides were achieved thanks to smaller line edge roughness. Furthermore, the small variation (smaller than 0.2dB) of the propagation loss for waveguides on a 300mm wafer was confirms. This is due to the uniformity control of waveguides fabricated by the advanced CMOS process. From an integration point of view, it is also essential to keep low spectral variation in wire-type waveguide devices. In our study on 300mm SOI wafers, a low spectral variation of 0.7 nm (σ) for resonant wavelength in microring resonators was confirmed, as the results of the uniformity control for waveguide width. This is because the advanced 300 mm CMOS process technology was applied to the Si photonics IC fabrication. This research is partly supported by New Energy and Industrial Technology Development Organization (NEDO).
9:00 PM - NM1.13.03
Vibrational Band Structure of Silicon Phononic Crystals
Ralf Meyer 1
1 Laurentian University Sudbury Canada
Show AbstractPhononic crystals are artificial, periodically structured materials which tailor the dispersion of elastic waves through Bragg reflection. With nanofabrication methods, phononic crystals with periodicity length in the nanometer regime and operating frequencies in the GHz - Thz range can be build. Such hypersonic phononic crystals have potential applications for telecommunication, thermal management and as thermoelectric materials.
In this work, the vibrational band structure of bottom-up built phononic crystals made from silicon nanowires and nanoparticles are studied with molecular dynamics simulations and finite element method calculations. The band structure derived from simulations of a multi-million atom model phononic crystal is compared to corresponding results of a two-dimensional model baed on linear elasitcity model. While both methods are in excellent qualitative agreement, the molecular-dynamics simulations make it possible to study the effect of grain boundaries and surfaces on the vibrational properties. The finite element method calculations on the other hand allow further insight into the structure of the vibrational eigenmodes which cannot be obtained from the molecular dynamics simulations. Results are shown from the finite element calculations which demonstrate how the acoustic modes in the phononic crystal deviate from the behaviour of a homogeneous bulk material as the wavelength approaches the Brillouin zone boundary.
9:00 PM - NM1.13.04
Room Temperature Direct- and Indirect-Gap Photoluminescence from Self-Passivated Core-Shell Germanium/Germanium-Tin Nanowires
Andrew Meng 1 , Colleen Fenrich 1 , Michael Braun 1 , James McVittie 1 , Ann Marshall 1 , James Harris 1 , Paul McIntyre 1
1 Stanford University Stanford United States
Show AbstractGe/Ge1-xSnx core-shell nanowires are synthesized using Au catalyzed vapor-liquid-solid growth, achieving Sn compositions up to 10% during reduced pressure chemical vapor deposition using GeH4 and SnCl4 precursors. Room temperature photoluminescence (PL) measurements indicate strong emission matching direct- and indirect-gap transitions in both the tensile-strained Ge core and the compressively-strained Ge1-xSnx shell, demonstrating self-passivation of these core-shell structures, as the indirect-gap transition in uncoated Ge nanowires is quenched by surface recombination. Strong room temperature PL is promising for application of GeSn nanowires in integrated photonic devices. The optical properties of Ge/Ge1-xSnx core-shell nanowires are correlated to their structures, as observed in transmission electron microscopy (TEM), scanning electron microscopy (SEM), and x-ray diffraction (XRD). Compositional analysis was performed by TEM using x-ray energy dispersive spectroscopy (EDS). X-ray diffraction (XRD) measurements of lattice spacings in the strained core-shell structures and EDS-measured Sn compositions in the shell are in agreement with the assignments of band-edge transitions in the observed PL features.
Vertical core-shell Ge/GeSn nanowires were grown by vapor-liquid-solid (VLS) mechanism of chemical vapor deposition (CVD) from randomly dispersed 40 nm diameter colloidal Au nanoparticles on Si(111) and Ge(111) substrates using a three-step process. The first two steps were conducted under GeH4/H2 flow with PGeH4/PH2 = 0.0145 and included a 2-minute nucleation step conducted at 375°C and a 30-minute growth segment at 300°C for a core Ge nanowire. The last step was a 30-minute shell growth segment conducted under GeH4/H2/SnCl4 flow at 300°C with PGeH4/PH2 = 0.0145 and PSnCl4/PGeH4 ranging from 0 to 3×10-5. Total pressure during growth was 30 Torr.
9:00 PM - NM1.13.05
Engineering Electron-Lattice Interactions in CdS via Metal-Insulator Transition in VO2 Micro Ribbons
Yiping Wang 1 , Jian Shi 1
1 Material Science and Engineering Rensselaer Polytechnic Institute Troy United States
Show AbstractWhile strain engineering has long been considered an effective way to edit semiconductor properties, strategy to dynamically control strain and therefore physical properties remains limited due to the relative insensitivity of semiconductors’ electron-lattice response to environmental perturbations. We suggest a dynamic approach of strain engineering that takes advantage of the colossal strong correlation effect in VO2 micron beams. By triggering the metal-insulator phase transition in VO2 via temperature, we translate the strain and strain patterns from VO2 to the wurtzite semiconductor CdS, which in turns leads to the modulation of CdS’ electron-lattice interactions. As a result, CdS’ band structure is engineered being a first-order nonlinear function of temperature. In addition, such nonlinear function renders a gigantic increase in CdS’ pyroelectric coefficient by a few orders in magnitude at the phase transition window. Our finding agrees well with the prediction via deformation potential theory and k*p method. Our demonstration suggests strong correlation effect in strongly correlated oxides could be very promising as new approach for effective strain engineering. It may outperform several other approaches in terms of dynamicity and manipulability since any perturbation (temperature, electrostatic doping, and pressure) that could trigger phase transition in strongly correlated oxides could lead to property modulations in the as-grown semiconductors.
9:00 PM - NM1.13.06
Quantum Dots and Quantum Wires Contribution on Photoluminescent Properties of Nanostructured Oxidized Silicon
Carlos Vargas 1 2 , Taina Ramirez-Cortes 1 2 , Karla Cordero-Suarez 2 , Arturo Ramirez-Porras 1 2
1 Centro de Investigación en Ciencia e Ingeniería de Materiales Universidad de Costa Rica San José Costa Rica, 2 Escuela de Física Universidad de Costa Rica San José Costa Rica
Show AbstractStability of functional devices such as light-emitting devices and chemical or biological sensors is an important issue nowadays. Nanostructured silicon made using top-down methodologies is being employed as a material to develop such systems, but surface stability to external ambient conditions is still an open question. One of those important conditions is oxidation. Although there exist models accounting for the role of oxide layers on semiconductor systems, experimental data is still required to provide further useful information. In this paper, we perform oxidation processes to light-emitting nanostructured silicon and study the contribution of quantum dots and quantum wires to photoluminescence as surface oxidation evolves. Cross-correlations with infrared spectroscopy are also included in order to extract a more comprehensive model.
9:00 PM - NM1.13.07
Facile Synthesis of Surface-Enhanced Raman Spectroscopy (SERS) Substrate for Xylene Isomers Detection
Phuong Hoang 1 , Niveen Khashab 1
1 King Abdullah University of Science and Technology Thuwal Saudi Arabia
Show AbstractWe introduce a facile method to fabricate standing array of zinc oxide/gold core-shell structure encapsulated in silica coating for surface-enhanced Raman spectroscopy (SERS) application. The substrate provides reproducible signals for with relative standard of deviation of 9% for 15 measurements and has an enhanced factor of 2.4x104 using 4-mercaptobenzoic acid as probe molecule. The synthesis process is done at atmospheric pressure and maximum temperature of 120oC, which does not involve lithography steps and yet provide uniform coverage for sensitive signal detection. We demonstrate the performance of the substrate by obtaining the composition of xylene isomers in xylene histological grade sample and compare results with gas chromatography (GC). The result was 2.9% error for o-xylene, 3.7% error for m,p-xylene. Detection limit of substrate is 14 ppm and 35 ppm according to o,m- xylene and p-xylene respectively.
9:00 PM - NM1.13.08
Mesoscale Simulations of the Influence of Elastic Strains on the Optical Properties of Semiconducting Core-Shell Nanowires
Lukasz Kuna 1 , John Mangeri 1 , Junfei Weng 2 , Pu-Xian Gao 2 1 , Serge Nakhmanson 2 1
1 Department of Physics University of Connecticut Storrs United States, 2 Department of Material Science and Engineering University of Connecticut Storrs United States
Show AbstractZnO semiconducting nanorods and nanowires are being actively investigated for their attractive chemical and physical properties that make them useful for a variety of electronic, photonic and biomedical device applications [1]. For example, it was recently demonstrated that large band gap changes can be induced in ZnO nanowires by an application of tensile strain [2]. In the present work, we utilize MOOSE multiphysics framework [3] to conduct mesoscale-level modeling of the influence elastic strains have on the optical properties of semiconducting ZnO nanowires. As a first step, we simulated the properties of monolithic ZnO nanowires with diameters ranging from 100 to 800 nm, obtaining good agreement with the experimental results of Ref. [2]. As a second step, we simulated the properties of Zn-ZnO core-shell nanowires in the same diameter range for a variety of different wire geometries, core-to-shell volume ratios, and crystallographic orientations. As a parallel experimental validation effort, various configurations of Zn-ZnO core-shell nanowires were grown using vapor phase deposition in conjunction with atmospherically controlled thermal post treatment. The structure and optical characteristics of these nanowires are revealed using electron microscopy/spectroscopy, as well as optical spectroscopies, such as photoluminescence, and UV-vis. Our combined investigation suggests that, after size and shape optimization of core and shell regions, such nanowires can exhibit band-gap shifts of tenths of eV under tensile distortions.
1. G.-C. Yi, C. Wang, W. I. Park, ZnO nanorods: synthesis, characterization and applications, Semicond. Sci. Technol. 20, S22–S34 (2005).
2. B. Wei, K. Zheng, Y. Ji, Y. Zhang, Z. Zhang, X. Han, Size-dependent bandgap modulation of ZnO nanowires by tensile strain, Nano Lett. 12, 4595–4599 (2012).
3. http://www.mooseframework.org/
9:00 PM - NM1.13.09
Ultrafast Carrier Dynamics in Au and Ag 3D Nanoparticles Arrays Formed on Silica Nanowires
Lorenzo Di Mario 1 , Lin Tian 1 , Daniele Catone 2 , Patrick O'Keeffe 2 , Stefano Turchini 2 , Faustino Martelli 1
1 Consiglio Nazionale delle Ricerche Roma Italy, 2 Consiglio Nazionale delle Ricerche Roma Italy
Show AbstractMetal nanoparticles (NPs) are characterized by unique optical properties that derive from the localized surface plasmon resonance (LSPR), a collective oscillation of the conduction electrons. In particular, these NPs show sharp spectral absorption for incident photon frequency resonant with the LSPR. The LSPR has high intensity and it is sensitive to the environment of the NPs and to their coupling, making metal NPs of great interest for molecular sensing and biomedical applications [1].
Understanding the dynamics that occur following photon absorption in metal NPs is fundamental for many applications. The ways the different dynamical process depend on size, shape and composition of the particles are known. However the interaction of the NPs with their environment needs to be fully understood [2]. In this work, we study the ultrafast carrier dynamics in Au and Ag NPs formed on silica nanowires (NWs) arrays, using transient absorption spectroscopy. The silica NWs arrays are transparent in the visible to near-UV region and offer a large surface area to attach NPs, providing at the same time a macroporous framework for an efficient interaction between the NPs and the environment. These features make metal-decorated silica NWs optimal systems to study NP interaction with the environment and between them.
The silica NWs arrays have been fabricated via thermal oxidation of Si NWs grown on quartz. They were then decorated with Au or Ag NPs by dewetting metallic films evaporated on the NWs [3].
Transient absorption spectroscopy was performed using a pump probe configuration in a femtosecond transient absorption spectrometer (FTAS). The amplified 2nd harmonic of a Ti:Sapphire laser at 400 nm with pulse length of 50 fs and repetition rate of 1 KHz was used as a pump for the measurement on the Au-decorated silica NWs. For the Ag-decorated silica NWs, to avoid overlap between the pump and the LSPR we used a pump at 275 nm obtained from an optical parametric amplifier. A white light supercontinuum generated in the FTAS was used as a probe for both metals in the range between 400 and 800 nm.
The transient absorption spectra show the expected increase of the transmission at the LSPR immediately after the pump excitation when the excited states are occupied and not available for the absorption of the probe. For the Ag NPs both the dipole and quadrupole contribute to the LSPR are observed.
Shift of the LSPR wavelength as a function of delay time have been observed for both Au and Ag. This could indicate the presence of NPs with different shape and size with the resulting overlap of different dynamics. Finally, electron-electron and electron-phonon coupling times have been extracted at different pump fluencies to evaluate how they are affected by the specific features of our 3D arrays.
[1] K. A. Willets, et al., Annu. Rev. Phys. Chem. 58, 267 (2007)
[2] G. V. Hartland, et al., Chem. Rev. 111, 3858 (2011)
[3] A. Convertino, et al., J. Phys. Chem. C 118, 685 (2014)
9:00 PM - NM1.13.10
Zn
O Nanowires Electrodeposited for UV Detector Applications
Maria Cristina Vicente Manzano 1 , Laszlo Petho 1 , Johann Michler 1 , Laetitia Philippe 1
1 Empa, Swiss Federal Laboratories for Materials Science and Technology Thun Switzerland
Show AbstractIn the last years, wide band gap semiconductors have received great interest due to their wide application range. Specifically, one-dimensional structures of these semiconductors are candidates for many applications. ZnO is an ideal candidate, as it is an n-type semiconductor with a hexagonal structure, a direct wide band gap of 3.36 eV, high electron mobility, and large excitation energy of 60 meV at room temperature. This semiconductor exhibits an efficient emission in the ultraviolet and visible range, so it is also promising for UV detection applications. ZnO one-dimensional structures (nanowires) are a promising candidate for efficient UV detectors if they fulfill three requirements: high aspect ratio (length/pore diameter) to obtain high on/off current ratios in UV detectors, oriented along the c-axis of the hexagonal structure to reach high electrical properties for the photodetector, and ZnO nanowires should be ordered perpendicular to the substrate to provide excellent light trapping and improve absorption.
In this study, ZnO nanowires have been grown at constant electrochemical deposition. Electrodeposition of ZnO was performed using a standard three-electrode cell, where the working electrode was home made anodic aluminum oxide (AAO) templates, the counter electrode was a Pt mesh, and the reference electrode was Ag/AgCl. The electrolyte used in the electrodeposition was peroxide solution (0.005 M ZnCl2 + 0.1 M KCl + 0.04 M H2O2). ZnCl2 concentration were changed from 0.001 M to 0.01 M. The electrodeposition temperature was 70, 75, and 80 °C. The morphology, uniform growth, and filling ratio were controlled by the ZnCl2 concentration, applied potential, and electrodeposition temperature. At 70 °C and using 0.005 M ZnCl2 in the electrolyte, high aspect ratio, uniform growth, and filling ratio ZnO nanowires were obtained by Scanning Electron Microscopy. The crystallographic structure of the nanowires was controlled by applied potential around the reduction potential of ZnO. X-Ray Diffraction confirms that the nanowires are pure ZnO. The main advantages of growing ZnO nanowires by electrodeposition into AAO are the order of the nanostructures, and perfect control nanowires geometry. Moreover, the electrical properties can be measured in ZnO nanowires embedded into AAO, after removing AAO, and single nanowires. The effect of the pore diameter and length of ZnO nanowires on IV curves, and photoresponse is a wide field waiting to be explored.
9:00 PM - NM1.13.11
Enhanced Raman Signal at the Heterojunction Region of SiGe/Si Axially Heterostructured Nanowires
Jose Luis Pura 1 , Julian Anaya 2 , Carmelo Prieto 1 , Juan Jimenez 1 , Tomas Rodriguez 3 , Andres Rodriguez 3 , Thierry Baron 4
1 University of Valladolid Valladolid Spain, 2 HH Wills Physics Laboratory University of Bristol Bristol United Kingdom, 3 Universidad Politécnica de Madrid Madrid Spain, 4 CEA-LETI Grenoble France
Show AbstractSemiconductor nanowires present amazing optical properties, in particular, waveguiding, antenna effects, and resonant light absorption and scattering, which make from then essential objects for nanophotonics. These properties are usually studied on homogeneous nanowires; however, many devices are based on heterostructured nanowires. Little is known about the role of heterojunctions in the interaction between the heterostructured nanowires and light. We present herein a micro-Raman study of axially heterostructured SiGe/Si nanowires grown by the liquid solid vapor (VLS) technique. In this technique the heterojunction is not abrupt, but presents a gradual transition between the compositions of the two nanowire segments. This can be used to study the heterojunction, since the Raman spectrum is sensitive to the nanowire composition. The Raman spectrum reveals a resonance effect at the heterojunction. The Raman signal of the heterojunction is enhanced by at least a factor of ten with respect to the homogeneous parts of the nanowires. This resonance effect is modeled by solving the Maxwell equations for the interaction between the heterostructured nanowire and a laser beam focused by a high magnification optical microscope objective. The results of the simulation confirm the role of the axial heterojunction in the enhancement of the local Raman signal.
9:00 PM - NM1.13.12
Enhanced Optical Properties of Zinc Oxide Nanostructures on Porous Silicon Carbide Substrates for Optoelectronic Applications
Nima Naderi 1
1 Department of Semiconductors Materials and Energy Research Center Karaj Iran (the Islamic Republic of)
Show AbstractHere we study the optical properties of zinc oxide (ZnO) nanorods grown on different structures of porous silicon carbide (PSC) through chemical bath deposition (CBD) technique. A seed layer of ZnO thin film with thickness of 100 nm was grown on PSC samples using radio frequency magnetron sputtering in order to facilitate growth of nanostructures. PSC substrates were successfully synthesized via electrochemical etching of an n-type hexagonal silicon carbide (6H-SiC) substrate using various pulsed current densities in an HF-based solution. The photoluminescence (PL) of PSC was optimized and was found related to the surface morphology, which was determined by the etching parameters. The optical results showed that the current density is an outstanding etching parameter that controls the porosity and uniformity of PSC substrates. The effect of surface morphology of PSC substrates on shape and optical properties of fabricated ZnO nanorods was studied. Our results show that optimized PSC samples are suitable materials for substrate in order for ZnO-epitaxy because they offer low lattice mismatch for ZnO epitaxial layers. The most important advantage of PSC for substrate applications is that the nano-patterned porous structures can act as a sink for accommodate the strain and threading dislocations in order to obtain the subsequent ZnO layer with lowered strain and dislocation densities. Thereafter, the optoelectronic characteristics of metal–semiconductor–metal (MSM) UV photodetectors based on ZnO nanostructures on different PSC substrates were studied in the dark and under UV illumination. There was an outstanding improvement in photoconductivity of ZnO nanorods grown on optimized PSC substrates.
9:00 PM - NM1.13.13
Reduction of the Interface States Density at Si Nanowires by Electropolishing
Jessica Haenisch 1 , Carola Klimm 1 , Joerg Rappich 1
1 EE-IS Helmholtz-Zentrum Berlin für Materialien und Energie GmbH Berlin Germany
Show AbstractThe metal assisted chemical etching procedure can be used to generate nanowires on crystalline Si surfaces in a simple manner.1 However, this technique leads to surface damaging like e.g. enhanced roughness, dangling bonds, steps and kink sites.2 Surface defects provide additional recombination centers in the band gap and will thereby minimize the charge carrier transfer of any future device. The surface defect density of Si surfaces can be reduced by repetitive oxide growth and dissolution, further denoted by electropolishing.3 We investigated this technique for nanowire structured surfaces and combined the electropolishing with a PL setup to monitor changes of the surface recombination during the experiments. We observed that after electropolishing the surface has a lower defect density and therefore exhibits a higher PL intensity.4 Moreover, we achieved to prevent the Si surfaces with a lower defect density from oxidation by methylation, which displays a long time stable passivation, as confirmed by PL measurements.
1 Z. Huang, N. Geyer, P. Werner, J. de Boor, and U. Gösele, Adv. Mater. 23, 285 (2011).
2 K.R. McIntosh and L.P. Johnson, J. Appl. Phys. 105, 1 (2009).
3 Lehmann, V. Macroporous Silicon, in Electrochemistry of Silicon: Instrumentation, Science, Materials
and Applications, Wiley-VCH Verlag GmbH, Weinheim, FRG (2002). doi: 10.1002/3527600272.ch9
4 V.Y. Timoshenko, A. B. Petrenko, T. Dittrich, W. Füssel, and J. Rappich, Thin Solid Films 364, 196 (2000).
9:00 PM - NM1.13.14
Highly Doped Semiconductor Nanowires for NIR Plasmonics Towards Enhanced Emission Rate
Robert Roeder 1 , Carsten Ronning 1
1 Institute of Solid State Physics University of Jena Jena Germany
Show AbstractSemiconductor nanowires (NWs) are conventionally considered as promising building blocks for nano-electronic and nanophotonic devices such as photodetectors, solar cells, LEDs, lasers, etc. Beyond these devices, highly doped semiconductor NWs can be applied in order to achieve extreme light concentration in the near-infrared (NIR) spectral region, as the plasmon frequency of the semiconductor material can be adjusted by the dopant concentration. Single crystalline semiconductor NWs are selectively structured with high densities of n-type dopants using ion-beam techniques accompanied by subsequent annealing. The density of free electrons, which is locally varying in the NW, allows strong optical mode confinement causing enhanced emission rates via the Purcell effect in the NIR. Thus, luminescence centers such as rare earth elements (RE) or transition metals (TM), which are also ion beam implanted into the NW host matrix, emit more efficiently compared to the unstructured NW device. Finally, this concept can lead to efficient RE/TM doped single photon sources using individual NWs as platform and waveguide.
9:00 PM - NM1.13.15
Photoluminescence Studies of Mid-Infrared InAs/AlAs Quantum Dot Cascade Laser Structures
Elif Demirbas 1 , Xifeng Qian 1
1 Physics University of Massachusetts, Lowell Lowell United States
Show AbstractQuantum cascade lasers (QCLs) have been extensively studied for their intersubband electron transition inside a quantum well structure, which can be designed to emit light at different wavelengths simply by changing the thickness of the component layers. QCLs are based on a mature technology, which yields continuous-wave high output power at room temperature [1]. However, in these devices, the non-radiative transitions between QW subbands compete with stimulated emission therefore it reduces the output luminescence and power. For this reason, it was proposed that using three dimensional confined systems such as quantum-dots (QDs) makes it far less likely that a phonon can induce non-radiative transition as compared to the continuum of in-plane states in the QW [2]. In addition, it is theoretically shown that quantum dot cascade lasers (QDCLs) operate at lower threshold current density [3]. Recently, InAs/AlAs quantum dot cascade emitter has been experimentally demonstrated [4]. In such devices, carriers are injected to the higher electronic state in QD, transition of the carriers from the higher state to lower state in quantum dots results in emission, and carriers are then extracted through the ground states in QW to achieve population inversion. The realization of this QD-QW hybrid structures benefits from the mature technique of epi-growth of both QD and QW. Although InAs/AlAs quantum dot cascade emitter has been demonstrated, its electronic states and transport mechanism were not extensively studied. Here, we present the optical and electronic characteristics of QDCL structures using photoluminescence (PL). In this work, two InAs/AlAs quantum dot structures are grown by Molecular Beam Epitaxy (MBE) with different GaAs QW thicknesses. Low temperature (LT) photoluminescence measurements show peaks at 1.04eV, 1.31 eV, and 1.51 eV for sample 1 and 1.08 eV, 1.31 eV and 1.47 eV for sample 2, corresponding to ee-hh transition in both QD and QW. A three-dimensional QD-QW model in Nextnano [5] is used to simulate the energy states in conduction and valence band using reasonable QD size, characterized by atomic force microscope (AFM). The simulation results agree with the PL results, showing the appropriate model is developed. Finally, we demonstrated that PL and Nextnano simulation software can be used to characterize the electron band structures and transport mechanisms in QDCLs. The results can feedback to optimize the QDCL active region design.
[1] S. Slivken, A. Evans, W. Zhang, and M. Razeghi, Applied Physics Letters 90, 151115 (2007).
[2] N. S. Wingreen, and C. A. Stafford, IEEE Journal of Quantum Electronics 33, 1170 (1997).
[3] S. Michael, W. W. Chow, H. C. Schneider, Proc. SPIE 9767, Novel In-Plane Semiconductor Lasers XV, 97671E (2016).
[4] D. Wasserman, T. Ribaudo, S. A. Lyon, S. K. Lyo, and E. A. Shaner, Applied Physics Letters 94, 061101 (2009).
[5] NEXTNANO3 code; see www.nextnano.com/nextnano3/.
9:00 PM - NM1.13.16
Electrodeposited Nanostructured Matrix of CdS and CdSe for Hybrid Solar Cells
Jelena Maricheva 1 , Sergei Bereznev 1 , Olga Volobujeva 1 , Andres Opik 1 , Julia Kois 1
1 Tallinn University of Technology Tallinn Estonia
Show AbstractPhotovoltaic devices based on n-type photoactive nanoscale semiconductor structures and p-type conjugated polymers have attracted attention of researchers recently. The main advantage of the use of a nanostructured layer is an increase of an effective surface area vs. volume. In a previous work we demonstrated a successful electrochemical formation of self-assembled CdSe nanowires with a length up to 2 µm onto ITO/glass substrates. This study extends the research of CdS and CdSe nanostructured layers electrodeposited onto the ITO/glass substrates for complete hybrid solar cells fabrication.
Polynanocrystalline CdS thin films with a thickness of 60 – 100 nm were deposited electrochemically in a potentiostatic mode onto the ITO/glass at 50 °C in an acidic solution (pH 3.5) containing CdCl2, Na2S2O3, Na2EDTA and a micromolar concentration of H2SeO3. Electrodeposited CdS thin films were annealed at 120 °C in vacuum to improve the crystalline structure and photoelectrical properties.
Nanostructured CdSe matrix-layers were electrodeposited potentiostatically in the solution containing H2SeO3 and CdCl2 at pH 2.5 at room temperature. A thickness of a dense part of the CdSe layer was in the range of 200 – 300 nm with nanowires on top. Prepared CdSe/ITO/glass structures were thermally treated in air at 250 °C for 30 min. Prepared CdS and CdSe nanostructures were completed with p-type electrically conductive polymer layers (PCDTBT, polypyrrole) deposited by the photo-assisted electrochemical method and the spin-casting technique.
The prepared hybrid PV structures were investigated and characterized. A special attention was paid to electrical and electrochemical characterization, UV–VIS absorption spectroscopy, surface potential measurements and photoluminescence spectroscopy of the obtained functional layers.
9:00 PM - NM1.13.17
Resonant AC and Optical Absorption Spectra of Aperiodic Branched Nanowires
Vicenta Sanchez Morales 1 , Fernando Sanchez 1 , Chumin Wang 1
1 Universidad Nacional Autonoma de Mexico Mexico City Mexico
Show AbstractThe electronic transport induced by oscillating electromagnetic field in non-crystalline nanostructures is still an unclear issue, where the interference between the electronic wavefunction and aperiodic potentials has multiple consequences. Recently, branched nanowires with tunable three-dimensional (3D) morphology have been obtained, and they have wide applications in energy conversion and storage devices [1]. Nonlinear electrical properties of branched nanowires have also been reported [2]. In general, the aperiodic arrangement of branches avoids the use of the reciprocal space and then, such systems should be addressed in the real space. In this work, a renormalization plus convolution method developed for the Kubo-Greenwood formula [3] is used to investigate the electronic transport in branched nanowires. We report a three order-of-magnitude enhancement in comparison to the ballistic alternating current (AC) conductivity, when periodic or quasiperiodically placed branches are introduced to an otherwise periodic nanowire, which are connected to two semi-infinite periodic leads at its ends [4]. Moreover, the temperature variation analysis suggests the possibility to observe these resonant AC conducting peaks at room temperature. Given that the imaginary part of the dielectric function is proportional to the AC conductivity, we further calculate the optical absorption in these aperiodic branched nanowires, finding significant improvements in their absorption spectra at infrared range, useful for the solar cell applications.
This work has been partially supported by UNAM-IN114916. Computations were performed at Miztli of DGTIC, UNAM.
[1] C. Cheng and H.J. Fan, Nano Today 7, 327 (2012).
[2] D.B. Suyatin, J. Sun, A. Fuhrer, D. Wallin, L.E. Fröberg, L.S. Karlsson, I. Maximov, L.R. Wallenberg, L. Samuelson and H.Q. Xu, Nano Lett. 8, 1100 (2008).
[3] V. Sanchez and C. Wang, Phys. Rev. B 70, 144207 (2004).
[4] V. Sanchez and C. Wang, Phil. Mag. 95, 326 (2015).
9:00 PM - NM1.13.18
Hybrid Heterostructured Semiconductor Nanowires and Conjugated Polymer with Potential Application in Photovoltaic Devices
Raphael Caface 1 , Yuri Pusep 1 , Francisco Eduardo Guimaraes 1
1 Instituto de Física de Sao Carlos University of Sao Paulo Sao Carlos Brazil
Show AbstractHybrid photovoltaic devices (HPD) based on conjugated polymers and inorganic semiconductors are being used in recent years to produce solar cells at a low cost. Therefore, efficient HPD requires efficient exciton dissociation and charged carrier collection. The present studies we demonstrated that the hybrid system formed by cylindrical radial heterostructured nanowires (NWs) covered with a thin conjugated polymer can a very suitable candidate to an efficient HPD. NWs with high density were manufactured by Molecular Beam Epitaxy (MBE) and consist of structured GaAs/Al0.3Ga0.7As/GaAs [1] wires covered with a thin polymer film based on poly-phenylene vinylene (PPV) deposited by the Layer-by-Layer (LbL) methodology, which favors the light absorption in the visible and in the infrared range. The core/inner and shell/outer layer configuration of NWs produces a built-in electric field that favors spatial separation of the photoexcited electrons in the core and holes in the shell. Time resolved spectroscopy shows that excitons generated in PPV are efficiently transferred to the NW at the interface. Decay time measurements show a strong dependence of the exciton lifetime in the NWs on the emission wavelength, which is associated with bottleneck effects due to tunneling limiting recombination processes in the inner AlGaAs barrier and with energy distribution of emissive states along the radial axis. Temperature dependent measurements involving NW emissions provide strong experimental evidence that the impurity binding energy varies with the radial direction, which produces a strong electric potential modulation. This effect enhances electron and hole separation and the charge transfer process at the PPV/NW interface. The conditions for efficient charge separation between the polymer and heterostructured NWs and the additional benefits of this hybrid organic/NW will be discussed.
References: [1] CAFACE, R. A. et al. Photoluminescence of radial GaAs/AlGaAs/GaAs nanowires. Journal of Applied, v.113, n.3, p.064315, 14 fev. 2013.
9:00 PM - NM1.13.19
Observation of Strongly Enhanced Photoluminescence from Inverted Cone-Shaped Silicon Nanostuctures
Sebastian Schmitt 1
1 Helmholtz Zentrum Berlin für Materialien und Energie Berlin Germany
Show AbstractSilicon nanowires (SiNW) attached to a wafer substrate are converted to inversely tapered silicon nanocones (SiNC). After excitation with visible light, individual SiNC show a 200-fold enhanced integral band-to-band luminescence as compared to a straight SiNW reference. Furthermore, the reverse taper is responsible for multifold emission peaks in addition to the relatively broad near-infrared (NIR) luminescence spectrum. A thorough numerical mode analysis reveals that unlike a SiNW the inverted SiNC sustains a multitude of leaky whispering gallery modes. The modes are unique to this geometry and they are characterized by a relatively high quality factor (Q~1300) and a low mode volume (0.2 < (λ/neff)3 < 4). In addition they show a vertical out coupling of the optically excited NIR luminescence with a numerical aperture as low as 0.22. Estimated Purcell factors Fp Q/Vm of these modes can explain the enhanced luminescence in individual emission peaks compared to the the SiNW reference. Investigating the relation between the SiNC geometry and the mode formation leads to simple design rules that permit to control the number and wavelength of the hosted modes and therefore the luminescent emission peaks.
9:00 PM - NM1.13.20
Nonradiative Auger Recombination in Coaxial GaAs/AlGaAs Nanowire Lasers
Roman Vaxenburg 1 , Alexander Efros 2
1 George Mason University Fairfax United States, 2 Naval Research Laboratory Washington United States
Show AbstractOwing to their unique geometry, semiconductor nanowire lasers are rapidly emerging nanoscale coherent light sources.[1] Currently, one of the major goals in the device performance of nanowire lasers is the reduction of their threshold power. In nanoscale systems, the dissipative Auger recombination is an important nonradiative recombination channel which competes with gain development, thereby complicating the search for threshold reduction solutions. Here, we investigate theoretically the Auger recombination in coaxial GaAs/AlGaAs quantum well nanowire structures using the 8-band effective mass model. Specifically, we focus on the dependence of the Auger rate on quantum well radius and thickness. The calculations show that increasing delocalization of the carrier wavefunctions with increasing quantum well thickness reduces the rate of the Auger processes. The efficiencies of two Auger recombination channels, which lead to excitation of either electrons or holes, are compared. The results are compared with available experimental data. A possible strategy to reduce the rate of Auger recombination without changing the quantum well width is proposed.
[1] T. Stettner, P. Zimmermann, B. Loitsch, M. Döblinger, A. Regler, B. Mayer, J. Winnerl, S. Matich, H. Riedl, M. Kaniber, G. Abstreiter, G. Koblmüller, and J. J. Finley, Appl. Phys. Lett. 108, 011108 (2016).
9:00 PM - NM1.13.21
Strain, Energetics and Electronic Properties of Core/Shell GaN/AlN Nanowires
Theodoros Pavloudis 1 , Philomela Komninou 1 , Patrick Briddon 2 , Joseph Kioseoglou 1
1 Physics Department Aristotle University of Thessaloniki Thessaloniki Greece, 2 School of Electrical and Electronic Engineering Newcastle University Newcastle upon Tyne United Kingdom
Show AbstractIn this work the energetics, and the electronic structure of GaN/AlN core/shell nanowires (NWs) are examined by molecular dynamics simulations and DFT ab initio calculations. The structure of these NWS which were grown on Si (111) substrates by plasma-assisted molecular beam epitaxy (PAMBE) has been reported elsewhere [1]. Quantitative analysis of HRTEM images showed that the c-lattice parameter of the AlN shell was adapted to the c-lattice parameter of the GaN core. The absence of misfit dislocations in the non-polar (10-10) GaN/AlN interfaces suggested a full elastic accommodation of the misfit between the thin AlN shell and the GaN core. Structural models of several thousands of atoms with multiple shell-to-NW rations were examined employing the LAMMPS molecular dynamics code. Atomistic models consisting of 972-atoms were constructed for the DFT calculations with the AIMPRO ab initio code [2]. The atomic displacement and the variation of the a lattice parameter across the NWs, though the middle and through the edge along [10-10] and along [11-20], were calculated and the results showed a variation which is dependent on the direction. The a lattice parameter of the GaN core decreases with the shell-to-NW ratio leading to a conclusion that the core is increasingly compressed as the shell gets thicker. Average stress calculations along [11-20] and [10-10] showed that the shells are under tensile stress at the sides and the inner edges while being almost relaxed at the outer edges. The cores are under compressive stress, which is larger at the edges and are almost relaxed in the center. The density of states was examined for each case and the bandgaps of the core/shell NWs were found to be free of deep states.
Acknowledgment: Partial financial support from the FP7 MORGAN project NMP3-LA-2008-214610. Computations were supported by the LinkSCEEM-2 project, funded by EC under FP7 through Capacities Research Infrastructure, INFRA-2010-1.2.3 Virtua Research Communities, Combination of Collaborative Project and Coordination and Support Actions (CP-CSA) under grant agreement no RI-261600.
[1] Koukoula T., Kehagias T., Kioseoglou J., Eftychis S., Kruse J., Georgakilas A., Karakostas T., Komninou P., Proceeding of the International Microscopy Conference (IMC2014) , Prague, Czech Republic, September 2014
[2] Th. Pavloudis, K. Termentzidis, Ph. Komninou, C. D. Latham, P. R. Briddon, and J. Kioseoglou, J. Appl. Phys. 119, 074304 (2016)
9:00 PM - NM1.13.22
Optical Properties of 'Hedgehog' Particles
Joong Hwan Bahng 1 , Wei-Shun Chang 2 , Man-Nung Su 2 , Alexander Govorov 3 , Stephan Link 2 , Nicholas Kotov 4
1 Biomedical Engineering University of Michigan Ann Arbor United States, 2 Chemistry Rice University Houston United States, 3 Physics and Astronomy Ohio University Athens United States, 4 Chemical Engineering University of Michigan Ann Arbor United States
Show Abstract
Light scattering effects such as operational bandwidths, directionality, angular spreads and intensities in the presence of particulates are determined by its geometry, constitutive properties and the dielectric environment. On the other hand, majority of the theoretical and experimental work on light interactions with particles involve smooth interfaces with solid, core-shell and hollow configurations. Despite recent notable advances in the light scattering of particulate matters, through which useful as well as exotic light scattering effects have been revealed, challenge remains to achieve scattering over a broadband spectrum. Thus far, limited broadband scattering has been observed in particle samples with polydispersity in terms of shapes and sizes. Surface corrugation have widened the operational bandwidth, albeit limitations to plasmonic scattering in the visible spectrum. A simple dielectric particulate design that exhibits ultra-broadband scattering have utilities in an array of optics-based methods and devices. In this study, it will be shown that dielectric micro-particles having high aspect ratio interfacial corrugation, namely the ‘hedgehog’ particles (HP), displays ultra-broadband scattering with minimal reflection via non-resonant Mie scattering. Experimental spectra is supported by FDTD based simulations based on spiky HP model as well as on multi-shell models determined by effective medium approximations.
9:00 PM - NM1.13.23
Tailoring of Solution Grown TiO2, ZnO and Hybrid Nanostructures for Photoactive Performances
Tao Tao 1 , Jong Hyun Shim 1 , Junghyun Cho 1
1 Binghamton University Binghamton United States
Show AbstractSolution growth of oxidation films can provide enabling materials and processes for organic and flexible devices due to its low temperature processing capability. It involves unique stages of crystal growth at various length scales. Having a fundamental understanding of the mechanisms involved in those stages is essential to obtain desirable functional performances. In our processing, the development of various microstructures all starts from homogeneous nucleation of the nanoparticles when precursor solution gets above a critical saturation point. Depending on pH, concentration, temperature and ionic species of precursor solution, however, these primary nanoparticles are evolved into different shapes of secondary nanostructures (e.g., nanowires, nanospheres) via oriented attachment of nanoparticles. Further, we have garnered those secondary nanostructures with an anatase or a rutile phase that display different functional properties. In this presentation, we will discuss the photocatalytic and photovoltaic properties of the solution grown TiO2 and ZnO films consisting of the selected nanostructures and phases including the hybrid approach.
9:00 PM - NM1.13.24
Optoelectronic Properties of Gd Doped ZnO Nanotube/p-GaN Heterojunction Light Emitting Diodes
Norah Alwadai 1 , Tahani Felemban 1 , Somak Mitra 1 , Mufasila Muhammed 1 , Idris Ajia 1 , Iman Roqan 1
1 King Abdullah University of Science and Technology Thuwal Jeddah Saudi Arabia
Show AbstractIn this study, we report on the improvement of the optoelectronic properties of n-ZnO nanotube/p-GaN heterojunction. This is achieved by doping the ZnO with Gadolinium (Gd). We use pulsed laser deposition (PLD) to deposit the ZnO nanotubes on a commercial a high quality p-GaN epilayer to fabricate the light emitting diodes (LEDs). This p-GaN epilayer is grown by MOCVD on c-sapphire that is doped by GD (2 wt% target). To fabricate the p-n junction diode in order to produce an LED structure, n-Gd doped ZnO nanotubes are grown on p-GaN. The deposition parameters are optimized at 150 mTorr, 350 mJ, 9 cm, and 660 °C in order to grow high quality hexagonal doped ZnO nanotube. The structural properties of these nanotubes are characterized by X-ray diffraction (XRD), scanning electron microscopy (SEM). We study the optical properties by using photoluminescence (PL) spectroscopy at different temperature using cw-laser excitation (He-Gd laser) at 325 nm. Carrier dynamics are investigated by time resolved photoluminescence (TRPL) spectroscopy. The samples were photo-excited with femtoseconds pulses with a repetition rate of 76 MHz and wavelength of 266 nm from a frequency tripled Ti:sapphire laser (800 nm). The emission was subsequently temporally resolved using a Hamamatsu streak camera. Highly textured single crystal wurtzite ZnO nanotube arrays with c-axis orientation have been confirmed by XRD measurements. SEM images reveal that the nanotube arrays are vertically grown along the c-axis. Metal contacts are deposited on the nanotube to perform electrical measurements on the nanotubes. An electrical measurement is carried out by four-probe station. Electrical measurements show distinct diode characteristics. We demonstrate that Gd dopants significantly improve the device performance as Gd impurities reduce the valence band offsets and consequently more hole injection can be occurred leading to the observed enhancement of the ZnO emission.
9:00 PM - NM1.13.25
The Role of Electron Delocalization on Exciton-Phonon Coupling in CdSe/CdS Nanocrystals
Hendrik Utzat 1 , Igor Coropceanu 1 , Moungi Bawendi 1
1 Department of Chemistry Massachusetts Institute of Technology Cambridge United States
Show AbstractThe exciton-phonon interaction in nanocrystals plays a key role in determining the application relevant emission lineshape. However, the strength and nature of exciton-phonon coupling in nanocrystals remains poorly understood and has been subject to a multitude of discrepant studies in the past. In particular, it has proven difficult to reconcile the narrow (<1meV) low temperature (~4K) linewidths and weak phonon sidebands with the broad room temperature emission linewidth (60-100 meV) within the framework of a suitable model. Moreover, clear relationships between exciton-phonon coupling parameters and the architecture of nanocrystals are not yet established.
To elucidate the exciton-phonon interaction in nanocrystals, we have studied a series of CdSe/CdS core-shell nanocrystals with vastly different shell thicknesses. The quasi type-II band alignment in these materials allows for tuning of the excited state electron-hole separation with the shell-thickness. Using a combination of single dot emission spectroscopy over a wide temperature range and time dependent fluorescence spectroscopy, we have investigated the role of the shell-growth induced carrier separation on the exciton-phonon coupling parameters. We describe the temperature dependent emission lineshape with a unified model in terms of these exciton-phonon coupling parameters.
9:00 PM - NM1.13.26
Absorber Films of AgInSe
2 by Chemical Bath Deposition Incorporated in Solar Cells
Alejandra Fernandez 1 , Yolanda Pena Mendez 1 , Sarah Messina 2 , Shadai Lugo 3 , Maria Luisa Ramon 4 , Idalia Gomez 1
1 Universidad Autónoma de Nuevo León San Nicolás de los G Mexico, 2 Universidad Autónoma de Nayarit Tepic Mexico, 3 Centro de Investigación en Materiales CIMAV Apodaca Mexico, 4 Instituto de Energías Renovables, Universidad Nacional Autónoma de Nuevo León Temixco Mexico
Show AbstractIn this work reports the synthesis of AgInSe2 thin films by chemical bath deposition (CBD) of In2S3/Ag2Se precursor layers, followed by annealing at 380 °C for 1 h at 3x10-3 Torr. These films were characterized using X-ray diffraction (XRD), scanning electron microscopy (SEM), Atomic Force Microscope (AFM), optical and electrical measurements. XRD analysis showed that the crystal structure of AgInSe2 with preferred orientation along the (111) direction. The conductivity of the samples were investigated by photo-response measurement of found in the range of 10-8 to 10-5 (Ω-cm)-1 with conductivity p-type. The band gap of these samples were 1.8 to1.92 eV. The material obtained was incorporated into the solar cell with structure glass/SnO2:F/CdS/Sb2S3/AgInSe2. Measurement of the density–voltage (J–V) curve was carried out using a solar simulator (1000 W/m2, AM 1.5 filter); the values obtained were for Voc= 400 mV and Jsc= 10 mA/cm2, demonstrating the AgInSe2 thin films it can be used as absorber material.
9:00 PM - NM1.13.27
Feasibility Study of Grazing Incidence Small Angle X-Ray Scattering on a Laboratory Diffractometer for Measurement of Critical Dimensions in Periodic Nanostructure Arrays
Michael Hawkridge 1 , Gregory Salamo 2 , Vasyl Kunets 2 3 , Timothy Morgan 2
1 PANalytical Westborough United States, 2 Physics University of Arkansas Fayetteville United States, 3 MMR Technologies San Jose United States
Show AbstractIn recent years, there has been an increasing use of quantum confinement and coupling in arrayed nanostructures to produce new and improved performance in devices such as LED TV’s and laser diodes. Engineering the behavior and characteristics of such devices requires careful control and therefore measurement of the critical dimensions. Techniques such as scanning probe microscopy provide sufficient precision at the surface, but dimensionality of buried structures can only be readily measured by electron microscopy techniques. While these methods are accurate and easy to interpret, they are also destructive, time consuming in terms of sample preparation and not necessarily representative of the entire sample.
One method of measuring buried nanostructures that requires little sample preparation, is non-destructive and is more representative of the whole sample is grazing incidence small angle x-ray scattering (GI-SAXS). Currently, the main limitation of this technique as a routine tool for measurement of such structures lies in reliable interpretation of the scattering data. Here, we present GI-SAXS results obtained with a multipurpose laboratory diffractometer from III-V semiconductor multi-layer quantum well and wire samples grown by molecular beam epitaxy techniques. The periodicity of the nanostructures is determined using a simple Bragg interpretation of peaks in the scattering pattern. The dimensionality and form of the (averaged) individual quantum wires and quantum dots are interpreted by evaluation of the scattering pattern as a whole at various azimuthal angles of the sample relative to the x-ray beam. The results are found to compare favorably to direct microscopy methods and demonstrate the applicability of laboratory based GI-SAXS to measurement of dimensions in periodic nanostructures.
9:00 PM - NM1.13.28
Synthesis and Characterization of Hexagonal Polytype of Gold Nanowires
Seonhee Lee 1 , Changdeuck Bae 1 2 , Hyunjung Shin 1
1 Department of Energy Science Sungkyunkwan University Suwon Korea (the Republic of), 2 Integrated Energy Center for Fostering Global Creative Researcher Sungkyunkwan University Suwon Korea (the Republic of)
Show AbstractAu is known as the noblest which adopts only face-centered cubic (fcc). The hexagonal close-packed (hcp) Au have been synthesized with thickness less than 6 nm, which can be only stabilized with certain capping agent. In this study, we firstly synthesized ambient stable hexagonal polytype Au single crystalline nanowires (NWs). TiO2 nanotubes (NTs) with diameter of ~40 nm were used as photocatalysts, which play important roles in reducing gold ions under UV irradiation and providing confined volumes as hard templates. TEM tilting experiments and XRD were used to characterize the crystal structure of Au NWs. Au NWs clearly exhibit 6-fold rotational symmetry, which is the one of the distinct characteristics from cubic structure. Interestingly, the lattice parameters of our hexagonal Au NWs are a = b = 2.88 Å and c = 7.15 Å, which means Au atoms are close-packed in a layer, but the layers are not closely stacked each other along the c axis like graphite. Intuitively, this structure is considered unstable, however hexagonal Au NWs showed long term stability under ambient condition. In spite of 3-year gap, no changes were observed. We assumed that if Au adopts non close-packed hexagonal structure with quit large inter-planar spacing between layers, twinning would not occur. As we expected, Au NWs with twin planes turned out to be fcc with 100 percent probability. While both fcc and hexagonal structures were observed in Au NWs without twin planes. For the best our knowledge, the polytypes of novel metals synthesized under ambient condition have been observed only in nanostructures regardless of synthesis method. In this study, the size effect on the formation of hexagonal polymorph was demonstrated by modulating the pore size of TiO2 NTs. Hexagonal structures were not observed in TiO2 NTs with pore size around 300 nm. We suppose that the polymorph of novel metal is closely related with confined volume giving metastable state during nucleation/growth. This structure has not been reported before, and we expect that hexagonal Au NWs show considerably different physical and chemical properties compared with fcc and hcp Au.
9:00 PM - NM1.13.29
Fabrication of a Flexible Electrode and its Application to a Display with GaN Nanorods
Young Ki Lee 1 , Minji Koh 1 , Hye Lim Kang 1 , Young Rag Do 1
1 Kookmin University Seoul Korea (the Republic of)
Show AbstractFor application to flexible displays and wearable devices, we fabricated flexible electrodes on a scale of 2 μm ~3 μm using a lift-off process with direct lithography, a combination which is an advantageous and facile process and which offers a high throughput level. A width in the range of 2 μm ~3 μm of a negative photoresist (PR) pattern on a flexible substrate was obtained with a lift-off resist (LOR) and a DNR-L300-30 component (negative PR) using a contact aligner (Karl SÜSS MA 6). To simplify the lift-off process, a mushroom-shaped PR pattern (with the DNR at the top of the PR and the LOR at the bottom of the PR) was formed during the development step with 50% diluted AZ 300 (a developer). To improve the adhesion between the flexible substrate and the metal layer used as the electrode, the flexible substrates patterned with the mushroom-shaped PR were exposed to O2 plasma for a few seconds to create a rough surface of the flexible substrates. Chromium (Cr) chosen as an adhesion layer and gold (Au) were deposited onto the mushroom-shaped PR pattern on the flexible substrate using an Au sputter system (ALPS-C03). Following the sputtering step, the lift-off process was conducted to manufacture Au electrodes on the flexible substrates. Gallium nitride nanorods (GaN NRs) dispersed in acetone were assembled on the fabricated flexible electrodes by means of dielectrophoresis. Each step of the structural and morphological measurements of the PR patterns and fabricated flexible electrodes was undertaken using a field-emission scanning electron microscope (FE-SEM) and an optical microscope.
9:00 PM - NM1.13.30
Structural, Magnetic and Electronic Properties of GaN Nanowires—An Ab Initio Study
Assa Aravindh Sasikala Devi 1 , Iman Roqan 1
1 King Abdullah University of Science and Technology Jeddah Saudi Arabia
Show AbstractGallium Nitride (GAN) nanowires (NWs) possess several promising applications ranging from light emitting diodes to spintronic devices, due to their wide direct band gap, structural confinement and magnetic properties [1]. Transition metals and rare earth elements are commonly used as dopants in bulk GaN to achieve large magnetic moments and enhanced Curie temperatures, and the same dopants can be promising in the context of NWs as well [2]. We investigate the structural, magnetic and electronic properties of free standing Gd doped GaN NWs along hcp [0001] orientation in presence of intrinsic point defects using the GGA+U approximation [3]. We perform structural optimization of the GaN nanowires with and without dopants and compare the change in properties. The most stable configuration for Gd dopants is found out by comparing the total energies of configurations including the surface, sub-surface and bulk-like positions in the NW, permitted by symmetry. The formation energy of intrinsic point defects are calculated with and without the presence of Gd dopants and it is seen that Gd stabilizes the point defects and Ga vacancy (VGa) is more stable compared to N vacancy (VN). Gd induces ferromagnetism in the NW, and which is further strengthened by the holes generated by the VGa. Hence, the FM is largely determined by the presence of Gd-VGa defect complexes in the NW with strong ferromagnetic exchange coupling energy of 76.4meV. Electronic structure analysis shows that the VGa introduces additional defect levels in the band gap, enabling the hybridization between p states of Ga and N atoms with Gd f and d states, consequently leading to increased ferromagnetic exchange.
Reference:
1. C. Chen et al., ACS Nano, 6, 5687-92 (2012).
2. S. Dhar et al. Phys. Rev. Lett., 94, 037205 (2005).
3. S. Assa Aravindh et al., Mat. Res. Exp. 2, 126104 (2015).
Symposium Organizers
Chennupati Jagadish, Australian National Univ
James Cahoon, University of North Carolina at Chapel Hill
Hannah Joyce, University of Cambridge
Qihua Xiong, Nanyang Technological Univ
Symposium Support
JC Nabity Lithography Systems, Lake Shore Cryotronics, Inc., MilliporeSigma (Sigma-Aldrich Materials Science), Nano| A Nature Research Solution, SpringerMaterials
NM1.14: Heterogeneous Growth and Integration
Session Chairs
Magnus Borgstrom
Michael Filler
Thursday AM, December 01, 2016
Hynes, Level 2, Room 206
9:00 AM - *NM1.14.01
Heterogeneous Integration III-V Nanowires on Si and van der Walls Substrates
Xiuling Li 1 , Parsian Mohseni 1 2 , Wonsik Choi 1 , Jeongdong Kim 1 , Munho Kim 1 , Anabela Veloso 3 , Nadine Collaert 3
1 Electrical and Computer Engineering University of Illinois at Urbana–Champaign Urbana United States, 2 Microsystems Engineering Rochester Institute of Technology Rochester United States, 3 Imec Leuven Belgium
Show AbstractThe quintessential challenge of heterogeneous integration of III-V thin films on silicon and other foreign substrates has been the lattice matching restriction. Owing to the small hetero-interfacial areal footprint of a nanowire on a substrate and the free sidewall facets, it has been well-demonstrated that semiconductor nanowires are amenable to direct and high quality heteroepitaxial crystal growth of materials with highly mismatched lattice constants and coefficients of thermal expansion. In this talk, we present site-controlled selective area epitaxy by MOCVD and characterization of GaAs, InAs, InGaAs, GaP, GaAsP nanowires on silicon as well 2D van der Waals surfaces including graphene, MoS2, and BN.
9:30 AM - NM1.14.02
Growth of Straight InAs-On-GaAs Nanowires On Si Substrates.
Daria Beznasiuk 1 2 , Julien Claudon 3 , Moira Hocevar 1 2
1 University Grenoble Alpes, Institut NEEL Grenoble France, 2 CNRS, Institut NEEL Grenoble France, 3 CEA Grenoble, INAC-PHELIQS Grenoble France
Show AbstractNanowires are one-dimensional crystals with a high surface to volume ratio. This unique feature allows strain to accommodate easily on the nanowire sidewalls. Strain accommodation contributes to minimize the formation of dislocations at the interface between high lattice mismatch materials such as Si-GaAs (△a = 4%) or GaAs-InAs (△a = 7%) [1] in axial nanowire heterostructures. They are therefore candidates of choice to combine direct bandgap III-V semiconductors and silicon (Si).
In Au-assisted vapor-liquid-solid (VLS)-III-V axial nanowires, sharp interfaces are achieved in group V heterojunctions [2,3] but in only one interface direction in group III heterojunctions [4]. For example, abrupt interfaces were achieved for GaAs on InAs [5] but kinking and prevailing radial growth appears for InAs on GaAs [4,6]. Here, we present InAs-on-GaAs nanowires grown by Au-assisted molecular-beam epitaxy (MBE) on Si. Prior to the introduction into the growth chamber, we de-oxidize Si substrates and deposit colloidal Au nanoparticles with diameters ranging from 10 to 50 nm.
First, we optimize the GaAs growth parameters to obtain a pure wurtzite (WZ) crystal structure [7]. We then study the morphology of an InAs segment grown on top of GaAs versus available growth parameters: temperature, V/III ratio and growth time. The temperature is set between 410 and 610°C, the V/III ratio between 10 and 100 and the growth time between 10 and 60 minutes. We investigate the composition and the structural properties by energy dispersive X-ray (EDX) spectroscopy and TEM in the high-angle annular dark field (HAADF) mode, respectively. We observe that InAs segments grown on GaAs are very sensitive to the V/III ratio, substrate temperature and the Ga-In interchange procedure. From SEM analysis we deduce that for InAs growth between 420 and 460°C, the InAs segment grows in a nanoflag geometry [8]. The optimum temperatures lie between 510 and 540°C. At higher temperatures, no InAs axial growth occurs. Moreover, our results suggest that a sufficiently high V/III ratio is required (around 50) to reduce parasitic InAs radial growth.
References:
[1] F. Glas, Physical Review B, 74, 121302, 2006;
[2] J. P. Boulangeret, et. al., Journal of Crystal Growth, 332, 21-26, 2011;
[3] M. T. Bjork, et. al., Appl. Phys. Lett., 80 (6), 1058-1060, 2002 ;
[4] K. A. Dick, et. al., Nano Letters, 7 (6), 1817-1822, 2007;
[5] K. A. Dick, et. al., Nano Letters, 12, 3200-3206;
[6] M. Paladugu, et. al., Appl. Phys. Lett., 93, 101911, 2008;
[7] M. E. Messing, et. al., Nano Letters, 11, 3899-3905, 2011;
[8] A. Kelrich, et. al., Nano Letters, 16, 2837-2844,2016;
9:45 AM - NM1.14.03
Synthesis of Group IV Nanowires on Graphene—The Case of Ge Nanocrawlers
Elnatan Mataev 1 , Sahil Rastogi 1 , Atul Madhusudan 1 , Jennifer Bone 1 , Nicholas Lamprinakos 1 , Yoosuf Picard 2 , Tzahi Cohen-Karni 1 2
1 Department of Biomedical Engineering Carnegie Mellon University Pittsburgh United States, 2 Department of Materials Science and Engineering Carnegie Mellon University Pittsburgh United States
Show AbstractIn recent years, there has been a growing interest in using graphene as a synthesis platform for polymers, zero-dimensional (0D) materials, one-dimensional materials (1D), and two-dimensional (2D) materials. Here, we report the investigation of the growth of germanium nanowires (GeNWs) and germanium nanocrawlers (GeNCs) on single-layer graphene surfaces. GeNWs and GeNCs are synthesized on graphene films by gold nanoparticles catalyzed vapor-liquid-solid growth mechanism. The addition of hydrogen chloride gas (HCl) at the nucleation step increased the propensity toward GeNCs growth on the surface. As the time lag before HCl introduction during the nucleation step increased, a significant change in the number of out-of-plane GeNWs versus in-plane GeNCs was observed. The nucleation temperature and time played a key role in the formation of GeNCs as well. The fraction of GeNCs (ΧNCs) decreased from 0.95 ± 0.01 to 0.66 ± 0.07 when the temperature was kept at 305°C for 15 sec versus maintained at 305°C throughout the process, respectively. GeNCs exhibit <112> as the preferred growth direction whereas GeNWs exhibit both <112> and <110> as the preferred growth directions. Finally, our growth model suggests a possible mechanism for the preference of an in-plane GeNC growth on graphene versus GeNW on SiO2. These findings open up unique opportunities for fundamental studies of crystal growth on graphene, as well as enable exploration of new electronic interfaces between group IV materials and graphene, potentially toward designing new geometries for hybrid materials sensors.
10:00 AM - *NM1.14.04
Band Gap Engineering and Heterostructures of Low Dimensional Semiconductor Nanostructures
Anlian Pan 1 , Biuyuan Zheng 1 , Tiefeng Yang 1 , Honglai Li 1 , Xuelu Hu 1 , Qinglin Zhang 1
1 School of Physics and Electronic Science Hunan University Changsha China
Show AbstractBand gaps are one of the most important parameters of semiconductor materials for their optoelectronic applications since they determine the spectral features of absorptions and emission processes. In this talk, we will report our recent progress on the band gap engineering of low dimensional semiconductor nanostructures, including 1D nanowires/nanoribbons and 2D atomically thin layered materials. Particularly we will show how to realize band gap tunability through composition control within single semiconductor nanostructures by an developed source moving CVD strategy, including composition graded nanowires and nanosheets, as well as interface sharped nanoscale semiconductor heterostructures. Using these novel band gap-engineered nanostructures, we find some interesting applications in integrated photonics devices, like multi-color nanolasers, nanoscale white lighting, wavelength splitter, asymmetric waveguiding and high-performance photodetectors.
10:30 AM - NM1.14.05
III-V Nanowire Photonic Crystal Cavity Monolithically Integrated on Silicon-on-Insulator
Hyunseok Kim 1 , Wook-Jae Lee 2 , Alan Farrell 1 , Pradeep Senanayake 1 , Diana Huffaker 2
1 University of California, Los Angeles Los Angeles United States, 2 Cardiff University Cardiff United Kingdom
Show AbstractPhotonic crystal (PC) cavities have emerged as a promising platform for nanolasers, owing to their high quality (Q) factor and small mode volume essential for low-threshold lasing. So far, most PC lasers have been fabricated by epitaxial growth of III-V gain materials on III-V substrates followed by top-down etching to form PC cavities. Although the integration of PC lasers on silicon or silicon-on-insulator (SOI) is required for Si photonics and on-chip communications, large lattice mismatch and differences in thermal expansion coefficients between III-V semiconductors and silicon have been limiting the direct integration of PC lasers on Si.
Here, we propose III-V nanowire (NW) PC cavity structures monolithically integrated on SOI for on-chip optical communications. This bottom-up NW approach allows dislocation-free integration of lattice-mismatched III-V materials on Si without the need of buffer layers, because the small NW-Si interface area effectively minimizes the strain. Catalyst-free selective-area epitaxy technique is employed to control the position of NWs precisely on SOI substrates. Periodically arranged III-V NWs serve as the PC cavity structure as well as the gain material, and these NWs are integrated on SOI grating structures to improve the vertical confinement and to attain high Q factor. One-dimensional (1-D) PC structure is adopted to minimize the size of the device and the number of NWs, while maintaining sufficiently high Q factor for low-threshold lasing. Our 1-D PC cavity is comprised of periodically arranged 21 InGaAs NWs, where the pitch of the NWs in the center of the array is intentionally reduced to form the PC defect. The simulated Q factor of 67,000 is achieved by carefully arranging the pitch, diameter and height of the NWs. The coupling efficiency of the resonant mode with conventional SOI rib waveguides is calculated to be 17 %, suggesting the possibility of the proposed design for energy-efficient communications.
InGaAs NWs are epitaxially grown by metal-organic chemical vapor deposition on the SOI platform with grating structures and waveguides. Resonant modes are observed from photoluminescence measurements of the InGaAs NW PC cavities on SOI. The position of the resonant peaks varies from 1100 nm to 1600 nm covering all telecommunication wavelengths, depending on the period and the diameter of NWs. The full-width at half maximum (FWHM) of the resonant peaks is around 2 nm, corresponding to the Q factor of ~700. It is also shown that the resonant mode of PC cavities is effectively coupled with SOI waveguides. Although further optimization of NW growth is required to show the lasing action, the experimental verification of resonant modes and waveguide coupling characteristics reveals the effectiveness of the proposed design for next-generation on-chip communications.
10:45 AM - NM1.14.06
Selected Area Deposition of Nanowire Networks, Combining Experiment and Simulation for High Performance Transparent Conductors
Hugh Manning 1 2 , Allen Bellew 1 2 , Claudia daRocha 3 2 , Colin O'Callaghan 3 2 , Mauro Ferrira 3 2 , John Boland 1 2
1 School of Chemistry Trinity College Dublin Dublin Ireland, 2 CRANN Centre of Research for Adaptive Nanostructures and Nanodevices Dublin Ireland, 3 School of Physics Trinity College Dublin Dublin Ireland
Show AbstractMetal nanowire network (NWN) films have the ability to bring forward the next generation of devices based on flexible transparent conductors. Modelling NWNs correctly, to predict sheet resistances, involves taking into account the physical properties of the nanowires and the strength of the connectivity of the nanowire junctions. In AgNw systems the polymer coating formed during synthesis acts as a barrier to conduction where two nanowires overlap. Manipulating these junctions by electrical stressing allows for materials with tunable electrical conductivities. We report a method combining the controlled placement of nanomaterials with the surface uniformity and low volume of spray deposition to create user defined, isolated NWNs. This technique is employed to realize high transparency (>90%) and low sheet resistance (50-200ohm) isolated Ag NWNs on transparent substrates. Through the use of a novel computational model these networks can be mapped wire for wire in simulations, avoiding costly configurational averaging of randomly generated networks. Incorporating experimentally measured values (material resistance, junction resistance, network transparency) and geometric analogues, provides the ability to predict network performances, display bottlenecks of conduction and highlight likely failure points in the network. More accurate modelling of these systems is invaluable in developing a reliable, quick benchmarking and binning tool to facilitate the design and integration into devices.
NM1.15: Characterization of Semiconductor Nanowires II
Session Chairs
Thursday PM, December 01, 2016
Hynes, Level 2, Room 206
11:30 AM - NM1.15.01
Visualization of Axial p-n Junction on Single GaN Nanowire by Electron Beam Induced Current and Voltage Contrast Measurements
Zhihua Fang 1 4 2 , Fabrice Donatini 1 2 , Bruno Daudin 1 4 , Julien Pernot 1 2 3
1 Université Grenoble Alpes Grenoble France, 4 INAC CEA Grenoble France, 2 Institut Néel CNRS Grenoble France, 3 Institut Universitaire de France Paris France
Show AbstractGaN nanowires (NW) have gained much attention in the past decade due to their unique properties and potential applications in optoelectronic devices. The electrical characterizations of basic GaN nanostructures, such as p-n junctions, are becoming indispensable and crucial for the realization of these devices. However, it is challenging to characterize GaN NW p-n junctions, due to difficulties to control Mg dopants incorporation and issues to make low resistance contacts on p-type NWs; only a few techniques have been proposed so far, such as scanning microwave microscope1, electroluminescence2, and scanning electron microscope potential contrast3, nevertheless, a quantitative understanding of the transport properties remains incomplete.
Recently, electron beam based techniques have been widely employed to study semiconductor nanostructures4,5 in our group. In this study, we have performed electron beam induced current (EBIC) and secondary electron voltage contrast (VC) on single axial GaN p-n junction NWs grown by plasma-assisted molecular beam epitaxy. Thanks to an improved contact process, both the electric field at the p-n junction (under reverse bias) and at the Schottky contact on the p-side (under positive bias) have been located and delineated by EBIC signal. Analyzing EBIC profile in the vicinity of the p-n junction5, we can deduce a depletion width in the range of 170-180 nm, local minority carrier diffusion lengths on the p-side (65 nm) and on the n-side (35 nm). Meanwhile, VC imaging maps electrostatic potential variations in the vicinity of the p-n junction, with which we can determine the doping level at both sides of the junction. Following our previous work6, donor Nd and acceptor Na doping levels were estimated to be Nd = 2-3 × 1018 at/cm3 and Na = 2-3 × 1017 at/cm3. In addition, the EBIC signal at the p-type contact not only reveals the Schottky nature of the p-type contact, but also can be used as a signature to evaluate the effect of annealing or surface treatment on the p-type contact. A model describing the carrier drift-diffusion processes in the NW will be proposed and discussed. Comparison of these techniques on the same NW will provide us complementary information and deeper understanding about the axial NW p-n junction.
[1] A. Imtiaz et al. Appl. Phys. Lett. 2014, 104, 263107.
[2] M. Brubaker et al. J. Electron. Mater. 2013, 42, 868.
[3] Y. Lu et al. ACS Nano 2013, 7, 7640-7.
[4] F. Donatini et al. Nano Lett. 2016,,16, 2938–2944.
[5] P. Tchoulfian et al. Nano Lett. 2014, 14, 3491–3498.
[6] Z. Fang et al. Nano Lett. 2015, 15, 6794–6801.
11:45 AM - *NM1.15.02
Visualization of Transport Dynamics in Semiconductor Nanowires using Ultrafast Microscopy
John Papanikolas 1
1 University of North Carolina at Chapel Hill Chapel Hill United States
Show AbstractA detailed understanding of the factors that govern the transport of mobile charge carriers, acoustic phonons and thermal energy in nanostructures is critical to many emerging nanotechnologies in electronics, optoelectronics and solar energy conversion. We have combined ultrafast pump-probe spectroscopy with optical microscopy to directly image the transport phenomena in individual Si nanowires (NWs) with both spatial and temporal resolution. In these experiments, an individual NW is excited by a 425 nm femtosecond pump pulse that has been focused to a diffraction limited spot (350 nm) by a microscope objective, exciting a localized region of the structure. After a well-defined delay, pump-induced changes to the transmission of an 850 nm probe pulse are detected, providing the time evolution of the photoexcitation at a specific point within the structure. By correlating optical images with scanning electron microscopy images obtained from the same structures, we are able to correlate recombination behavior with specific structural features. Motion of the photogenerated carriers, propagation of acoustic modes and thermal transport are observed using a spatially-separated pump-probe configuration, in which carriers are created in one location and detected in another, allowing direct imaging of charge carriers and phonons as they move away from the excitation spot. In this configuration the pump beam is held fixed and the position of the probe beam is scanned by varying the angle of the probe beam as it enters the objective, resulting in a spatial map of the photoinduced transparency at a specified pump-probe delay. Images collected at a series of delays shows the spatial-temporal evolution of the excitation, providing a direct visualization of carrier diffusion, acoustic mode propagation and thermal transport in semiconducting NWs.
12:15 PM - NM1.15.03
Synthesis, Characterization and Air Quality Monitoring Applications for Individual Gallium Oxide Nanowires
Guillem Gil 1 , Irmina Peiro 1 , Jordi Sama 1 , Paolo Pelegrino 1 , Sven Barth 2 , Albert Romano-Rodriguez 1
1 Universitat de Barcelona Barcelona United States, 2 Institut für Materialchemie Vienna University of Technology Vienna Austria
Show AbstractIn this work gallium oxide nanowires have been fabricated via carbothermal reduction using a chemical vapor deposition (CVD) system and according to a vapor-liquid-solid (VLS) method. The precursor material, a mixture of gallium oxide nanopowder and graphite, is heated at 950 0C in a quartz tube and the vapors are driven by a flow of pure argon. The heated Si/SiO2 substrates, covered by a discontinuous Au film, are positioned downstream and heated at temperatures between 750 and 950 0C, on where the nucleation of the nanowires takes place.
The grown nanowires have been structurally, chemically and optically characterized using X-ray diffraction, scanning and transmission electron microscopy and related techniques as well as photoluminescence, XPS and Raman spectroscopy. Correlation between shape, crystallinity and optical properties of the formed nanostructures and their chemical composition will be discussed and justified based on the known properties of the pure forming materials.
Gas nanosensor devices have been fabricated from individual Ga2O3 nanowires fabricated with this process. For this, the nanowires were removed from the substrates applying sonication, followed by the deposition on suspended microhotplates with prepatterned electrodes and individual nanomaterials were contacted by a combination of Focused Electron- (FEBID) and Focused Ion-Beam Induced Deposition (FIBID) techniques or by evaporated contacts defined by Electron Beam Lithography. The devices have been tested towards different gases relevant in air quality monitoring, like NO2 and CO, as well as towards O2 and water vapor at different concentrations and operating temperatures. The sensing properties will be reported and the relation to the structural and chemical properties of the nanomaterials will be established.
12:30 PM - NM1.15.04
Electron Beam Dose Dependence of Surface Recombination Velocity and Surface Space Charge Region in Nanowires
Fabrice Donatini 1 2 , Corinne Sartel 3 , Vincent Sallet 3 , Julien Pernot 1 2 4
1 University Grenoble Alpes Grenoble France, 2 Institut Néel CNRS Grenoble France, 3 CNRS–Université de Versailles St-Quentin Versailles France, 4 Institut Universitaire de France Paris France
Show AbstractThe optical and electrical properties of nanowires (NWs) are strongly dependent on their surface state as a consequence of their large surface to volume ratio. Indeed, the surface state is at the origin of the surface recombination velocity (SRV) and surface space charge region (SSCR), both inducing a large impact on the exciton diffusion length [1] and effective conduction diameter [2] in ZnO NWs. Therefore, even if nanowire-based devices offer numerous advantages compared to bulk ones, their performances could be limited by an incomplete understanding and mastering of the surface state.
Recently, we demonstrated the ability to spatially map the electric field and determine the exciton diffusion length in NW using an electron beam [1]. Three different physical quantities were recorded and compared on the same NW: electron-beam induced current, cathodoluminescence (CL), and secondary electron signal. The dependence of the exciton diffusion length (mesured using CL technique) with SRV and SSCR has been clearly shown by varying the NW radius from 42.5 to 175 nm. In this work, the surface state of a single ZnO NW, grown by MOCVD technique and initially exposed to ambient air, are modified and investigated using the electron beam of an SEM.
In the ambient air, oxygen is well known to adsorb on ZnO NW surface, inducing an electron transfer from NW to oxygen. As a result, a radial space charge region is formed below the surface toward the NW core. The electron beam bombardment is a convenient way to remove the adsorbed species, and to probe the effect at the same time using CL mapping technique. We investigate the impact of surface state change on the optical and electrical properties of single ZnO NWs through the measurement of CL intensity, exciton diffusion length [3] and electrical resistance in a single ZnO Schottky diode realized using a specific electron beam lithography process [4]. The evolution of these physical quantities versus the electron beam dose are monitored and fitted using SRV and SSCR width as parameters. Moreover, the reversibility of the phenomenon is studied. This study gives us a better insight into the influence of the surface state on both optical and electrical properties of NWs.
[1] F. Donatini, A. De Luna Bugallo, P. Tchoulfian, G. Chicot, C. Sartel, V.Sallet, and J. Pernot, Nano Lett. 16, 2938−2944 (2016)
[2] A.M. Lord, T.G. Maffeis, A.S. Walton, D.M. Kepaptsoglou, Q.M. Ramasse, M.B. Ward, J. Köble, and S.P. Wilks, Nanotechnology 24, 435706 (2013). A. De Luna Bugallo, F. Donatini, C. Sartel, V. Sallet, and J. Pernot, Applied Physics Express 8, 025001 (2015).
[3] J-S. Hwang, F. Donatini, J. Pernot, R. Thierry, P. Ferret and Le Si Dang, Nanotechnology, 22, 475704 (2011).
[4] F. Donatini and Le Si Dang, Nanotechnology, 21, 375303 (2010).
12:45 PM - NM1.15.05
Single Step Electrodeposition of Highly Luminescent Tb Doped Si Nanowires from Room Temperature Ionic Liquids
Shibin Thomas 1 , Jeremy Mallet 1 , Michael Molinari 1
1 Universite de Reims Reims France
Show AbstractRare Earth (RE) doped Silicon materials are intensively studied due to their unique electronic and optical properties (1). Tb3+ doped Si/SiO2 nanostructures, characterized by the intense green emission, have gained much attention due to their applications in silicon based optoelectronic devices. A number of techniques have been developed such as ion implantation, magnetron co-sputtering, plasma enhanced chemical vapor deposition (PECVD) etc. to incorporate the rare earth ions into the silicon matrix. However most of these techniques include constraining deposition conditions such as high vacuum, high temperature and the use of potentially dangerous precursors.
In the present study, electrodeposition from ionic liquid is proposed as a low cost alternative approach for the single step fabrication of Tb doped Si nanowires. Nanoporous polycarbonate membranes with pore diameters of 90 nm were used as the template for the deposition. The co-deposition of Tb with Si was carried out potentiostatically from an electrolytic solution of 0.001-0.01M TbCl3 and 0.1MSiCl4 in 1-butyl-1-methylpyrrolidinium bis(triflouromethylsulfonyl) imide ionic liquid.
In order to achieve the doping of Tb with Si, both the influence of the concentration of Tb precursor with respect to Si and the deposition potential was studied during the electrodeposition. Depending on the conditions, deposits with a Tb content ranging from 1 to 10 at % in the wires has been obtained. The surface morphology, size and chemical composition of the nanowires were analyzed with SEM, TEM and EDAX techniques. The nanowires deposited were 1-2µm long with uniform size and shape and does not include any impurities in the limit of detection. Photo- and cathodoluminescence (PL and CL) measurements were done on the wires, which gave intense green luminescence resulting from the transition between intra 4f energy levels of the Tb3+ ions incorporated in the silicon nanowires. A comparison of the PL spectra of Si:Tb nanowires with different Tb content will be discussed.
(1) T. Kimura, A. Yokoi, H. Horiguchi, R. Saito, T. Ikoma, A. Sato, Appl. Phys. Lett. 1994, 65, 983
NM1.16: Nanowire Nanoelectronics Sensors
Session Chairs
Thursday PM, December 01, 2016
Hynes, Level 2, Room 206
2:30 PM - *NM1.16.01
1D Probes in Neurophysiology—From Cell Culture to Mapping Intact Brains
Shadi Dayeh 1
1 Department of Electrical and Computer Engineering University of California, San Diego San Diego United States
Show AbstractID Probe geometries are ideal for interfacing with cells and measuring intracellular potentials of neurons with minimal invasiveness. Prior works have demonstrated single nanowire devices or devices encompassing ensembles of several nanowires but individual electrical addressability of a single nanowire in a vertically standing array of nanowires, which is important for localizing the origin of action potentials, has not been accomplished before. Additionally, sensitivity to subthreshold potentials has not been clearly observed with high-density nanowires and interfacing with human neurons, important for drug screening is yet to be demonstrated. We will discuss a new hybrid integration scheme that offers for the first time a nanowire-on-lead approach which enables independent electrical addressability, is scalable, has superior spatial resolution down to submicrometer site-to-site spacing, permits natural internalization into neurons, and can be combined with standard integrated circuit fabrication technologies. Physiological recordings from mouse primary neurons and human induced pluripotent stem cell (hiPSC)-derived neurons revealed high signal to noise ratios with signatures of subthreshold potential detection for both intracellular and extracellular configurations. We measured electrical activity from neurons penetrated by nanowires 6 weeks post culture, as validated by transmission electron microscopy. Our platform paves the way for longitudinal electrophysiological experiments on synaptic activity in human iPSC based disease models of neuronal networks, critical for the development of drugs for neurological diseases. We will then discuss our efforts on the development of in-vivo neuronal probes integrated on brain-compliant flexible substrates and report on their in-vivo recording and stimulation capabilities.
3:00 PM - NM1.16.02
Probing Bacterial Adhesion Forces Using Nanowire Arrays—Towards Understanding Biofilm Formation
Prasana Sahoo 1 , Richard Janissen 1 2 , Moniellen Monteiro 1 , Alessandro Cavalli 3 , Duber Murillo 1 , Marcus Merfa 5 , Carlos Cesar 1 , Hernandes Carvalho 4 , Alessandra de Souza 5 , Erik Bakkers 3 , Monica Cotta 1
1 Institute of Physics Gleb Wataghin University of Campinas Campinas Brazil, 2 Department of Bionanoscience Kavli Institute of Nanoscience Delft Delft Netherlands, 3 Applied Physics Department Eindhoven University of Technology Eindhoven Netherlands, 5 Agronomic Institute of Campinas Citrus Center APTA ‘Sylvio Moreira’ Cordeiropolis Brazil, 4 Institute of Biology University of Campinas Campinas Brazil
Show AbstractA crucial step in biofilm formation is surface attachment of a planktonic cell, a process which is mediated by adhesins and extracellular polymeric substances (EPS). Some bacteria, however, can modulate cell adhesiveness depending on environmental cues, impacting host colonization. Thus a direct measurement of cell adhesion forces to a surface could provide a quantitative framework to study the relevance of different mechanisms used by the bacteria along their life cycle. Moreover, the role of substrate surface chemistry can be directly investigated, in an effort to further understand bacterial behavior in different hosts. We address these issues by employing InP nanowire arrays as force sensors to probe bacterial cell-surface interactions during the early biofilm stages of Xylella fastidiosa. By exploring the effect of the array nanotopography on single cell adhesion, we demonstrate larger forces at the cell poles, as well as the mechanical support provided by EPS layers and filaments, in agreement with previous adhesion models for this microorganism. Furthermore, ex-vivo experiments show adhesion forces up to ~40nN for the different configurations assumed by the cell on the surface. Significant adhesion force enhancements were observed for single cells anchoring a biofilm and particularly on XadA1 adhesin-coated surfaces, evidencing molecular mechanisms developed by bacterial pathogens to create a stronger holdfast to specific host tissues.
3:15 PM - NM1.16.03
Scalable One-Step Deposition and Conductivity-Based Purification of 1-D Semiconductors for Sensing Applications
Marios Constantinou 1 , Grigorios-Panagiotis Rigas 1 2 , John Saffell 3 , Mark Giles 3 , Emily Adkins 4 , Brian A. Korgel 4 , Michael P. Hughes 5 , Maxim Shkunov 1
1 Department of Electrical and Electronic Engineering University of Surrey Guildford United Kingdom, 2 Materials Division, Electrochemistry Group National Physical Laboratory Teddington United Kingdom, 3 Alphasense Ltd Great Notley United Kingdom, 4 McKetta Department of Chemical Engineering The University of Texas at Austin Austin United States, 5 Department of Mechanical Engineering Sciences University of Surrey Guildford United Kingdom
Show AbstractSilicon (Si) nanowires (NWs) are attractive semiconducting components for solution-processed, low-cost printed electronic devices. Their unique characteristics, such as the single-crystalline structure, high charge-carrier mobility and high surface-to-volume ratio, make them excellent building blocks for the next generation of electronic applications - including flexible circuits, chemical and biological sensors, and energy harvesting and storage systems.
The challenging issue with NWs is their availability in scalable quantities and their deposition for the formation of functional devices. Despite the significant progress in NW-assembly demonstrated with various techniques, the selective deposition of NWs based on morphological and electrical properties remained mainly unexplored, with NWs being deposited as-synthesised, thus dramatically affecting the reproducibility and reliability of NW devices and their potential applications. Therefore, an efficient NW-assembly method for the fabrication of reliable sensing devices is required.
In this work, we demonstrate a direct selection of high-quality Supercritical Fluid-Liquid-Solid (SFLS) Si NWs within a heterogeneous feedstock, with various lengths, conductivities and crystalline quality. Using dielectrophoresis (DEP) and impedance spectroscopy we demonstrate the selection mechanism at high signal frequencies (MHz range) for isolating NWs with the highest conductivity and lowest defect density - directly confirmed with FET and conducting-AFM data. This scalable one-step solution process offers the direct selection, collection and monolayer ordered assembly of Si NWs into large area arrays with desired electrical properties and lengths, with controllable deposition density ranging from a few NWs to hundreds per device. The NW FET devices demonstrate excellent performance, with up to mA on-current at 10V, steep sub-threshold swing, effective charge-carrier mobility of 50 cm2/Vs, and near-zero hysteresis. The proposed method is fully compatible with plastic and printed electronic approaches and can be applied to any other type of nano-materials for a wide range of device applications. Following the selective NW-deposition we fabricated highly sensitive Si NW FET-gas sensing devices for the detection of carcinogenic environmental VOC pollutants.
In summary, we demonstrate a generic methodology for the accurate deposition and selection of NWs based on their length and electrical conductivity, thus setting DEP as a potential manufacturing technique for sheet- and wafer-based nanofabrication. The one-step solution-processed technique, coupled with the industrially scalable SFLS Si NWs, bring the low-cost advanced manufacturing of printed nano-electronics closer to reality.
3:30 PM - NM1.16.04
Improvement of Pillar Based-QCM (QCM-P) Device for BSA Immobilization Measurement
Hamed Esmaeilzadehkhosravieh 1 , Junwei Su 1 , Hongwei Sun 1
1 Mechanical Engineering University of Massachusetts Lowell Lowell United States
Show AbstractQuartz Crystal Microbalance (QCM) device is a sensitive mass sensor with a wide range of applications including biosensing, thin film deposition, surface chemistry, volatile organic compounds (VOC) and gaseous analytes detection. Polymethyl methacrylate (PMMA) has been proven as the perfect material for Thermal nano imprinting Lithography (T-NIL) with excellent mechanical properties. Theoretical study shows that several orders of magnitude improvement in sensitivity can be achieved by attaching microscale PMMA pillars onto the surface of the QCM sensor (QCM-P) to form a two-degree of freedom coupled resonant system in air and liquid. The sensitivity of QCM-P sensor can be further improved by optimizing microscale pillar spacing and size. The effects of residual layer from the nanoimprinting process of micro-pillars and polydispersity index (Pd) of PMMA molecules on the sensitivity of QCM-P devices are investigated both experimentally and theoretically. The results show the residual layer behaves as an additional mass and significantly reduces the frequency shift of QCM-P sensor while a low polydispersity of PMMA improves the sensor responses. The traditional film based QCM (QCM-F) and QCM-P devices are used to study the immobilization of Bovine Serum Albumin (BSA) on the PMMA surface with physical adsorption. The influence of plasma treatment on the efficiency of immobilization of BSA on PMMA surface is investigated as well. Plasma treated PMMA surfaces can enhance the frequency shift due to physical adsorption at least 2 times over untreated PMMA surfaces for QCM-P and traditional QCM-F sensors. The overall results confirm that the QCM-P device has 8 times improvement of frequency shift/ mass sensitivity over the traditional QCM-F. The outcome of this research will build a solid foundation for the further improvement of QCM-P for various applications such as protein binding kinetic measurement and affinity.
3:45 PM - NM1.16.05
Conduction Mechanism in ZnO Nanowire Network-Based Gas Sensors
Nohora Caicedo 1 , Jean-Sebastien Thomann 1 , Stephanie Girod 1 , Renaud Leturcq 1 , Damien Lenoble 1
1 Luxembourg Institute of Science and Technology Belvaux Luxembourg
Show AbstractWe have investigated gas sensors based on drop-casted zinc oxide (ZnO) nanowire networks as a low-cost solution for highly sensitive and integrated gas sensor. We propose an original analysis of the electrical transport measurements as a function of geometry and preparation conditions in order to investigate the conduction mechanism, which is essential in order to determine and optimize the sensing mechanism of conductometric sensors based on nanowire networks.
Conductometric metal-oxide gas sensors are widely used today due to their high sensitivity, but their integration into portable devices requires to find solutions for small, low-power, fast and cheap devices. Metal-oxide nanostructures, such as nanowires, are promising due to their large surface over volume ratio and reduced sizes, enhancing the sensitivity, response time and power consumption [1]. Moreover they can be synthetized in large-scale liquid phase methods and simply deposited as nanowire networks onto contacts, thus offering low-cost integration solutions. However, reproducible and reliable devices still require a full mastering of the fabrication methods and understanding of their influence on the conduction mechanism, which impact directly the sensitivity of the final sensor.
We have more particularly focused on ZnO nanowires grown in liquid phase, which have shown advantages due to their superior stability owing to high crystallinity, and low-cost preparation methods as compared to vapor-phase synthesis. However, a main drawback of liquid-phase synthesis is the difficulty to renew or change precursors during growth, which is often used in vapour-phase synthesis in order to grow nanostructures with very high aspect ratio, or axial heterostructures. We have introduced a new liquid-phase process for the cycle growth of ZnO nanowires segments in liquid phase using ZnCl2 and hexamethylene tetramine (HMTA) as precursors in a homogeneous nucleation. We demonstrate that the step-by-step addition of precursors increases nanowire length without significant increase of their diameter, giving a full control on their aspect ratio [2].
Sensors based on these ZnO nanowires have been realized by drop-casting the solution onto pre-patterned electrodes. The conduction mechanism through the nanowire network is investigated by varying the geometry of the electrodes, enabling us to distinguish the effect of transport barriers occurring at the electrodes to barriers occurring at the nanowire-to-nanowire junctions. We compare the obtained nanowires from a step-by-step addition of precursors leading to longer and thinner nanowires (aspect ratio of ~20) to the conventional ones produced by one cycle step on hydrothermal synthesis. Moreover we analyse the influence of annealing of the devices in different atmospheres.
[1] E. Comini, Analytica Chimica Acta, 2006, 568, 28-40
[2] N. Caicedo, J. S. Thomann, R. Leturcq and D. Lenoble. CrystEngComm,2016, DOI: 10.1039/C6CE00904B.
NM1.17: Nanowires for Nanoelectronics
Session Chairs
Thursday PM, December 01, 2016
Hynes, Level 2, Room 206
4:30 PM - NM1.17.01
Conductance Quantization in InSb Nanowire Networks
Elham Fadaly 1 , Hao Zhang 1 , Sonia Conesa-Boj 1 , Onder Guel 1 , Diana Car 2 , Sebastian Plissard 3 4 , Roy Op het Veld 2 , Sebastian Koelling 2 , Leo Kouwenhoven 1 , Erik Bakkers 2 1
1 Kavli Institute of Nanoscience Delft University of Technology Delft Netherlands, 2 Department of Applied Physics Eindhoven University of Technology Eindhoven Netherlands, 3 CNRS-LAAS Toulouse France, 4 University of Toulouse Toulouse France
Show AbstractNanowires (NWs) are promising candidates to harness one-dimensional (1D) electron transport for the purpose of Majorana. Majorana Zero Modes (MZMs) are prime candidates for robust topological quantum bits, holding a great promise to quantum computing. Signatures of MZMs have been experimentally detected in InSb NW devices.[1] However, the actual braiding circuit in all Majorana braiding proposals requires high quality NW networks with minimal disorder.[2-4] Merged InSb NW networks of high structural quality have been reported recently.[5-6] The remaining question is whether these NW networks have low enough disorder to support ballistic transport, which has been demonstrated successfully in NWs-based devices. [7-8]
Here, we study the electronic transport across a junction between two merged InSb NWs. Conductance quantization plateaus are observed in all channels of the epitaxial InSb NW networks; a hallmark of ballistic transport behavior. Moreover, from high resolution transmission electron microscopy (HRTEM) the junctions of the measured devices show single crystalline structure. Consequently, our results qualify InSb NW networks as a promising building block for the Majorana braiding circuits.
References
[1] Mourik, V.; Zuo, K.; Frolov, S. M.; Plissard, S. R.; Bakkers, E. P. A. M.; Kouwenhoven, L. P. Science (80-. ). 2012, 336 (6084), 1003–1007.
[2] Alicea, J.; Oreg, Y.; Refael, G.; von Oppen, F.; Fisher, M. P. A. Nat. Phys. 2011, 7 (5), 412–417.
[3] Hyart, T. et al. Flux-controlled quantum computation with Majorana fermions. Phys. Rev. B 88, 035121 (2013).
[4] Aasen, D.; Hell, M.; Mishmash, R. V.; Higginbotham, A.; Danon, J.; Leijnse, M.; Jespersen, T. S.; Folk, J. A.; Marcus, C. M.; Flensberg, K.; Alicea, J. 2015.
[5] Car, D.; Wang, J.; Verheijen, M. A.; Bakkers, E. P. A. M.; Plissard, S. R. Adv. Mater. 2014, 26 (28), 4875–4879.
[6] Plissard, S. R.; van Weperen, I.; Car, D.; Verheijen, M. A.; Immink, G. W. G.; Kammhuber, J.; Cornelissen, L. J.; Szombati, D. B.; Geresdi, A.; Frolov, S. M.; Kouwenhoven, L. P.; Bakkers, E. P. A. M. Nat. Nanotechnol. 2013, 8 (11), 859–864.
[7] (1) Kammhuber, J.; Cassidy, M. C.; Zhang, H.; Gül, Ö.; Pei, F.; de Moor, M. W. A.; Nijholt, B.; Watanabe, K.; Taniguchi, T.; Car, D.; Plissard, S. R.; Bakkers, E. P. A. M.; Kouwenhoven, L. P. Nano Lett. 2016, 16
[8] Zhang, H.; Gül, Ö.; Conesa-Boj, S.; Zuo, K.; Mourik, V.; de Vries, F. K.; van Veen, J.; van Woerkom, D. J.; Nowak, M. P.; Wimmer, M.; Car, D.; Plissard, S.; Bakkers, E. P. A. M.; Quintero-Pérez, M.; Goswami, S.; Watanabe, K.; Taniguchi, T.; Kouwenhoven, L. P. 2016, 1–21.
4:45 PM - NM1.17.02
Capillarity-Driven Welding of Semiconductor Nanowires for Flexible Three-Dimensional Networks with Ohmic Interconnects
Thomas Celano 1 , David Hill 1 , Xing Zhang 1 , Christopher Pinion 1 , Joseph Christesen 1 , Cory Flynn 1 , James McBride 2 , James Cahoon 1
1 University of North Carolina at Chapel Hill Chapel Hill United States, 2 Vanderbilt Nashville United States
Show AbstractSemiconductor nanowires (NWs) have been demonstrated as a potential platform for a wide-range of technologies, yet a method to interconnect functionally-encoded NWs has remained a challenge. Here, we report a simple capillarity-driven and self-limited welding process that forms mechanically robust and Ohmic inter-NW connections. The process occurs at the point-of-contact between two NWs at temperatures 400-600 °C below the bulk melting point of the semiconductor, as demonstrated for both silicon and germanium. The welding process can be explained by capillarity-driven surface diffusion, inducing a localized geometrical rearrangement that reduces spatial curvature. Finite-element simulations confirm the mechanism and show that the self-limited nature of the process results from a dramatic reduction in surface curvature within a few minutes. Transmission electron microscopy images show that the weld is comprised of two fused, single-crystalline NWs separated by a single grain boundary. Elemental mapping indicates that the interface is free of oxygen and that dopant atoms introduced during the VLS process are uniformly encoded in the wires. Single nanowire electrical transport measurements were performed, showing that the electrical resistance of the junctions is low, less than 100 kΩ. The welding process was also performed on a NW growth substrate containing millions of wires, creating a high density network of electrically interconnected nanowires over several square centimeters. By etching a sacrificial layer on the growth substrate, the network could be removed from the substrate, creating a flexible, free-standing film. Electrical transport measurements indicate the sheet resistance of the films is 100-200 kΩ/square, and the films are optically transparent. We expect the welding mechanism to be generic for all types of semiconductor NWs and to enable the development of complex interconnected networks for neuromorphic computation, battery and solar cell electrodes, and bioelectronic scaffolds.
5:00 PM - NM1.17.03
Structural and Electrical Properties of In Doped Sb Nanowires for High Speed Phase Change Memories
Enzo Rotunno 1 , Simone Selmo 2 , Raimondo Cecchini 2 , Claudia Wiemer 2 , Massimo Longo 2 , Laura Lazzarini 1
1 Institute of Materials for Electronics and Magnetism - CNR Parma Italy, 2 Laboratorio MDM, IMM-CNR Agrate Brianza Italy
Show AbstractSince the discovery of the reversible electrical switching phenomena in the 1960s, phase-change recording has evolved to a mature technology that is applied both in rewritable optical data storage systems, like the compact disc (CD) and digital versatile disc (DVD), and in electrical Phase Change Memory (PCM) devices.
The current phase change technology almost completely relies on the Tellurium-based chalcogenide alloys, in particular the ternary compounds Ge-Sb-Te (GST) which however can be affected by compositional inhomogeneities during device operation, inducing reduced device lifetimes.[1]
Analogously, In-Sb-Te (IST), promising alloys for data storage applications in the automotive industry as they exhibit lower reset current and higher crystallization temperature in comparison with GST, have demonstrated the development of metastable phases at temperatures above 464°C. [2]
Hence, new phase change materials without Te, overcoming these limitations, are desirable.[3]
In this work, the Te-free In doped Sb non-chalcogenide, high transition speed phase change nanowires (NWs) system is proposed and investigated, being NWs a very attractive option for the realization of highly scaled PCM devices. [4]
The samples were grown via Metal Organic Chemical Vapor Deposition (MOCVD), exploiting the well established Au catalyzed vapor-liquid-solid (VLS) growth method, using commercially available colloidal Au nanoparticles.
The structural and analytical characterization of In doped Sb NWs by means of Transmission Electron Microscopy (TEM), High Angle Annular Dark Field Scanning Transmission Electron Microscopy (HAADF-STEM), Energy Dispersive X-ray (EDX) spectroscopy and X-ray Diffraction (XRD) reveal that defect-free, single crystal In doped Sb NWs, with In content ranging between 5 and 15%, have been successfully synthesized.
Preliminary electrical tests gave very promising results, with ultrafast phase change memory switching reversibly induced by 25 ns current pulses for both crystallization and amorphization processes in the In doped Sb NWs, which showed a resistance difference between the SET and RESET states higher than one order of magnitude. Moreover, In doped Sb NWs could be promising candidates to develop a low power memory device, since they exhibit a low reset power consumption of ~ 450 µW.
1. D. Khang et al., Appl. Phys. Lett. 95, 011904 (2009)
2. R. Fallica, et al., PSS-RRL 7 1009 (2013)
3. Xilin , Z. et al. App. Phys. Lett. 103, 072114 (2013)
4. M. Longo, ch. 7 in “Advances in Non-volatile Memory and Storage Technology”, Ed. Y. Nishi, Woodhead Publishing, 2014, pp. 231-261.
5:15 PM - NM1.17.04
Exploring Topological Superconductivity in Indium Doped Tin Telluride Nanowires and Nanoribbons
Piranavan Kumaravadivel 1 , Jie Shen 1 2 , Judy Cha 1 2
1 Yale University New Haven United States, 2 Delft University of Technology Delft Netherlands
Show AbstractTopological superconductor (TSC) materials have gained a lot of attention in recent years, especially as host systems for Majorana modes and applications in quantum information processing. Indium doped topological crystalline insulator SnTe is a potential candidate for a TSC, where the bulk has shown superconducting transitions and the existence of Andreev bound states[1]. Moreover, in nanostructures of this material it was demonstrated that doping reduces the bulk mobility while the Dirac-like surface states remain intact[2]. But the nature of the superconductivity and possible superconducting proximity effect on the surface states has not been explored experimentally. In order to investigate this, we successfully synthesize Indium doped SnTe nanowires and nanoribbons using the vapor liquid solid method with InTe and SnTe as precursors and gold nanoparticles as catalyst. At low temperatures we study the magnetoresistance of these nanowires and nanoribbons, probing for changes in quantum interference signatures that emerge from the surface states, above and below the superconducting transition temperature.
[1] S. Sasaki, Z. Ren, A. A. Taskin, K. Segawa, L. Fu, and Y. Ando, Phys. Rev. Lett. 109, 217004 (2012).
[2] J. Shen, Y. Xie, and J. J. Cha, Nano Lett. 15, 3827 (2015).
5:30 PM - NM1.17.05
Angular Momentum Quantization in Modulation-Doped GaAs-Based Core-Multishell Nanowire Field-Effect Transistors
Jonathan Becker 1 , Dominik Irber 1 2 3 , Nari Jeon 3 , Damon Carrad 1 , Stefanie Morkotter 1 , Bernhard Loitsch 1 , Sonja Matich 1 , Markus Doblinger 4 , Gerhard Abstreiter 1 , Jonathan Finley 1 , Lincoln Lauhon 3 , Matthew Grayson 2 , Gregor Koblmueller 1
1 Walter Schottky Institut Technische Universität München Garching Germany, 2 Electrical Engineering and Computer Science Northwestern University Evanston United States, 3 Materials Science and Engineering Northwestern University Evanston United States, 4 Chemistry Ludwig-Maximilians Universität München München Germany
Show AbstractSemiconductor nanowires (NWs) have gained increased attention in recent years due to their potential for electronic applications in integrated circuits and utility in fundamental condensed matter physics research. In particular, high-mobility two-dimensional electron gas (2DEG) systems on the hexagonal facets of modulation-doped III-V NWs are very attractive for high-performance ultra-scaled NW-field effect transistors (NWFETs) [1], as well as for the exploration of the complex electronic structure within the unique non-planar hexagonal NW geometry [2].
In this work we present evidence of angular momentum quantization in the electronic subband-structure of novel δ-doped GaAs/AlAs core-multishell NWFET devices at low-temperature. The device is adapted from our previous studies of Si-δ-doped GaAs-AlGaAs core-shell NWFETs [1], which exhibit sharp switching characteristics (SS of 70 mV/dec at 300K) and low-temperature electron mobilities of ~5000 cm2/Vs of the 2DEG channel confined at the core-shell interface. The present NWFETs differ from these by employing a binary GaAs/AlAs radial superlattice structure, where the Si-delta-doping occurs inside a GaAs quantum well (QW) in order to prevent (i) formation of trap states (DX centers), and (ii) alloy clustering in ternary AlGaAs alloys [3] that induces charger carrier scattering. The growth structure was directly verified by STEM-HAADF microscopy, while the Si dopants inside the GaAs QW and their concentration were confirmed by atom probe tomography (APT).
To probe their electrical properties, NW-FETs were contacted and top-gated using electron beam lithography to pattern four annealed AuGe/Ni/Ti/Au ohmic contacts and an Ω-shaped wrap gate. At 4.2 K the I-V source-drain characteristics were confirmed to be ohmic with low contact resistances of ~10kΩ. Due to the suppression of DX-centers the intrinsic conductance of the NW channel is on the order of 1.75 µS at 4.2 K (~44 kΩ), when the NWFET is not illuminated. When measuring the transfer characteristics in the diffusive transport regime at 4.2 K, we find a series of clear conductance steps indicative of distinct subbands [4]. Self-consistent Schrödinger-Poisson calculations of the electronic structure in the hexagonal NW geometry reveal that the quantized subbands can be identified according to their angular momentum index. Electron density maps for the first 10 occupied subbands are given, which are labeled according to the atomic orbital nomenclature: s, p, d, and f. The two-fold degeneracy of the p-, and d- orbital states in 2D is preserved, but that of the f-orbital states is broken, due to their shared symmetry with the hexagonal wire. Reasonable agreement with experimental level spacings and degeneracies is observed [4].
[1] S. Morkötter, et al., Nano Lett. 15, 3295 (2015).
[2] M. Royo, A. Bertoni, and G. Goldoni, Phys. Rev. B 89, 155416 (2014).
[3] N. Jeon, et al., ACS Nano 9, 8335 (2015).
[4] D. Irber, J. Becker, et al., under submission (2016).
5:45 PM - NM1.17.06
High-Performance InGaAs/Si Tunnel FETs Using Core-Multishell Nanowire-Channel
Katsuhiro Tomioka 1 2 , Fumiya Ishizaka 1 , Junichi Motohisa 1 , Takashi Fukui 1
1 Information Science and Technology Hokkaido University Sapporo Japan, 2 Precursory Research for Embryonic Science and Technology Japan Science and Technology Agency Kawaguchi Japan
Show AbstractThe tunnel FETs (TFETs) are expected as low-power switches in future integrated circuits because of possibility for lower SS than 60 mV/dec and better compatibility with conventional integration process. However, there are some difficulties in forming abrupt tunnel junction and increasing tunnel current. Recently, we demonstrated vertical TFET using III-V/Si junction with steeper SS [1]. Here, we investigated a formation of InGaAs/InP/InAlAs/InP core-multishell (CMS) nanowires with modulation-doping layer to further enhance the tunnel current while keeping steep SS, and found that the modulation-doped InGaAs nanowire markedly increased tunnel current.
In experiment, the substrate was p-type Si(111) and 20 nm-thick SiO2 film was formed by thermal oxidation. Then, we made openings whose diameter of 70 nm by using EB lithography and dry/wet etching process. InGaAs nanowire were grown at 670°C by low-pressure horizontal MOVPE with H2 carrier gas. The source precursors were trimethylgallium (TMGa) and trimethylindium (TMIn) and arsine (AsH3).We used similar growth sequence to align vertical InGaAs nanowires on Si(111) [2]. Diethylzinc (DEZn) and tetraethyltin (TESn) were used for p-type and n-type dopants. We used Zn pulsed-doping technique [3] to form intrinsic InGaAs layer and Sn pulsed-doping technique [3] to form n-InGaAs. As for InP/InAlAs/InP multishell layer, we used lateral-over growth mode and delta-doping techniqueby mono-silane (SiH4) gas. The device processes for the vertical TFET were same as previous repot [2].
The growth direction of the InGaAs nanowires were virtually controlled into vertical <111> direction. HAADF-STEM image of the CMS nanowire revealed that the InP/InAlAs/InP multishell layer were uniformly formed around the InGaAs nanowire sidewalls. The diameter of the core InGaAs nanowire was 70 nm, and the thickness of InP, InAlAs, and outer InP shell layer was 3, 5, and 3 nm, respectively. In this device, surrounding-gate structure modulates tunneling transport where generate at the InGaAs nanowire/Si heterojunction. Simultaneously, the higher gate-bias induce electron-gas inside the InGaAs nanowire. Therefore, this device achieves both steep SS owing and high drive current under higher gate bias. The device achieved steep SS (≈ 42 mV/dec.) at room temperature. The DIBL was 43 mV/V. The drive current offered by the CMS with modulation doping layer was 1000-folded enhancement, to an estimated 2 μA/mm as compared to that of bare InGaAs/Si junction TFETs.
References: [1] K. Tomioka et al., Nano Lett. 13 (2013) 5822. [2] K. Tomioka et al., Nature 488 (2012) 189. [3] E. Nakai et al., JJAP 54 (2015) 015201
NM1.18: Poster Session III: Transport, Piezotronic and Sensing Applications
Session Chairs
Friday AM, December 02, 2016
Hynes, Level 1, Hall B
9:00 PM - NM1.18.01
Template-Assisted Hydrothermal Growth of Aligned Zinc Oxide Nanowires for Piezoelectric Energy Harvesting Applications
Canlin Ou 1 , Sohini Kar-Narayan 1
1 Department of Materials Science and Metallurgy University of Cambridge Cambridge United Kingdom
Show AbstractA flexible and robust piezoelectric nanogenerator (NG) based on a novel hybrid polymer-ceramic nanocomposite structure for piezoelectric energy harvesting (EH) applications has been successfully fabricated via a simple yet cost-effective, scalable and low-temperature template-assisted hydrothermal synthesis method. Vertically aligned arrays of dense and uniform zinc oxide (ZnO) nanowires (NWs) with high aspect ratios were grown within flexible nanoporous polycarbonate (PC) templates. The synthesised ZnO NWs are polycrystalline and have demonstrated a strong alignment along (100) preferred orientation. The as-grown high-quality ZnO NWs embedded within soft and flexible PC templates can be directly integrated into NGs with minimal post-possessing treatment, and the resulting NGs are particularly robust and relatively immune to detrimental environmental factors and mechanical failure, as the constituent ZnO NWs remain embedded and protected inside the polymer matrix. A single NG of area ~3 cm2 and thickness ~12 μm has generated a peak output power density of ~1.6 W/m3 across a load resistance of ~1 MΩ, and its energy conversion efficiency was found to be ~4.2%, which is comparable to previously reported values for ZnO NWs. The mechanical stability of the NGs has also been comprehensively evaluated by various piezoelectric EH tests, and it has shown that these flexible ZnO-PC based NGs are particularly robust and exhibit superior stable EH performance over time. Furthermore, Li has been doped to modify the piezoelectric and ferroelectric properties of ZnO. Long and well-aligned yet polycrystalline ZnO NWs doped with different Li concentration have been successfully synthesised. A decrease in a and c lattice parameters and an increase in the c/a ratio with increasing Li concentration have been observed in the Li-doped NWs, which confirms Li has successfully partially substituted Zn. Their ferroelectric and piezoelectric properties are subsequently assessed.
9:00 PM - NM1.18.02
Multistage Planar Thermoelectric Microcooler Based on Nanograin SiGe Thin Films
Yu Su 1 , Baoling Huang 1 , Jianbiao Lu 1
1 MAE Hong Kong University of Science and Technology Hong Kong Hong Kong
Show AbstractThermoelectric microcoolers provide an attractive solid-state solution for controlling the temperature of microelectronics due to its high reliability, low noise level, quick response and easy control. Conventional microcoolers based on heavy metal elements such as Bi2Te3 are generally incompatible with microelectronic fabrication process and are therefore challenging for on-chip integration. We have developed single-stage and multi-stage thermoelectric microcoolers based on IC-compatible nanograined silicon germanium thin films. Analytical models and device simulations are used to optimize the designs. Both thermal and electrical contact resistances are found to play important roles in decreasing the cooler performance. To reduce the contact resistances between the metal and SiGe, different metal recipes have been tested and compared. With the optimized design and recipes, free-standing single-stage and multi-stage microcoolers have been successfully fabricated using standard microfabrication techniques. Maximum cooling temperatures of 9.3K and 11.2K have been achieved by the single-stage and two-stages microcoolers respectively with power inputs less than 100 mW at room temperature.
9:00 PM - NM1.18.03
Tuning Light Emission of a Pressure Sensitive Silicon/ZnO Nanowires Heterostructure Matrix through Piezo-Phototronic Effect
Mengxiao Chen 1 , Caofeng Pan 1 , Zhong Wang 1 2
1 Beijing Institute of Nanoenergy and Nanosystems Chinese Academy of Sciences Beijing China, 2 Materials Science and Engineering Georgia Institute of Technology Atlanta United States
Show AbstractBased on white light emission at silicon (Si)/ZnO hetrerojunction, a pressure sensitive Si/ZnO nanowires heterostructure matrix (SZHM) light emitting diode (LED) array is developed. The ZnO nanowire bunched matrixes were fabricated on both micropillar array structured and non-structured silicon wafers. Besides white light emission, precise pressure based light intensity control is achieved in the Si/ZnO material system. The light emission intensity of a single LED (2.5μm, a Si micropillar/ZnO nanowires heterojunction contact) in the SZHM increases with the applied stress until a maximum value is reached at a compressive strain of 0.15%-0.2%, and then it decreases. This result is attributed to the piezo-phototronic effect, which can efficiently modulate the light emission intensity of LEDs by utilizing the strain induced piezo-polarization charges created at the interface, which tune the energy band diagrams and the optoelectronic processes such as charge-carrier generation, separation, recombination and transport. Furthermore this observation verified the piezo-phototronic energy band modulation theory. It provides a promising method to manipulate the light emissions of LEDs based on piezoelectric semiconductors by the piezo-phototronic effect through applying static strains, and may find perspective applications in various optoelectronic devices and integrated systems.
9:00 PM - NM1.18.04
Steep Switching Characteristics of Feedback Field-Effect Transistors with Single-Gate Electrodes
Minsuk Kim 1 , Yoon Joong Kim 1 , Doohyeok Lim 1 , Sangsig Kim 1
1 Department of Electrical Engineering Korea University Seoul Korea (the Republic of)
Show AbstractMOSFETs have the well-known theoretical limitation of a subthreshold swing (SS) of 60 mV/dec at T = 300 K. The limitation leads to the crucial difficulty of reduction in the leakage current in the subthreshold region, resulting in the increase in power consumption. Recently, feedback field-effect transistors (FBFETs) with novel operation principle have become interesting, since they are promising replacements of conventional MOSFETs [1-3]. These devices are expected to meet low power consumption and high performance with SS values substantially lower than the conventional MOSFET limit.
The device structures of typical FBFETs are based on gated p-i-n diodes operating under forward bias. Carrier injection barriers formed by effect of charges or applied voltage are controlled by drain or gate bias [1-3]. As the drain/gate voltage changes, positive feedback in the channel region is activated by electrons and holes with steep switching characteristics. However, the studies reported so far have the difficulties resulting from complex operating procedures or control of additional gate electrode.
In this study, newly designed structures of gate-all-around nanowire FBFETs with single gate electrodes are proposed for generating a feedback loop. The SS, energy band diagrams, and I-V curves are analyzed using a device simulator (Silvaco Atlas, version 5.20.2 R). The operation of FBFETs are related to the bipolar junction transistor actions in which both electrons and holes interact with each other, modulating the band structure of the devices. Thus, the bipolar model was employed in our simulation. The present study shows the superior switching characteristics of FBFETs over other silicon-based devices in that the device shows SSs of below 0.1 mV/dec, an ION/IOFF of approximately ~1011, and an on-current of ~10-4 A. Based on the superior switching characteristics and controllable hysteretic behaviors, we demonstrate that our newly designed FBFETs can potentially be very promising in memory application such as 1T-DRAM.
[1] A. Padilla, C. W. Yeung, C. Shin, C. Hu, and T. J. King Liu, in IEDM Tech. Dig (2008) 1-4
[2] J. Wan, C. Le Royer, A. Zaslavsky, and S. Cristoloveanu, Solid State Electron. 76 (2013) 109-111.
[3] Y. Jeon, M. Kim, D. Lim and S. Kim, Nano Lett. 15 (2015) 4905-4913.
9:00 PM - NM1.18.05
Silicon Nanowire Transistors Based on Weak Impact Ionization
Doohyeok Lim 1 , Minsuk Kim 1 , Yoon Joong Kim 1 , Sangsig Kim 1
1 Korea University Seoul Korea (the Republic of)
Show AbstractIn this work, we demonstrate Si nanowire (SiNW) field-effect transistors (FETs) based on weak impact ionization with a top-gate geometry. SiNWs were derived from a bulk-Si wafer including ion implantation and crystallographic wet etching process, and the SiNWs were then transferred onto a plastic substrate. Each of the SiNWs had a diameter of 150 nm and a length of 300 μm. As+ ions were implanted for the formation of n+ regions in the SiNWs. The channels of the transistors consisted of SiNWs with n+-p-n+ structures, and the p regions of the channels were covered by the top-gated Al2O3 high-κ dielectric layers. The transistor shows the typical MOSFET characteristics with the biasing of a relatively lower drain voltage. At a relatively higher drain voltage, however, the transistor exhibited steep subthreshold swing and hysteresis characteristics in transfer curve (VGS-IDS characteristics). These electrical characteristics are caused by the latch in single transistor through positive feedback loop based on weak impact ionization. Furthermore, the SiNW FETs exhibited the controllability of hysteresis window by modulating the drain bias voltage.
9:00 PM - NM1.18.06
Feedback and Tunneling Device Characteristics of Dual Functional FETs Devices with Channels of
p+-
i-
n+ Silicon Nanowires
Yoon Joong Kim 1 , Minsuk Kim 1 , Doohyeok Lim 1 , Sangsig Kim 1
1 Korea University Seoul Korea (the Republic of)
Show AbstractThe scaling down of the metal-oxide-semiconductor field-effect transistor (MOSFET) obtained many advantages such as higher switching speed, lower cost and more integration of the transistors. Despite these merits, the diminution of the device size has reached the physical limitation due to the power consumption problems. Theoretically, the subthreshold swing of conventional MOSFETs cannot be smaller than 60 mV/dec at room temperature. The theoretical limitation increases the leakage current in the subthreshold region as the device size is scaled down [1-2]. Thus, various novel devices with sub-60 mV/dec have been proposed, such as tunneling FETs (TFETs) [3], nano-electro-mechanical FETs [4], impact-ionization FETs [5] and feedback FETs (FBFETs) [6]. Compared to other proposed devices, TFETs and FBFETs are more prospective candidates to replace conventional MOSFET because they have less leakage current and can be manufactured by using current CMOS technologies. Here, we demonstrate a dual-functional device, which is capable of operating as both TFETs and FBFETs depending on the source to drain voltage bias conditions.
A dual-functional device fabricated with the combination of the SiNWs (diameter ~100 nm), a high-k (Al2O3 ~15 nm) gate dielectric and aluminum (Al ~ 100nm) source/drain/gate metal electrode. Our device exhibits Ion/Ioff of ~ 106 and 107 for the FBFET and TFET operation respectively. It shows the subthreshold swing of 6.15 mV/dec for the FBFET operation, while it does the subthreshold swing of 41.3 mV/dec for the TFET operation. This study demonstrates the promising potentiality of our dual-functional devices for the development of multi-functional electronics with significantly low power consumption.
[1] T. Sakurai, IEICE Trans. Electron. E87-C (2004) 429-436.
[2] A. M. Ionescu, H. Riel, Nature, 479 (2011) 329-337.
[3] W. Y. Choi, Jpn. J. Appl. Phys. 49 (2010) 04DJ12-1-04DJ12-3.
[4] K. Akarvardar, D. Elata, R. Parsa, G. C. Wan, K. Yoo, J. Provine, P. Peumans, R. T. Howe, H.-S. P. Wong, Proc. IEEE Int. Electron Devices Meet. Dig. (2007) 299-302.
[5] E.-H. Toh, G. H. Wang, G.-Q. Lo, L. Chan, G. Samudra, Y.-C. Yeo, Jpn. J. Appl. Phys.
47 (2008) 3077-3080.
[6] Y. Jeon, M. Kim, D. Lim and S. Kim, Nano Lett. 15 (2015) 4905-4913.
9:00 PM - NM1.18.07
A Facile Synthetic Approach of Single Crystalline and Vertically Oriented Rhenium Sulfide Nanosheets
Saeah Kim 1 , Myung Hwa Kim 1
1 Nano Science and Chemistry Physical Chemistry Seoul Korea (the Republic of)
Show AbstractWe introduce a facile synthetic strategy of highly single crystalline and vertically oriented ReS2 nanosheets by a simple CVD (Chemical Vapor Deposition) process using ReCl3 powder and sulfur powder as precursors. The morphology, size and crystallinity of grown rhenium sulfide nanosheets can be carefully controlled depending on several experimental conditions given by growth temperature, growth time and flow of carrier gas. The morphology and the crystal structure of ReS2 nanosheets were thoroughly characterized with field emission scanning electron microscope (FE-SEM), energy dispersive spectroscopy (EDS), X-ray diffraction (XRD), Raman spectroscopy, X-ray photoelectron spectroscopy and transmission electron microscopy (TEM). Results thus confirm that the 2-dimensional nanosheets of rhenium sulfide are highly crystallized so that it is expect to apply for highly efficient semiconductor.
9:00 PM - NM1.18.08
Wurtzite ZnO Nanowires with Zinc Blende ZnO Quantum Wells Grown by Room Temperature Reactive Sputter Deposition
Michal Borysiewicz 1 , Marta Gryglas-Borysiewicz 3 , Monika Maslyk 1 , Tomasz Wojciechowski 2 , Marek Wzorek 1 , Tomasz Wojtowicz 2 , Eliana Kaminska 1
1 Institute of Electron Technology Warsaw Poland, 3 Institute of Experimental Physics, Faculty of Physics University of Warsaw Warsaw Poland, 2 Institute of Physics, Polish Academy of Sciences Warsaw Poland
Show AbstractZinc oxide (ZnO) is a wide band gap semiconductor attracting significant attention due to a chemically active surface and reports on a wide array of nanostructures grown using various techniques. Most of them are solution-based, vapor transport or complex vacuum techniques (e.g. molecular beam epitaxy) limiting the viability of industrial introduction in large-throughput production. We developed a way of growing ZnO aligned nanowires using DC reactive magnetron sputtering. It is a technique combining the good composition and property control exhibited by vacuum technologies with relatively low cost and high throughput deposition on large substrates.
In this communication we show how process conditions during reactive magnetron sputtering of a Zn target in a mixed argon-oxygen atmosphere influence the morphology of the obtained films on silicon substrates. In particular, the flow ratio of Ar to O2 is kept constant at 10:2 while the absolute flow values are changed from 3 to 50 sccm for Ar and 0.3 to 10 sccm for O2. It is worthwhile to point out that the common practice is to study the influence of Ar:O2 flow ratio on the film properties, and this work is unique in its studying a constant flow ratio and changing flow values.
The films at the deposition conditions (80W DC power, 3 mtorr total pressure) exhibit significant structural changes with increasing flow values. At low flows, nanostructured Zn films grow, with increase in flow values causing smaller grains and a densification of the films. We ascribe this process to growth impeding by oxygen adsorption at the growth surface of the nanocrystals, forming new nucleation points. At 20 sccm Ar and 4 sccm O2 a transition from nanostructured Zn to nanopillar ZnO growth is observed. A nanocrystalline transition layer is first observed at the substrate with the thickness of around 50 nm, from which aligned nanowires start to grow. Further increase in the flow values results in the improved alignment of the nanowires perpendicular to the substrate and the densification of the films. The nanowires are single crystalline in the aspect that they do not include any grain boundaries but they also exhibit stacking fault-like superstructures similar to quantum wells with single nm thicknesses. The stacking faults are zinc blende regions in the wurtzite wires, as evidenced by high-resolution TEM studies. Photoluminescence measurements at 5K showed a complex structure in the band edge area of the spectrum, proving the optically active character of the superstructure quantum wells, and yielding energies appropriate for the observed well thicknesses. Using Langmuir probe measurements we tried to connect the plasma parameters during sputtering and resulting microstructure, but found no significant liaisons, leading to believe that the growth is more driven by the chemical reactions at the surface of the growing species.
The research was supported by statutory funds of the Institute of Electron Technology.
9:00 PM - NM1.18.09
ZnO Rods Grown from Alkaline Solution by Chemical Spray Pyrolysis
Inga Gromyko 1 , Tatjana Dedova 1 , Svetlana Polivtseva 1 , Ilona Oja Acik 1 , Malle Krunks 1
1 Department of Materials Science Tallinn University of Technology Tallinn Estonia
Show AbstractIn this work, we report spray pyrolysis deposition of ZnO rods from aqueous alkaline solution (pH > 10). ZnO rods formation from alkaline solutions takes place at lower deposition temperatures, than the deposition from acidic solutions. ZnO rod layers were grown at T=350 °C, 450 °C and 550 °C on the glass, ITO and FTO substrates. Zinc chloride aqueous solution (0.05 mol/l) dissolved in ammonia was used as precursor. The influence of main technological parameters such as pH value, temperature and substrate type on the formation, morphological, crystalline and optical properties of ZnO rods are studied. SEM, XRD and UV-VIS were applied to characterize spray grown ZnO rod layers. Depending on the deposition conditions, the diameter (d) and the length (L) of the rods vary. For example, ZnO rods with a sizes of d=150 nm and L=400 nm were obtained at T=450 °C, and rods with d=300 nm and L=800 nm were obtained at T=550 °C, respectively. Our XRD study confirms wurtzite structure and preferred c-axis orientation of the ZnO layers independent of the deposition temperature. Highly crystalline and strongly (002) oriented ZnO rods (I(002)/I(101)=10) were grown at T=450 °C.
9:00 PM - NM1.18.10
Scalable ZnO Nanotube Arrays Grown on CVD-Graphene Films
Jun Beom Park 1 , Jongwoo Park 1 , Nam-Jung Kim 2 , Hosang Yoon 1 , Gyu-Chul Yi 1 , Jiyoung Yoon
1 Department of Physics and Astronomy, and institute of Applied Physics Seoul National University Seoul Korea (the Republic of), 2 Department of Physics and Chemistry Korea Military Academy Seoul Korea (the Republic of)
Show AbstractWe report the growth of wafer-scale arrays of individually position-controlled and vertically aligned ZnO nanotube arrays on graphene deposited by chemical vapor deposition (CVD-graphene). The ZnO nanostructures had a precisely controlled shapes and highly crystalline structures. Additionally, the nanostructures were easily detached with negligible damage from the host substrate using a simple mechanical lift-off to form flexible nanomaterial systems. Our method presents a versatile means for the preparation of one-dimensional (1D) nanostructures as functional components in various applications by allowing vertically aligned growth of 1D nanostructures even on amorphous, metallic, or flexible substrate materials, with individually controlled positions and designed dimensions over a wafer-scale area. We believe that this simple method may be expanded to create more sophisticated nanoarchitectures required for various nanodevice applications such as nanosensors, photovoltaic cells, and memory.
Position- and dimension-controlled growth of ZnO nanotubes was performed by selectively suppressing the nucleation of ZnO using a growth mask. First, a few-layered CVD-graphene film was transferred onto an arbitrary substrates with sufficient thermal tolerance such as SiO2/Si, metals and ceramics. Second, a thin SiO2 film was deposited onto the graphene films using plasma-enhanced CVD. The thickness was typically 10–200 nm; a 50-nm-thick film was used in the following experiments. Importantly, the oxide layer was annealed at 600°C in nitrogen before the patterning process to reduce the defects in the as-deposited SiO2 film that caused undesired growth and reduced the growth selectivity. Next, hole patterns on the growth mask were defined by either nanoimprint lithography (NIL) or e-beam lithography (EBL), where NIL allows a high-throughput wafer-scale process and EBL enables fine-diameter nanostructure growth. The typical diameters and pitches of the holes were 100–500 nm and 1–8 micrometers, respectively. After lithography, the SiO2 film was first etched by reactive-ion etching using CF4 plasma until a very thin oxide film was left to prevent damage to the graphene by the plasma. The residual oxide layer was then completely removed by a brief wet etch using buffered oxide etchant. This mixed etching process provided better reproducibility for the preparation of a patterned oxide growth mask on the CVD-graphene films, as opposed to using a dry- or wet-etch alone. Then, ZnO nanotube arrays were selectively grown on SiO2-mask-patterned CVD-graphene films by catalyst-free metal-organic vapor phase epitaxy (MOVPE). The ZnO nanostructures had a precisely controlled shapes and highly crystalline structures as examined by scanning electron microscopy (SEM) and transmission electron microscopy (TEM).
9:00 PM - NM1.18.11
Topological Surface State Enhanced Photocurrent Sensitivity in Bi2Te3 Topological Insulator Nanostructure
Dambi Park 1 , Leo Cho 1 , Kwangsik Jeong 1 , Min Ahn 1 , M.H. Cho 1
1 Physics Yonsei University Seoul Korea (the Republic of)
Show AbstractTopological insulator (TI) is attracted as a new class of materials, because it possesses simultaneously conducting surface states as well as bulk insulating properties. TIs have very interesting optoelectronic properties such as strong light absorption, photocurrent sensitivity to the polarization of light. To investigate the photocurrent of Bi2Te3 topological insulator nanostructures, we synthesized single-crystal Bi2Te3 nanowires and nanoribbons by using a vapor-liquid-solid (VLS) method. The composition and crystalline structure of nanostructures were confirmed by energy-dispersive spectroscopy (EDS) and high resolution transmission electron microscopy (HRTEM), respectively. The photocurrent in topological insulator Bi2Te3 nanowires and nanoribbons are studied under the illumination of visible (532nm) light. This photocurrent is linearly dependent on both the light intensity and the applied bias voltage. The obtained photoresponsivity for visible light is a remarkable improvement, compared to the previously reported results using topological insulator materials. The result indicates that the high-quality crystal structure of nanostructure and the presence of TI surface state effectively contribute to the significant improvement in photocurrent sensitivity.
9:00 PM - NM1.18.12
Flexible Fiber-Type Energy Harvesting Based on Perovskite Lead Titanate Nanotube Arrays
Jin Kyu Han 1 , Young Bum Lee 1 , Suttinart Noothongkaew 1 2 , Wooseok Song 1 , Sung Myung 1 , Sun Sook Lee 1 , Jongsun Lim 1 , Ki-Seok An 1
1 Korea Research Institute of Chemical Technology Daejeon Korea (the Republic of), 2 Faculty of Science Ubon Ratchathani University Warinchamrab Thailand
Show AbstractNanogenerators (NGs) that harvest energy from mechanical vibration are an attractive area of energy environment fields. Recent, NGs has been reported with the direct growth of a piezoelectrics on flexible materials such as a paper, a virus, a fiber, and a carbon nanotube. Among them, a fiber with micron scale diameter have been considered to be of great importance because of their excellent ability to optical waveguide, wearable, small size, and light weight devices. In additions, NGs using the fiber might be more interesting than film type NGs due to the growth in radial direction of the nano-materials on the fiber. However, a few researches on fiber type NGs were carried out in spite of many reports on film type NGs. Currently, the fiber type NGs have been mainly based on semiconductor ZnO nanowire. However, these ZnO nanowire-based NGs lack a lot of examples of practical applications such as wind, rain drop, and water flow motion. The lack of the applications may be caused by their low piezoelectric coefficient and electromechanical conversion efficiency. Thus a piezoelectric NGs device with perovskite phase is a prerequisite for enhancing the nanogenerator property.
We report a successful growth of the fiber type perovskite PbTiO3 nanotubes (PTO NTs) array by hydrothermal method using TiO2 NTs as a positive template. The method is easy, simple, and effective to realize the fiber-type NGs because they are not need the annealing process in high temperature. The PTO NTs with perovskite phase were well transformed from the TiO2 NTs by hydrothermal method which was confirmed by XPS, XRD, and FETEM analysis. We found that the NG measurements by using a PTO NTs/Ti core shell fiber exhibit a polarity property, output signals of 620 mV by periodic bending movements, and generation of output voltage regardless of the direction of the strain. In addition, the devices using the two PTONT/Ti core shell fibers are possible to generate the electric field in the all-directions. We will discuss the mechanism of power generation when the fiber type NGs is strained in various directions.
9:00 PM - NM1.18.13
Scalable Microwave-Assisted Continuous Flow Synthesis of ZnO Nanowire Arrays on Monolithic Cordierite Substrates for Environmental Application
Mingwan Zhang 1 , Sibo Wang 1 , Pu-Xian Gao 1
1 Materials Science and Engineering and Institute of Materials Science University of Connecticut Storrs United States
Show AbstractMicrowave-assisted hydrothermal synthesis is a well-known efficient method to get high yields nanoparticles, and it work well for both organic and inorganic materials1. It has been reported that microwave irradiation boosts more on nucleation than on crystal growth for inorganic materials synthesis in liquid phase,2 which means that there are more benefits in homogenous phase chemical reactions than heterogeneous phases by microwave-assisted synthesis. Thus, there are a few works for synthesis on substrates.3 It is a real challenge to synthesis on three-dimensional substrates, such as monolithic cordierites. Supplying fresh precursors in regular intervals to help maintain reaction at high rate enables the high yield and high efficiency that can be achieved in continuous flow synthesis. This work combines the microwave-assisted hydrothermal synthesis and continuous flow synthesis together to make a highly efficient and scalable synthesis of zinc oxide nanowire array on monolithic cordierite substrates. The length and the uniformity of zinc oxide nanowire array are both improved by using microwave-assisted continuous flow synthesis method, comparing with individual microwave-assisted batch synthesis or conventional continuous flow synthesis method. In addition, the prepared monolithic catalysts produced by this method have shown good performance toward various reactions such as CO and hydrocarbon (HC) oxidation.
References:
1. Zhu, Chen. “Microwave-assisted preparation of inorganic nanostructures in liquid phase.” Chemical Reviews 114.12 (2014): 6462–6555
2. Jhong, Jin, et al. “Microwave effect in the fast synthesis of microporous materials: which stage between nucleation and crystal growth is accelerated by microwave irradiation?” Chemistry – A European Journal 13.16 (2007): 4410-4417.
3. Unalan, Hiralal, et al. “Rapid synthesis of aligned zinc oxide nanowires.” Nanotechnology 19.25 (2008): 255608-255613
9:00 PM - NM1.18.14
Topological Crystalline Insulator Nanoribbons
Bishnu Dahal 1 2 , Rajendra Dulal 1 2 , Andrew Forbes 1 2 , Ian Pegg 1 2 , John Philip 1 2
1 Catholic University of America Washington United States, 2 Vitreous State laboratory Catholic University of America Washington United States
Show AbstractTin telluride is a topological crystalline insulator, which has its metallic surface states protected by the crystalline symmetry.1,2 The SnTe is particularly a promising system to study valley-degenerate topological effects. However, the growth of high-quality SnTe is a prerequisite to study such degeneracy effects. Most growth techniques use high temperatures, resulting in both Sn vacancies and heavy p-type conductivity in SnTe. Thin films with less than 1 μm tend to be highly granular and rough, which can significantly reduce the carrier mobility. We have grown SnTe nanoribbons using a low temperature solution method. The width of the SnTe ribbons varies from 500 nm to 2 µm. The grown SnTe nanoribbons exhibit strong semiconducting behavior with activation energy of 240 meV. This activation energy is higher than the reported value for bulk SnTe, making the thermal excitation of bulk charges very difficult on the surface. As a result, the topological surfaces are free from the disturbance caused by thermal excitations.
1Z. Li, S. Shao, K. McCall, J. Wang, and S. X. Zhang, Nano Lett., Vol. 13, 5443-5448 (2013)
2 T. H. Hesieh, H. Lin, J. Liu, W. Duan, A. Bansil, and L. Fu, Nat. Commun., Vol. 3, Nom. 982, Jul. 2012
9:00 PM - NM1.18.15
Structural and Electrical Properties of Conic-Shaped ZnO Nanostructres Controlled by Atomic Layer Deposition for Piezoelectric Nanogenerator Application
David Spirito 1 2 , Emmanuel Defay 1 , Jens Kreisel 1 2 , Damien Lenoble 1
1 Luxembourg Institute of Science and Technology Esch-sur-Alzette Luxembourg, 2 University of Luxembourg Esch-sur-Alzette Luxembourg
Show AbstractZinc oxide nanowires are well known and have been used in many applications for 10 years. However their size, density, interfaces and electrical properties can not always be well controlled for energy harvesting applications. In this study, we demonstrate a novel method to process ZnO nanostructures by a top-down approach compared to the classical bottom-up process for ZnO nanowires. For this, we combine low temperature Atomic Layer Deposition (ALD) (<80°C) with nano-imprint lithography (NIL). The NIL process leads to a PMMA template of truncated conical holes of 2 μm in depth. The conical shape of the stamp allows for reaching a high aspect ratio of 10 to mimic ZnO nanowires. This template is then filled with ZnO by low-temperature ALD. ALD parameters (temperature and time of purge) control accurately the properties of ZnO thin film. The X-Ray diffraction shows that texture can vary from (002) to (100) and (101) orientation. Electrical characterization on these films shows a change of resistivity of at least 3 orders of magnitude from 10 ohm.cm to 1000 ohm.cm. The piezoelectric coefficient obtained by local probe measurements is the same order of magnitude as single crystal ZnO. A p-n junction with PEDOT:PSS has been prepared with ZnO to obtain a first functional piezoelectric nanogenerator on a flexible substrate. For such a device with 4x4 mm2 active surface, we have measured an output voltage of 200 mV corresponding to an effective transverse piezoelectric coefficient e31eff of -0.45 C/m2.
9:00 PM - NM1.18.16
Morphology Controlled Zinc Oxide Nanostructures for Enzymatic Biosensors—Studying the Influence of Morphology on Biosensor Sensitivity
Mohammed Almomtan 1 , Ahmad Fallatah 1 , Minh Tran 1 , Sonal Padalkar 1
1 Iowa State University Ames United States
Show AbstractZinc oxide (ZnO) is an attractive material that finds applications in areas ranging from biosensing to photocatalysis. Here, ZnO nanostructures were synthesized via electrodeposition for its utilization in enzymatic biosensors, in the detection of glucose and uric acid. A control sample of ZnO was electrodeposited on indium doped tin oxide (ITO) substrate by using zinc nitrate solution at a temperature of 70C and a potential of -1.0 V, with a reaction time of 30 min. Various morphologies of ZnO were synthesized by utilizing different amines and inorganic salts to the electrodeposition bath. Inorganic salts like potassium chloride (KCl) and ammonium fluoride (NH4F) and amines like ethylenediamine (EDA) was used in the morphology controlled synthesis of ZnO nanostructures. The many different morphologies of ZnO such as nanorods, nanoneedles, nanoplatelets, nanoflowers etc. were successfully synthesized. These nanostructures were characterized by using scanning electron microscopy (SEM). The X-ray diffractometer (XRD) data presents the variation in textures of the nanostructured ZnO with changing process parameters. Further, the influence of morphology on the biosensor sensitivity was also studied.
9:00 PM - NM1.18.17
Electrochemical Immunosensor Based on ZnO Nanorods-Au Nanoparticles Nanohybrids for CA125 Detection
Talita Mazon 1 , Gisane Gasparotto 2 1 , Joao Paulo Costa 2 , Paulo Costa 3 , Maria Aparecida Zaghete 2
1 Centro de Pesquisas Renato Archer Campinas Brazil, 2 Institute of Chemistry São Paulo State University Araraquara Brazil, 3 School of Pharmaceutical Sciences, São Paulo State University-UNESP Araraquara Brazil
Show AbstractIn this work, ZnO nanorods - Au nanparticles nanohybrids have been fabricated and employed to sensitive electrochemical strategy for the specific detection of the ovarian cancer antigen CA125/MUC126. The microdevice was development in our lab based on gold and silver electrodes sputtered on glass device. The ZnO nanorods arrays were grown on working electrode using a facile chemical bath deposition method and after that Au nanoparticles (NPs) were deposited by sputtering. The Au NPs onto ZnO nanorods surface offer a favorable platform for efficient loading of anti-CA125 antibody via binding with cystamine and glutaraldheide. The effective loading of the biological material (CA125 antibody and antigen) on the matrix was observed by SEM images. The electrochemical immunosensor exhibits a sensitive response to ovarian cancer antigen Recombinant Human CA125/MUC126 with detection of 2.5 ng/μL, 100 times lower than immunoblot system. The proposed sensor characterisitics of high specificity, good reproducibility and remarkable stability will provide a sensitive, selective and convenient approach to be used to detect CA125/MUC126.
9:00 PM - NM1.18.18
Visible Light Assisted Gas Sensing Studies of ZnO NR/CdS Heterojunction
Satish Badadhe 1 , Manjusha Shelke 2 , Satishchandra Ogale 1
1 Indian Institute of Science Education and Research, Pune Pune India, 2 National Chemical Laboratory Pune India
Show AbstractIt is necessary to monitor toxic and flammable gas emission for safety and environmental monitoring. Semiconductor based metal oxides are promising candidates however they operate at higher operating temperature. Hence it is necessary to develop gas sensors which operate at room temperature for safety purpose. Toxic gases like NO2 and H2S are very toxic even at few ppm level and hence detection of these gases are essential. Here, we report visible light assisted room temperature NO2 and H2S gas sensing properties of ZnO nanorods (NRs)/CdS heterojunction system. Vertically aligned zinc oxide (ZnO) nanorods are prepared using a simple hydrothermal process at low temperature (95οC), and cadmium sulfide (CdS) is grown thereupon by the successive ionic layer adsorption and reaction (SILAR) method. It is shown that in the presence of visible light the ZnO NR/CdS heterojunction system shows a highly enhanced NO2 response as compared to the ZnO NR case. Further, ZnO/CdS heterojuction also exhibits light assisted H2S sensing response.
9:00 PM - NM1.18.19
Investigation of Hematite Nanorods by In Situ X-Ray Diffraction and Its Magnetic Behavior under Hydrostatic Pressure
Aryane Tofanello 1 , Ivaldete Dupim 1 , Waldemir Carvalho 1 , Leticie Mendonca-Ferreira 1 , Fanny Costa 1 , Fabio Ferreira 1 , Flavio De Souza 1
1 Federal University of ABC Santo André Brazil
Show AbstractThis work describes a novel insight of the thermal decomposition of akaganeite to hematite nanorods by performing in-situ X-ray diffraction. In addition, the temperature dependence of the magnetic behavior of a high purity sample in the presence of an applied hydrostatic pressure was investigated. The in-situ X-ray diffraction data indicate that complete phase formation occurs at ~663 K. It was observed that the dynamics of phase transformation plays an important role for eliminating any doubt about the presence either of crystal disorder or an additional phase contribution as previously reported by an ex-situ investigation. The high temperature of thermal treatment increases the presence of defects on hematite nanorods as illustrated by transmission electron microscopy images. The presence of defects induced the appearance of weak ferromagnetism at room temperature due to a canting of spin usually observed above ~250 K, known as Morin transition temperature, TM. The hydrostatic pressures were applied in as prepared (akaganeite) and hematite sample thermal treated at different temperature varying from 663 to 1073 K. Different values of hydrostatic pressure were applied during the magnetic property measurements and the TM shifted to higher values, from 261 to 282 K with initial rate (∂TM /∂P coefficient) of 46 K GPa-1. These results suggest that the temperature of thermal treatment and the application of hydrostatic pressure increase the concentration of defects in hematite nanorods favoring the magnetic behavior. In fact, the positive dependence of TM with hydrostatic applied pressure obtained is consistent with several works reported in the literature investigating different morphologies in bulk and nanoscale.
Acknowledgements
We gratefully acknowledge financial support from the Brazilian agencies of FAPESP (Grants 2011/19924-2 and 2013/05471-1) and CNPq (Grants 400381/2014-1, 150559/2015-1 and 164141/2015-4).
9:00 PM - NM1.18.20
Design and Fabrication of Piezoresistive Silicon Micro Column Flow Rate Sensor
Adimali Piyadasa 1 2 , Yanbing Guo 2 3 , Pu-Xian Gao 1 2 3
1 Department of Physics University of Connecticut Storrs United States, 2 Institute of Materials Sciences University of Connecticut Storrs United States, 3 Department of Materials Science and Engineering University of Connecticut Storrs United States
Show AbstractSilicon micro column flow rate sensor is a novel MEMS mass flow sensor designed by utilizing piezoresistivity in p-type Silicon [1-3]. This study is focused on fabrication of the sensor using MEMS fabrication techniques and testing the effect of various device parameters on its performance. A previous study has been conducted to model the effect of device parameters using finite element analysis [4]. Two distinct device types are being fabricated for performance testing, one with a two dimensional array of micro columns and the other one with a single micro column. Several device parameters such as micro column radius, height, number of micro columns per unit area and dopant levels are optimized during the study. Standard fabrication process of the device involves patterning of the spin coated photoresist layer using electron beam lithography, evaporation and liftoff chromium layer, etch exposed silicon wafer using deep reactive ion etching and deposit electrode material using e-beam evaporation. Directional dependency of the sensor output due to the asymmetry of the silicon piezoresistivity can be used in measuring micro scale turbulences in the flow field. Small device size (<5um for single micro column device and <30um for micro column array device) and use of standard MEMS fabrication techniques makes it a strong competitor for the existing flow rate sensors.
Reference:
1. C. S. Smith, Phys. Rev. 94, 42 (1954).
2. R. R. He and P. D. Yang, Nat. Nanotechnol. 1, 42 (2006).
3. T.Bui, D.Dao, T.Toriyama and S.Sugiyama, “Evaluation of the piezoresistive effect in single crystalline silicon nanowires”. Proc.IEEE Sens., 2009, pp. 41-44.
4. A. Piyadasa, P. Gao “Modeling of Directional Dependence in Nanowire Flow Sensor”, COMSOL conference proceedings, Boston MA, 2014.
9:00 PM - NM1.18.21
Hydrothermal Synthesis of Monoclinic Vanadium Dioxide Nanowires with High Aspect Ratio
Run Shi 1 , Xianglong Bai 1 , Dawen Li 1 , Yi Zhang 1 , Chengzi Huang 1 , Yuan Shi 1 , Liang Zhang 1 , Chun Cheng 1
1 Department of Materials Science and Engineering and Shenzhen Key Laboratory of Nanoimprint Technology South University of Science and Technology of China Shenzhen China
Show AbstractRun Shi1, Xianglong Bai
1, Dawen Li
1, Yi Zhang
1, Chengzi Huang
1, Yuan Shi
1, Liang Zhang
1, Chun Cheng
*11. Department of Materials Science & Engineering and Shenzhen Key Laboratory of Nanoimprint Technology, South University of Science and Technology of China, Shenzhen 518055, People’s Republic of China
* Contact Author, e-mail:
[email protected]Monoclinic vanadium dioxide, VO
2(M), is a popular functional material with a reversible MIT (metal-insulator transition) at a temperature slightly above room temperature, T
c=341K
1. This transition from a low temperature, insulating, monoclinic phase (M) to a high temperature, metallic, tetragonal phase (R) is followed by some amazing changes in electrical properties, optical properties and lattice parameters. Based on these changes, VO
2(M) has a great potential to be utilized in a wide-range of energy related devices, such as photo-transistor
2, gas sensor
3, thermochromic window
4 and electro-opto-thermal mechanical actuator
5. Here, scalable hydrothermal synthesis of VO
2 (M) nanowires with a high aspect ratio of 60 was achieved via the reduction of V
2O
5 by oxalic acid in a diluted H
2SO
4 solution, and to the best of my knowledge, VO
2 (M) nanowires with a length of 90 micrometers were firstly prepared by direct hydrothermal methods in this work. Interestingly, the phase transition temperature of the un-doped as-prepared sample is close to room temperature. Furthermore, doping of Sb could change the structure of VO
2 lattice, accompanied with a large decline of the phase transition temperature to even 243K, at the same time, an impurity, VO
2 (A), could be effectively eliminated. According to this work, it is possible that the scalable fabrication of VO
2 (M) nanowire-based smart devices would be made in the future.
References:
1. Morin, F. J., Oxides Which Show a Metal-to-Insulator Transition at the Neel Temperature. Physical Review Letters 1959, 3 (1), 34-36.
2. Lu, J. P.; Liu, H. W.; Deng, S. Z.; Zheng, M. R.; Wang, Y. H.; van Kan, J. A.; Tang, S. H.; Zhang, X. H.; Sow, C. H.; Mhaisalkar, S. G., Highly sensitive and multispectral responsive phototransistor using tungsten-doped VO2 nanowires. Nanoscale 2014, 6 (13), 7619-7627.
3. Strelcov, E.; Lilach, Y.; Kolmakov, A., Gas Sensor Based on Metal−Insulator Transition in VO2 Nanowire Thermistor. Nano Lett 2009, 9 (6), 2322-2326.
4. Kang, L.; Gao, Y.; Luo, H., A Novel Solution Process for the Synthesis of VO2 Thin Films with Excellent Thermochromic Properties. Acs Appl Mater Inter 2009, 1 (10), 2211-2218.
5. Wang, K.; Cheng, C.; Cardona, E.; Guan, J. Y.; Liu, K.; Wu, J. Q., Performance Limits of Microactuation with Vanadium Dioxide as a Solid Engine. Acs Nano 2013, 7 (3), 2266-2272.
9:00 PM - NM1.18.22
Carrier Dynamics in Metal Chalcogenide Nanowire Heterostructures
Mizrain Ramirez 1 , Kleyser Agueda Lopez 1 , Marvin Wu 1
1 North Carolina Central University Durham United States
Show AbstractMetal chalcogenide nanowires exhibit strong resonance-enhanced absorption cross sections and can be readily grown in relatively low-cost processes, making them excellent candidates for use in next generation photovoltaics and photodetectors. Ternary semiconductors enable bandgap tuning to optimize the optical properties of these devices, but local compositional or structural variations introduced during the growth process may complicate the dynamics of photogenerated carriers. We report here correlated electron and optical microscopy studies of individual graded – bandgap CdSxSe1-x nanowire heterostructures. Nanowire heterostructures produced through the vapor – liquid – solid mechanism by sequentially exposing Au nanoparticle coated Si wafers to CdS and CdSe powders. Nanowires removed from the growth substrate by ultrasonication in ethanol and drop cast on a pre-marked substrate for correlated characterization of individual nanowires. Scanning electron microscopy showed wires and ribbons with diameters significantly larger than the catalyst nanoparticle diameter (50 nm). Individual nanowires were then mapped by (i) energy dispersive x-ray (EDX) spectroscopy to determine local compositions, (ii) transmission Kikuchi diffraction to characterize crystalline orientation, and (iii) ultrafast transient absorption (TA) and photoluminescence (PL) lifetime microscopy to elucidate carrier dynamics. EDX measurements showed compositional variations in both the axial and radial directions, indicative of mixed VLS and shell growth. These findings were confirmed by PL data, as the wavelength of maximum PL emission shifts with composition. PL emission was largely at a single peak assigned to near band edge emission, with only weak defect emission observed. Significant variations were observed between nanowires taken from the same growth substrate, suggesting that the growth environment can vary considerably even along millimeter scale sections of the substrate. Correlated decreases of PL emission intensity and lifetimes from TA microscopy peaks attributed to carrier trapping indicate that trapping probabilities exhibit local variations. TKD measurements some variations of the orientation of crystalline planes perpendicular to the growth direction that are correlated with drops in PL emission intensity. These combined observations show that carrier trapping at inhomogenoeusly distributed surface and structural defect sites can significantly affect the properties of NW heterostructures.
9:00 PM - NM1.18.23
Synthesis of ZnO Core-Shell P-N Homojunction at Ambient Conditions Using Sonochemistry
Phani Kiran Vabbina 1 , Raju Sinha 1 , Arash Ahmadivand 1 , Mustafa Karabiyik 1 , Burak Gerislioglu 1 , Nezih Pala 1
1 Florida International University Miami United States
Show AbstractGrowth of core-shell p-n junctions has been the subject of interest in the field of optoelectronics for years due to their large junction areas which is advantageous for many applications. Zinc oxide a naturally n-type material and popular material for optoelectronics owing to its ease of synthesis, availability, wide band gap of 3.37 eV and high exciton energy of 60 meV was studied extensively. P-n heterojunctions using ZnO as n-type core and other p-type materials have been demonstrated for applications in light emitting diodes and photodetectors. However p-type doping in ZnO has been a challenge due to the presence of intrinsic donor defects during synthesis such as zinc or hydrogen interstitials and oxygen vacancies which make p-type doping in ZnO difficult. P-type doping in ZnO has been reported in recent times using techniques such as CVD, ion implantation, PLD, MOCVD, MBE, which most commonly use nitrogen and phosphorous as dopant material. Aqueous solution methods have also been reported, which use both group I and group II elements with few demonstrations of ZnO core-shell p-n homojunction. However, there was none reported using sonochemical method.
In this article, we report for the first time synthesis of p-type ZnO nanoshell (P: ZnO nanoshell) around ZnO nanorod (ZnO NR) by varying only the molar ratio of precursors and addition of ammonium dihydrogen phosphate (ADP) as source of Phosphorous (P) using sonochemical method. The simultaneous decomposition of Phosphorous (P), Zinc (Zn) and Oxygen (O) from their respective precursors during sonication allows for the successful incorporation of P atoms in to the ZnO lattice. X-ray diffraction and Raman spectrum were used to verify the successful incorporation of ‘P’ atoms in the ZnO crystal. The as formed p-n junction shows a rectifying current – voltage characteristic consistent with a p-n junction with a threshold voltage of 0.5 V, reverse bias leakage current of 200 µA and an ideality factor of 37. The capacitance – voltage measurements (C-V) were used to extract the doping concentration which was estimated to be NA = 6.7 × 1017 cm-3 on the p side. The fabricated radial p-n junction demonstrated record optical responsivity of 60 A/W and NEP of 324 nW/√Hz under broadband UV illumination which is the highest for ZnO p-n junction devices.
In comparison to the more conventional approaches such as hydrothermal method, sonochemical method is 10 times faster due to the fast hydrolysis rate caused by cavitation. Apart from that, sonochemical method is inexpensive, environmentally benign, CMOS compatible and has been proved to induce minimum defects in ZnO crystal unlike hydrothermal method. Since sonochemical synthesis is carried out at atmospheric conditions, wide range of substrates such as flexible and wearable substrates can be used, which makes it very attractive for synthesizing ZnO nanostructures for various applications.
9:00 PM - NM1.18.24
Scalable Fabrication of Energy-Efficient Thermal Actuators Based on Monoclinic Vanadium Dioxide Nanowires
Dawen Li 1 , Run Shi 1 , Xianglong Bai 1 , Yi Zhang 1 , Chengzi Huang 1 , Yuan Shi 1 , Liang Zhang 1 , Chun Cheng 1
1 Department of Materials Science and Engineering and Shenzhen Key Laboratory of Nanoimprint Technology South University of Science and Technology of China Shenzhen China
Show AbstractDawen Li1, Run Shi
1, Xianglong Bai
1, Yi Zhang
1, Chengzi Huang
1, Yuan Shi
1, Liang Zhang
1, Chun Cheng*
11. Department of Materials Science & Engineering and Shenzhen Key Laboratory of Nanoimprint Technology, South University of Science and Technology of China, Shenzhen 518055, People’s Republic of China
* Contact Author, e-mail:
[email protected]VO
2 (M) nanowire based bimorph is a good candidate of stimuli-responded artificial muscle, with both large amplitude and high speed actuation
1. However, this novel smart device is still limited to the low yield of chemical vapor deposition (CVD) methods and high energy consumption due to the relatively high phase transition temperature (Tc=~68°C) of VO
2 (M). In this work, a two-step hydrothermal process was designed to achieve the large-scale fabrication of VO
2 (M) nanowires and the phase transition temperature of as-prepared samples could be modified to near room temperature or even subzero temperature. Compared to other reported hydrothermal methods
2, the nanowires prepared by this method have a larger length of 90μm and a higher aspect ratio of 60 which can promise a better actuation performance. A hydrothermally prepared VO
2 (M)/Cr bimorph actuator has been constructed with a good response to the change of temperature and its working temperature range is 20-50°C. Therefore, the industrial production of energy-efficient thermal actuators becomes possible using hydrothermal methods and the development of micro-scale engine working under cold conditions seems to be expectable.
References:
1. Wang, K.; Cheng, C.; Cardona, E.; Guan, J. Y.; Liu, K.; Wu, J. Q., Performance Limits of Microactuation with Vanadium Dioxide as a Solid Engine. Acs Nano 2013, 7 (3), 2266-2272.
2. (a) Ji, S. D.; Zhao, Y.; Zhang, F.; Jin, P., Direct formation of single crystal VO2(R) nanorods by one-step hydrothermal treatment. J Cryst Growth 2010, 312 (2), 282-286; (b) Wu, C.; Zhang, X.; Dai, J.; Yang, J.; Wu, Z.; Wei, S.; Xie, Y., Direct hydrothermal synthesis of monoclinic VO2(M) single-domain nanorods on large scale displaying magnetocaloric effect. J Mater Chem 2011, 21 (12), 4509-4517.
9:00 PM - NM1.18.25
Thermoelectric Properties of a Single Tin Selenide Nanowire
Jose Hernandez-Perez 1 , Angel Ruiz 1 , Luis Fonseca 1
1 University of Puerto Rico-Rio Piedras San Juan United States
Show AbstractDue to the increasing cost of fossil fuels and to the contamination that conventional energy production is causing, a worldwide effort is underway to find alternative sources of energy that can replace traditional ones. A viable energy conversion technology should offer energy efficiency comparable to actual technology and with competitive production costs. With the discovery of new materials, thermoelectricity is getting increasing interest in the last years as a green alternative for energy production that can use available heat waste. We synthesized high crystalline quality Tin Selenide (SnSe) nanowires via vapor-liquid-solid method at 550 oC over Sapphire and Silicon substrates. SnSe is a narrow bandgap semiconductor which has an optimal bandgap for solar cells and photovoltaic applications. This is a promising economical and green material, free of Pb and Te. XRD and TEM-(EDS-SAED) analyses suggest an orthorhombic SnSe structure with Pnma (62) symmetry group and 1:1 stoichiometric ratio. Their electrical and thermal conductivities, and the Seebeck coefficient were measured in each individual nanowire using a specialized microdevice in the range of temperatures between and . Details about the synthesis and the thermoelectric properties will be given in the presentation.
9:00 PM - NM1.18.26
Suppression of Conductivity Deterioration of Cu Nanowire by Coating 2D-Layered Materials
Nguyen Cuong 1 , Susumu Okada 2
1 National Institute for Materials Science Tsukuba Japan, 2 University of Tsukuba Tsukuba Japan
Show AbstractCopper is the crucial material for high efficient interconnections in the semiconductor integrated circuits. Recent advances in the miniaturization progress of electronic devices require the highly conductive Cu wire interconnect at the nano size. Recently, by using graphene as coating layer, the electrical conductivity of Cu nanowires has been enhanced by 15 percent than that of pristine Cu nanowires [1]. However, the physical origin of this high conductivity of graphene-coated Cu nanowires is still unclear. Here, we present theoretical investigations on the electronic structure and transport properties of heterostructure between Cu thin films and 2D-layered materials coatings such as boron-nitride and graphene, based on density functional theory and semi-classical Boltzmann transport theory simulations. We found that the unique electrical conduction of graphene-coated Cu nanowire is ascribed to the Shockley surface-states of Cu surfaces. Under realistic environment, the intrinsic Shockley surface-states of Cu surfaces are destroyed by oxygen gas adsorption that lead to the substantial surface scattering decreasing the electrical conductivity. In sharp contrast, these Shockley surface-states of Cu surfaces are preserved by the boron-nitride or graphene coatings, owing to the weak interaction between Cu surface and boron-nitride or graphene layer. Furthermore, the Shockley surface-states with quasi-2D free-electron characteristics exhibit a large conductivity of 1.62×107 (Ω-1m-1) at room temperature that dominates the electrical conducting properties of Cu thin films. On the other hand, the electronic contribution of the thermal conductivity of Cu thin films also is enhanced by these preserving Shockley surface-states. These results indicate the important role of the Shockley surface-states on both electrical and thermal conductivities of Cu nanowires. Therefore, our work suggest the possibility of using ultra-thin 2D-layered materials: boron-nitride, graphene, etc., as coatings for Cu interconnect in high-performance electronic devices.
[1]. R. Mehta, S. Chugh and Z. Chen, Nano Lett. 15, 2024 (2015).
Symposium Organizers
Chennupati Jagadish, Australian National Univ
James Cahoon, University of North Carolina at Chapel Hill
Hannah Joyce, University of Cambridge
Qihua Xiong, Nanyang Technological Univ
Symposium Support
JC Nabity Lithography Systems, Lake Shore Cryotronics, Inc., MilliporeSigma (Sigma-Aldrich Materials Science), Nano| A Nature Research Solution, SpringerMaterials
NM1.19: Synthesis and Growth Mechanism II
Session Chairs
Friday AM, December 02, 2016
Sheraton, 2nd Floor, Liberty ABC
9:30 AM - *NM1.19.01
Designing Next Generation Semiconductor Nanowire Growth Processes
Michael Filler 1
1 Georgia Institute of Technology Atlanta United States
Show AbstractThe mechanistic understanding of vapor-liquid-solid (VLS) growth remains insufficient to ab initio program nanowire structure and function. To this end, we combine in situ infrared spectroscopy and electron microscopy to fundamentally probe the heterointerfacial chemical processes that choreograph growth. Our studies of Si and Ge nanowires reveal the dramatic influence of various species adsorbed on the sidewall. We find, for example, that changes to hydrogen atom coverage can control catalyst phase, atomic transport to/from the catalyst, nanowire growth orientation, and nanowire tapering. These insights not only show that next generation nanowire engineering requires new precursors and processes, but also helps guide their design.
10:00 AM - NM1.19.02
Large-Scale Growth and Characterizations of InAs Nanoleaves on Si (111) Substrates by Molecular-Beam Epitaxy
Dong Pan 1 , Hyok So 1 , Lixia Li 1 , Jianhua Zhao 1
1 State Key Laboratory of Superlattices and Microstructures, Institute of Semiconductors, Chinese Academy of Sciences Beijing China
Show AbstractInAs is one of the most important III-V semiconductor materials owing to its very high electron mobility, low-resistance ohmic contact, narrow band gap, and small electron effective mass. The combination of these unique features and the distinct characteristics of low-dimentional nanostructures have made InAs a promising candidate for applications in single-electron transistors, the Josephon junctions, resonant tunnelling diodes, and ballistic transistors. There have already been abundance of investigations on the InAs nano-material synthesis represented by the controlled growth of one-dimentional (1D) InAs nanowires [1-5]. Very recently, we have reported on the successful growth of novel free-standing two-dimensional (2D) single-crystalline InSb nanosheets by molecular-beam epitaxy (MBE) [6]. However, so far, the growth of free-standing 2D InAs nanostructures has remained limited. In this work, we report on the large-scale growth and characterizations of InAs nanoleaves on Si (111) substrates by MBE using Ag catalysts. It is found that the growth parameters can be varied to effectively control the morphological transformation of InAs from 1D nanowires to 2D nanoleaves. The structure of these nanoleaves has been investigated carefully by transmission electron microscope. To investigate the electrical properties of these nanoleaves, field-effect transistors are fabricated and the temperature dependence of the field effect mobility of the field-effect transistors is investigated. Finally, the growth mechanism of the InAs nanoleaves is also disscussed.
References:
[1] K. A. Dick, Prog. Cryst. Growth Charact. Mater. 54, 138 (2008).
[2] P. Caroff, et al., Nature Nanotech. 4, 50 (2009).
[3] S. Heun, et al., Cryst. Growth Des. 10, 4197 (2010).
[4] H. Y. Xu, et al., Nano Lett. 12, 5744 (2012).
[5] D. Pan, et al., Nano Lett. 14, 1214 (2014).
[6] D. Pan, et al., Nano Lett. 16, 834 (2016).
10:15 AM - NM1.19.03
Broadband Photoresponse of Si/InP Core-Shell Radial Nanowire Heterojunction Using MOCVD on Etched Silicon Nanowire Templates
Biswajit Pal 1 , Kalyan Jyoti Sarkar 2 , Pallab Banerji 3
1 Materials Science Centre Indian Institute of Technology, Kharagpur Kharagpur India, 2 Advanced Technology Development Centre Indian Institute of Technology, Kharagpur Kharagpur India, 3 Materials Science Centre Indian Institute of Technology, Kharagpur Kharagpur India
Show AbstractWell-aligned p-Si/n-InP core-shell radial nanowire (NW) heterojunction based photodetector has been fabricated by atmospheric pressure metal organic chemical vapor deposition, whereas silicon NWs have been prepared by room temperature metal assisted chemical etching of p type Si (100) wafer. Transmission electron microscope images confirm the formation of Si/InP core-shell radial NW heterostructure. Current-voltage characteristics of the radial heterojunction have been studied in dark and under the illumination of different wavelengths of visible light at room temperature and p-n junction diode like behavior is observed which is caused due to the formation of rectifying junction between Si NW core and InP shell. The broadband photoresponse of p-Si/n-InP core-shell radial NW heterojunction from visible to near infrared region is demonstrated. The variation of rectification ratio, ideality factor, and space charge limited conduction power factor were calculated from the I-V characteristics curve in different wavelengths of light. Moreover, the electron transport mechanism across the type II p-Si/n-InP radial heterojunction is also discusssed in detail. Our study provides new insights into the growth of Si/InP core-shell NW heterojunction which can have potential applications in fabricating nanoscale rectifying devices on Si platform for photo-electronics application.
10:30 AM - NM1.19.04
Synthesis of Molybdenum Disulfide Nanowire Arrays Using a Block Copolymer Template
Wei Wei 1 , Leith Samad 2 , Jonathan Choi 1 , Yongho Joo 1 , Austin Way 1 , Michael Arnold 1 , Song Jin 2 , Padma Gopalan 1 2
1 Materials Science and Engineering University of Wisconsin Madison Madison United States, 2 Chemistry University of Wisconsin Madison Madison United States
Show AbstractSignificant effort has been invested in the synthesis of MoS2 through various methods such as solution phase growth, thermal conversion, exfoliation, chemical vapor deposition (CVD) and atomic layer deposition (ALD). A common issue faced by all these methods is the inability to achieve high density of MoS2 nanostructures while maintaining the uniformity and scalability. A high density of patterned features is desirable not only in the semiconductor industry but also for sensors and in catalysts that require large surface areas to increase the number of active sites. We present a simple route towards the synthesis of arrays of sub-20 nm wide molybdenum disulfide (MoS2) nanowires using self-assembled cylinder forming poly(styrene-b-2-vinylpyridine) thin films. The protonated 2-vinylpyridine selectively seeds molybdenum precursors in the aqueous solution, and the precursors are converted to molybdenum sulfide during a sulfur annealing process. For dichalcogenides the challenges with using BCP templates are to control the crystallinity and the chemical composition, both of which require a high temperature conversion process. By using a UV crosslinking step to stabilize the PS-b-P2VP structure at high temperature we demonstrate the successful spatial localization of the as-grown MoS2 nanowires The nanowires transition from an amorphous to a crystalline MoS2 phase upon thermal annealing in the presence of sulfur, as confirmed by X-ray photoelectron spectroscopy, Raman spectroscopy, X-ray diffraction and transmission electron microscopy. This work provides a pathway to large area, dense, spatially localized arrays of transition metal dichalcogenide nanowires for catalytic and sensing applications.
10:45 AM - NM1.19.05
Cooperative Roles of Growth Rate and HCl in the Design of Complex Dopant Patterns and Morphology in Vertical Silicon Nanowire
Seokhyoung Kim 1 , Joseph Christesen 1 , David Hill 1 , Christopher Pinion 1 , James Cahoon 1
1 University of North Carolina at Chapel Hill Chapel Hill United States
Show AbstractSilicon nanowires (NWs) grown by the vapor-liquid-solid (VLS) mechanism are promising building blocks for next-generation devices due to the relative ease of morphological and compositional control from the nano to microscopic scale. Creating nanoscale morphology in NWs has attracted interest because structural modification often imparts useful properties such as quantum confinement, optical coupling, and optical nonlinearity. The capability to encode complex morphology in vertical arrays of NWs grown by the VLS mechanism would be advantageous for several technologies; however, the ability to grow complex epitaxial wires requires a detailed understanding of the connection between NW epitaxy, doping level and surface chemistry. Here, we show effects of growth rate in conjunction with HCl on surface passivation and etching of epitaxial NWs. Silicon NWs were epitaxially grown by the VLS mechanism with in-situ dopant modulation and an HCl flow at a fixed HCl-to-silane ratio. During a single growth, the growth rate was varied in a stepwise fashion by changing silane partial pressure. Energy-dispersive X-ray spectroscopy (EDS) analysis showed decreasing surface Au density on NW sidewalls over increasing growth rate with abrupt junctions between segments. Subsequent etching of intrinsic Si in potassium hydroxide (KOH) revealed clean and conformal diameter reduction on Au-free segments, but etch-resistive behavior on Au-rich segments. A complementary etching of n-type Si in buffered hydrofluoric acid (BHF) showed negligible Au-dependence. Contrary to previous reports on Au diffusion along the NW sidewall, we found that the surface Au is confined on the sidewall strongly enough that it can provide all-intrinsic NWs with periodic surface Au modulation with abrupt transitions over 10 mm. The suppressed Au diffusion is attributed to robust surface chlorination by HCl. Interestingly, varying the amount of HCl flow at a constant silane partial pressure only affected the growth rate with little difference in the etch quality. Combining the best conditions, vertical NW gratings were created by epitaxial growth and wet-etching in either KOH or BHF, with BHF producing more abrupt junctions than KOH. Finally, we show various complex nanoscale morphologies, including gratings, sinusoids, tapers, and saw-tooth structures, in vertical, epitaxial silicon NWs. We believe that morphology-encoded vertical NWs will inspire more versatile three-dimensional device designs for electronics, photonics and thermoelectrics.
NM1.20: Thermal, Thermoelectric and Accoustic Properties
Session Chairs
Friday PM, December 02, 2016
Sheraton, 2nd Floor, Liberty ABC
11:30 AM - *NM1.20.01
Assessment of the Thermoelectric Properties of Semiconductor Nanowires
S. Yazji 1 2 , M. Swinkels 3 , Marta De Luca 4 , D. Ercolani 5 , S. Roddaro 5 , Gerhard Abstreiter 1 2 , L. Sorba 5 , Erik Bakkers 3 , Ilaria Zardo 4
1 Walter Schottky Institut and Physik Department Technische Universität München Garching Germany, 2 Institute for Advanced Study Technische Universität München Garching Germany, 3 Applied Physics, Photonics and Semiconductor Nanophysics Eindhoven University of Technology Eindhoven Netherlands, 4 Departement Physik Universität Basel, Klingelbergstrasse Basel Switzerland, 5 Istituto Nanoscienze-CNR and Scuola Normale Superiore NEST Pisa Italy
Show AbstractA large part of used energy is lost as waste heat. Thermoelectric devices form a scalable, solid state solution to recover some of this energy. However, conventional thermoelectric materials suffer from low efficiency and/or high cost. The low efficiency is caused by an interdependency of the parameters in the figure of merit ZT. To overcome this problem nanostructuring has been proposed to independently enhance the thermoelectric properties. To fully exploit these effects a full understanding of the influence of nanostructuring on thermal and electrical behavior is required. Recently considerable theoretical progress has been made, however experimental verification is still lacking.
The TE properties of single nanowires can be investigated using suspended SiNx membranes with implemented heaters. We recently investigated the diameter dependence of the thermal conductivity of InAs nanowires [1]. Furthermore, we studied the thermoelectric properties of semiconductor nanowires by developing a novel combined approach of electronic transport measurements and spectroscopy experiments [2]. We provide experimental evidence of the crucial role played by thermal contact resistance in the assessment the thermal properties of nanostructures, using two different measurements methods of the thermal conductivity.
1. M. Y. Swinkels, M. R. van Delft, D. S. Oliveira, A. Cavalli, I. Zardo, R. W. van der Heijden, E.P. A. M. Bakkers, Nanotechnology 26, 385401 (2015)
2. S. Yazji, E. A. Hoffman, D. Ercolani, F. Rossella, A. Pitanti, A. Cavalli, S. Roddaro, G. Abstreiter, L. Sorba, and I. Zardo, Nano Research 8, 4048 (2015)
12:00 PM - NM1.20.02
Solution-Processed CdS/Cu
2S Superlattice Nanowire with Enhanced Thermal Power
Ze Xiong 1 , Yu Cai 1 , Jinyao Tang 1
1 University of Hong Kong Hong Kong Hong Kong
Show AbstractIt has been predicted and experimentally demonstrated that creating superlattice structure may enhance material’s thermoelectric (TE) properties by increasing its Seebeck coefficient with quantum confinement or carrier filtering and decreasing its thermal conductivity with phonon surface scattering. However, the high cost associated with vapor phase deposition and growth process limited its application and prevented the further development of superlattice nanomaterials for TE application. Here, we discovered a new solution process to prepare high quality CdS/Cu2S superlattice nanowires which may lead to low cost mass production of superlattice nanowires. Compared to the Cu2S nanowires, the superlattice nanowires show enhanced Seebeck coefficient, which suggests its potential application as high performance thermoelectric material.
12:15 PM - NM1.20.03
Thermal and Strain Properties of Suspended Silicon Nanowires below 20nm Half-Pitch Fabricated by EUV Interference Lithography
Daniel Fan 1 2 , Hans Sigg 1 , Yasin Ekinci 1 , Ralph Spolenak 2
1 Paul Scherrer Institute Villigen-PSI Switzerland, 2 Materials Science ETH Zurich Zurich Switzerland
Show AbstractSilicon nanowires are versatile building blocks for electronics and NEMS devices, exhibiting excellent optical absorption for photovoltaics, electrostatic control for transistors, and high mechanical resonance frequencies and q-factors for RF NEMS devices, to name a few. Owing to extensive research and applications, their materials processing are supported by well-established processing infrastructure. To access silicon nanowires below 20 nm diameter, bottom-up methods are typically used, limiting the crystal orientation and making the fabrication of devices difficult due to the distribution of sizes and growth directions.
Extreme ultraviolet interference lithography is an optical lithography method for producing large, well-ordered arrays of periodic patterns using the 13.5 nm illumination wavelength. We have shown line / space patterns down to 6 nm half-pitch while patterns down to 16 nm half-pitch have been transferred to silicon-on-insulator substrates via reactive ion etching in our lab. By under-etching the buried oxide, the device layer can be released to form large, well-ordered arrays of suspended Si nanowires with aspect ratios up to 100. Suspended Si nanowires allow all-around electrical gating, improving the electrostatic control of the nanowire channel for implementation as a transistor. Nanowire linewidths can be reduced via controlled oxidation using Piranha solution followed by oxide removal using hydrofluoric acid. Suspended silicon nanowires down to 6 nm line-widths have been demonstrated using this method.
We performed laser power dependent Raman measurements of the Stokes peak shift and IS/IAS ratio to find the thermal conductivity of silicon nanowires with various line-widths and crystal orientations. Furthermore, complicated resonant power absorption effects can be avoided by extrapolation to find the zero-power Raman peak shift, which indicates the material strain or quantum confinement while negating thermal effects. Preliminary measurements show a significant zero-power Raman peak shift of 5–10 cm-1 corresponding to ~0.5–1% strain for Si nanowires with 11–15 nm line-widths. This is attributed to processing and possible defects, and agrees well with peak shifts found by other groups who use MEMS actuation to impart strain. The thermal conductivity of the same Si nanowires were measured to be significantly lower than the bulk, with thermal conductivities in the range of 2–5 W/mK compared to the bulk silicon value of 149 W/mK. These values are similar to those found by other groups working with grown nanowires in the order of 50 nm diameter. Low thermal conductivity combined with high electrical conductivity is an important factor for NEMS devices such as thermoelectric harvesters, while the significant strain imparted via processing silicon nanowires below 20 nm diameter has implications in strain engineering.
12:30 PM - NM1.20.04
Thermoelectric Properties of Bi2+xTe2Se Topological Insulator Nanoribbons
Hao Tang 1 , Yucheng Xiong 1 , Xuejun Yan 2 , Yang Zhao 3 , Xiaomeng Wang 1 , Qiang Fu 1 , Jiansheng Jie 4 , Minghui Lu 2 , Shuit-Tong Lee 4 , Juekuan Yang 3 , Dongyan Xu 1
1 Chinese University of Hong Kong Shatin Hong Kong, 2 Nanjing University Nanjing China, 3 Southeast University Nanjing China, 4 Soochow University Suzhou China
Show AbstractBi2Te2Se is identified as a three-dimensional topological insulator. In this work, we synthesized Bi2+xTe2Se nanoribbons via vapor-liquid-solid (VLS) method using gold nanoparticles as catalysts. Magnetoresistance (MR) and thermoelectric properties measurements were conducted on the same Bi2+xTe2Se nanoribbon using a suspended microdevice. Temperature-dependent electrical resistance of the nanoribbon shows nonmetallic behavior. At 2 K, MR measurement clearly shows Shubnikov-de Haas (SdH) oscillations coming from topological surface states, which confirms that the chemical potential of the Bi2+xTe2Se is located within the bulk band gap. Interestingly, the Seebeck coefficient of the nanoribbon demonstrates a transition from n-type to p-type at about 15 K, which further confirms that the Fermi level is very close to the middle of the band gap, consistent with the MR behavior. Further n-to-p and p-to-n transitions are observed at about 235 K and 285 K, which may result from the defect competition and compensation of donor VTe and acceptor BiTe. Thermal conductivity of the same nanoribbon is also obtained and thermoelectric figure of merit (ZT) is found to be lower than 0.0035. The low ZT value may be ascribed to the dominating role of surface transport and the very small contribution from bulk carriers. Even though the measured ZT values are low, our work is the first demonstration of magnetotransport and thermoelectric transport characterization on the same Bi2+xTe2Se topological insulator nanoribbon, which is essential for studying the effect of surface states on thermoelectric transport in topological insulator nanoribbons.
NM1.21: Semiconductor Nanowires for Optoelectronics III
Session Chairs
Friday PM, December 02, 2016
Sheraton, 2nd Floor, Liberty ABC
2:30 PM - *NM1.21.01
Electron Beam Induced Current and Cathodoluminescence Analyses of Nanowire Optoelectronic Devices
Maria Tchernycheva 1 , Valerio Piazza 1 , Hezhi Zhang 1 , Nan Guan 1 , Vladimir Neplokh 1 , Martina Morassi 1 , Francois Julien 1 , Gwenole Jacopin 2 , Fabrice Oehler 1 , Pierre Rale 1 , Stephane Collin 1 , Ludovic Largeau 1 , Jean-Christophe Harmand 1 , Noelle Gogneau 1
1 Centre de Nanosciences et de Nanotechnologies University of Paris-Sud Orsay France, 2 Laboratory of Quantum Optoelectronics Ecole Polytechnique Fédérale de Lausanne Lausanne Switzerland
Show AbstractElectron Beam Induced Current (EBIC) microscopy and cathodoluminescence (CL) have been widely used to characterize optoelectronic devices for more than thirty years. In particular, nitride two-dimensional (2D) films have been intensively investigated by EBIC and CL. Recently semiconductor nanowires have emerged as a way to boost the performance of nitride optoelectronic devices by improving the material quality. However, the replacement of a 2D active region by 3D nanowires increases the complexity of the device structure and impacts its electrical and optical behavior. In this context, EBIC and CL are very useful tools to understand the device operation and to detect failures since they offer excellent spatial resolution and allow to address individual nanostructures composing the macroscopic device.
In this presentation we will briefly review the EBIC and CL investigations of single nanowires and nanowire devices built of different materials. Then we will focus on the analyses of nitride nanowire heterostructures in axial and core/shell geometries. We correlate EBIC and CL microscopies with compositional analysis to assess the material, electrical and optical properties of the wires. In particular, core/shell InGaN/GaN nanowire LEDs will be analyzed [1]. EBIC profiles perpendicular to the wire elongated direction at different positions demonstrate a good homogeneity of the material parameters of GaN (doping concentrations and minority carrier diffusion lengths) along the wire axis. Coupled EBIC and CL characterizations on cleaved nanowires demonstrate the correlation between the electrical and optical signals : the reduction of the EBIC signal toward the nanowire top is accompanied by an increase of the CL intensity. This effect is interpreted as a consequence of the In and Al gradients in the quantum well and in the electron blocking layer evidenced by energy-dispersive X-ray spectroscopy (EDX), which influence the carrier extraction efficiency.
[1] M. Tchernycheva, et al., Nanoscale 7, 11692 – 11701 (2015).
3:00 PM - NM1.21.02
Selective Doping of Colloidal PbSe Nanowires To Construct Electronic and Optoelectronic Device
Soong Ju Oh 2 1 , Chawit Uswachoke 3 , Tianshuo Zhao 2 , Ji-Hyuk Choi 2 , Benjamin Diroll 4 , Christopher Murray 4 2 , Cherie Kagan 3 2 4
2 Department of Materials Science and Engineering University of Pennsylvania Philadelphia United States, 1 Department of Materials Science and Engineering Korea University Seoul Korea (the Republic of), 3 Department of Electrical and Systems Engineerin University of Pennsylvania Philadelphia United States, 4 Department of Chemistry University of Pennsylvania Philadelphia United States
Show AbstractWe develop a method for the controlled and selective p- and n-doping of colloidal PbSe nanowire arrays to design pn junctions for electronic and optoelectronic applications. We take advantage of the high surface area of the nanowires to remotely dope the nanowires by introducing adatoms at their surface. The nanowires are p-doped by exposure to oxygen, which serves as an acceptor. The nanowires are n-doped by creating a stoichiometric imbalance in favor of excess lead, through thermal evaporation of elemental lead or through their solution-based treatment in PbCl2. We pattern poly(methyl)methacrylate on top of the nanowires to serve as a blocking layer for the selective doping of the PbSe nanowires, allowing us to create pn junctions along their lengths. We demonstrate integrated colloidal PbSe nanowire array complementary metal-oxide semiconductor (CMOS) inverters with gains of 15 through axial p- and n-type field-effect transistors. We also use the axially doped pn junction to construct fast switching photodiodes. Doping of the colloidal nanowires is compatible with device fabrication on flexible substrates, promising a low-cost, solution-based route to high-performance nanowire devices.
3:15 PM - NM1.21.03
Flexible Light-Emitting Nanocomposite Based on ZnO Nanotetrapods
Andrea Armani 1 , Vinh Diep 1
1 University of Southern California Los Angeles United States
Show AbstractThough flexible luminescent materials have been utilized in numerous applications, the optically-active elements in these materials have primarily been semiconducting polymers and small molecules. More recently, there has been a focus on light-emitting inorganic nanomaterials. Luminescent nanomaterials have a number of advantages including favorable quantum confinement effects, high optical lifetime, and excellent stability. One optical material that has been studied extensively is zinc oxide (ZnO). The interest in ZnO is mainly due to its favorable optical and electrical properties, including a large band gap and a high exciton binding energy. Additionally, ZnO can be grown in a number of morphologies including rods, belts, spheres, sheets, and combs that allow for the optical and electrical properties to be precisely designed.
In this study, we synthesize ZnO nanotetrapod (NTP) structures using a bottom-up chemical vapor transport method. The ZnO NTP is a structure with four wurtzitic arms joined at a zinc-blende center, each at a 109.5° angle from adjacent arms. Based on SEM and TEM imaging, the NTP arms have lengths ranging from 0.5-3.5 μm and diameters ranging from 120 to 350 nm. When exposed to UV light at 325 nm, the ZnO NTPs exhibit strong luminescence in the UV and the green. The NTPs are embedded in an elastomeric host matrix using a soft lithography-inspired technique, and the photoluminescence properties of this nanocomposite are measured using fluorometry. Additionally, the nanocomposite material is flexurally bent a total of 100 times each to radii of 13.85 mm and 6.42 mm. The optical response is monitored by tracking the ratio of UV emission peak intensity to the green emission peak intensity as well as the ratio of the area under the UV peak to the area under the green peak. Over the 100 cycles, the optical response remained consistent, indicating that the PDMS matrix provides mechanical protection to the brittle ZnO NTPs.
3:30 PM - NM1.21.04
Defect-Mediated Photoluminescence Up-Conversion in CdS Nanobelts
Yurii Morozov 1 , Masaru Kuno 1
1 University of Notre Dame Notre Dame United States
Show AbstractThe concept of optical cooling of solids has existed for nearly 90 years ever since Pringsheim proposed a way to cool solids through the annihilation of phonons via phonon-assisted photoluminescence (PL) up-conversion. In this process, energy is removed from the solid by the emission of photons with energies larger than those of incident photons. However, actually realizing optical cooling requires exacting parameters from the condensed phase medium such as near unity external quantum efficiencies as well as low background absorption. Until recently it was realized only in rear earth doped materials.
In semiconductors it has been recently demonstrated in cadmium sulfide nanobelts as well as in organic-inorganic lead halide perovskites. The combination of large internal quantum efficiency along with sub-wavelength thicknesses of CdS nanobelts, which decrease light trapping, make near unity external quantum yield possible. Together with low background absorption this allowed to achieve net cooling by as much as 40 K.
In this study, we describe a detailed investigation of the nature of efficient anti-Stokes photoluminescence (ASPL) in CdS nanobelts. Temperature-dependent PL up-conversion and optical absorption studies on individual NBs together with frequency-dependent up-converted PL intensity spectroscopies suggest that ASPL in CdS nanobelts is defect-mediated through involvement of defect levels below the band gap.
3:45 PM - NM1.21.05
Direct Measurements of Surface Fermi Level Pinning in Composition-Tuned InGaAs Nanowires
Julian Treu 1 , Max Speckbacher 1 , Thomas Whittles 2 , Wojciech Linhart 2 , Xiaomo Xu 1 , Stefanie Morkotter 1 , Gerhard Abstreiter 1 , Jonathan Finley 1 , Timothy Veal 2 , Gregor Koblmueller 1 , Thomas Stettner 2
1 Technical University of Munich Garching Germany, 2 Stephenson Institute for Renewable Energy University of Liverpool Liverpool United Kingdom
Show AbstractSurface effects strongly dominate the intrinsic properties of III/V semiconductor nanowires (NWs). This observation is commonly attributed to the presense of surface states, and their modification of the electronic band structure. Although the effects of the exposed, bare NW surface have been widely studied with respect to electrical transport and optical properties, the electronic band structure, Fermi level pinning and surface band bending profiles, are not well explored.
Here, we directly and quantitatively assess the Fermi level pinning at the surfaces of composition-tunable, intrinsically n-type InGaAs NWs, as one of the prominent, technologically most relevant NW systems. The investigated InGaAs NWs were grown by site-selective, catalyst-free molecular beam epitaxy on Si (111) substrates pre-patterned by nanoimprint lithography [1,2]. For the growth, we also delineate the entire growth parameter space that allows us to obtain high-yield/high aspect ratio InGaAs NW arrays over nearly the entire alloy compositional range from binary InAs to InGaAs with Ga-content exceeding x(Ga) > 0.8 [2].
The surface Fermi level pinning is further investigated for a set of wurtzite-phase InGaAs NW arrays in the compositional range of 0 < x(Ga) < 0.45 using correlated photoluminescence (PL) and x-ray photoemission spectroscopy (XPS). From the PL spectral response, we reveal two dominant radiative recombination pathways, i.e., direct near-band edge transitions and redshifted, spatially indirect surface-state induced transitions. The separation of their relative transition energies changes with alloy composition by up to more than ~ 40 meV and represent a direct measure for the amount of surface band bending [3]. We further extract quantitatively the Fermi level to surface valence band maximum separation using XPS, and directly verify a composition-dependent transition from downward to upward band bending (surface electron accumulation to depletion) with increasing Ga-content x(Ga) at a cross-over near x(Ga) ~ 0.2. Core level spectra further demonstrate the nature of extrinsic surface states being caused by In-rich sub-oxides arising from the native oxide layer at the InGaAs NW surface.
These results provide very useful information for predicting the intrinsic conduction in InGaAs NWs, for selecting proper contacts to NWs and estimating the respective Schottky barrier heights, as well as for controlling internal quantum efficiencies in InGaAs NW-based optical devices.
[1] J. Treu, et al., Nano Lett. 15, 3533 (2015).
[2] J. Treu, et al., Appl. Phys. Lett. 108, 053110 (2016).
[3] M. Speckbacher, et al., under review (2016).