Symposium Organizers
Christopher Borst University at Albany
Laertis Economikos IBM Microelectronics
Ara Philipossian The University of Arizona
Gerfried Zwicker Fraunhofer Institut fuer Siliziumtechnologie ISIT
C1: Polishing Pad and Conditioning Disc Characterization and Wear Mechanisms
Session Chairs
Tuesday PM, April 10, 2007
Room 3000 (Moscone West)
9:30 AM - **C1.1
CMP Active Diamond Characterization and Conditioner Wear.
Leonard Borucki 1 , Rumin Zhuang 2 , Yun Zhuang 1 2 , Ara Philipossian 1 2 , Naoki Rikita 3
1 , Araca Incorporated, Tucson, Arizona, United States, 2 Chemical and Environmental Engineering, The University of Arizona, Tucson, Arizona, United States, 3 , Mitsubishi Materials Corp., Fukushima Japan
Show AbstractPad conditioning is necessary for maintaining polish rate stability on most types of polishing pads. Pad conditioners generally are constructed by bonding, plating or brazing diamonds of a selected grit size, morphology and quality to a metal or ceramic substrate. Even though diamond is very hard and wear-resistant and conditioning discs are usually populated with large numbers of them, the useful life of a polyurethane pad conditioner is measured in tens of hours. This talk discusses some of the methods that may be used to characterize the active diamond population of a conditioner [1] and how the results may relate to conditioner lifetime. Using as a standard a pad substitute material with a yield strength comparable to polyurethane, the number of active or working diamonds on a conditioner is generally found to be a small fraction of the total number on the disc. The number of active diamonds also increases with the applied load and varies somewhat with sliding direction. However, even among the active diamonds, most can be determined to do relatively little cutting: a few diamonds on a disc do most of the deep bulk cutting, a larger fraction skim the higher areas of the pad surface, and most of the diamonds on the disc merely help to support the load. While all of the diamonds that contact the pad, cutting or otherwise, may show evidence of mechanical wear, wear of the small number of deep bulk cutting diamonds may be responsible for the surprisingly low observed conditioner lifetimes.[1] Method of Determining the Number of Active Diamonds on a Conditioning Disk, U.S. and foreign patents applied for, US11/528,835.
10:00 AM - C1.2
The Impact of Diamond Conditioning on Surface Contact in CMP Pads.
Carolina Elmufdi 1 , Muldowney Gregory 1
1 Pad Engineering Research, Rohm and Haas Electronic Materials, Newark, Delaware, United States
Show AbstractTotal contact area between a CMP pad and wafer has emerged as a fundamental property that is directly linked to both removal rate and defectivity. Contact area has been shown to depend on surface morphology as it results from pad microstructure and material properties. Pads exhibiting higher contact area, hence imparting lower point stresses to the wafer, are effective in reducing CMP-related defects. The present study quantifies pad-wafer contact characteristics for both hard and soft porous polyurethane pads as a function of the extent of diamond conditioning. An Instron microtester is used to impart controlled quasi-static pad compression against a sapphire cover slip mounted on a Zeiss confocal microscope. Images collected through the microscope at discrete compressive states are analyzed off-line to quantify the total contact area and the size, shape, and distribution of individual contacts. A relationship is then established between these contact measures and the extent of conditioning. Conditioning decreases the mean asperity contact size as expected, but the evolution of contact regions shows non-intuitive features. In particular, total contact area passes through a minimum within the typical conditioning time of commercial CMP processes, then gradually increases. Clustered contact regions observed at short conditioning times spread out to a more uniform lateral spacing at longer conditioning times. These findings are accounted for by considering the texture subdivision achieved by individual diamonds together with bridging by the conditioning disk of higher regions on an unlevel pad surface. Textures produced by different conditioners on the same pad are quantified in terms of total contact area and number and uniformity of contact points. Formation of an ideal texture of abundant, well-spaced and level asperities is expedited by both conditioner type and treatment time. The results illustrate that evolution of pad contact area under compression is an essential measure for understanding polishing metrics such as wafer removal rate, defect count, and pad wear, and indicate clear direction for next-generation pad microstructures to achieve low-stress planarization.
10:15 AM - C1.3
Surface Chemical Characteristics of CMP Polyurethane Pads.
Hongqi Xiang 1 , Abaneshwar Prasad 1 , Edward Remsen 1
1 , Cabot Microelectronics Corporation, Aurora, Illinois, United States
Show AbstractChemical-mechanical planarization (CMP) is an essential technology for reaching the high levels of global and local planarity required by the microelectronics industry. CMP relies on both chemical and mechanical polishing processes, the performance of which are dependent on the properties of the slurry and the polishing pad; and processing parameters such as platen speed, down force, slurry flow rate and pad conditioning. Polishing pads, as a key consumable component, are well known to play a crucial role in both the mechanical and the chemical polishing steps. Studies of polishing pads, therefore, provide key fundamental knowledge needed to optimize CMP processes. In this presentation, attenuated total reflection FT-IR spectroscopy coupled with hydrogen-deuterium exchange technique is employed to investigate the surface chemistry of two polishing pads, a novel thermoplastic polyurethane (TPU) pad and a commercial cast urethane (PU) pad. A time/temperature-dependent reduction of nitrogen-hydrogen stretching modes (νN-H) was observed when the pads were immersed in deuterium oxide (D2O) and this reduction was accompanied by the appearance and growth of nitrogen-deuterium (νN-D) bands. Observed spectral changes are the product of H-D exchange of labile N-H bonds in the polymer after D2O diffuses into the polymer. Compared to TPU pads, the PU pads showed an ambiguous correlation between dependence of the band intensity changes and D2O-immersion time/temperature. This effect reflects the influence of extractable components in PU pads as evidenced by the weight loss of the PU pads after immersion. For both types of pads, a sharp decrease in νN-H band intensity and an unexpected rebound in νN-H band intensity was observed within 24 hrs immersion after immersing the pads in D2O. However, a comparable rebound in νN-H band intensity was not observed for conditioned pad samples. A plausible explanation for this phenomenon is that a rearrangement of surface polar groups may occur when the pad is immersed in water. By examining the correlation between conditioning and pad surface chemistry, the rearrangement of the surface hydrophilic groups can be further understood in relation to the conditioning process. Such an understanding is vital in improving pad reliability, consistency, and the efficiency of CMP processes.
10:30 AM - C1.4
Determining Pad-Wafer Contact using Dual Emission Laser Induced Fluorescence
Caprice Gray 1 , Chris Rogers 1 , Vincent Manno 1 , Sriram Anjur 3 , Mansour Moinpour 2 , Chris Barns 2
1 Mechanical Engineering, Tufts University, Medford, Massachusetts, United States, 3 , Cabot Microelectronics, Aurora, Illinois, United States, 2 , Intel Corporation, Santa Clara, California, United States
Show AbstractDual Emission Laser Induced Fluorescence (DELIF) is an imaging technique that has been used to attain three dimensional maps of thin fluid films (Hidrovo, Meas. Sci. Tech., 2001). DELIF can be used to study the fluid layer profile between the polishing pad and the wafer during CMP. Interactions between the polishing pad surface and the wafer can then be deduced from the fluid layer profile. Previous investigations of pad-wafer interactions using DELIF include in-situ measurements of average fluid layer thickness and asperity layer compressibility, surface roughness measurements and polishing pad rebound into etched wells (Gray, Proc. Spring MRS, 2005). It is becoming increasingly clear that understanding the small scale polishing mechanisms operating during CMP requires knowledge of the nature of the pad-wafer contact (Yi, IEEE Trans. Sem. Man., 2005). In this work we present a technique and some preliminary data for instantaneous measurement of in-situ pad-wafer contact. The DELIF images collected in this work each contain more than 360,000 pixels. The points of contact must be greater in size than the resolution of our imaging system, 2.6 um/pixel, in order to detect contact. If the pixel intensities in an acquired DELIF image are placed into a histogram we should be able to detect pad-wafer contact at the low intensity extreme. An image of a conditioned (un-glazed) polishing pad should have a roughly Gaussian distribution of pixel intensity values. The high intensity extreme will depart from the Gaussian distribution due to variations in the pad pore structure (Borucki, CAMP Symp. 13, 2003). If a wafer is placed onto the pad, the tips of the pad asperities should compress and flatten. The resulting DELIF image will contain dark pixels where the asperities are flattened, leading to a departure from the Gaussian shape of the histogram in the low intensity extreme. Specifically, there should be a change in the slope of the histogram at the low intensity extreme at the point of pad-wafer contact. Ex-situ measurements of pad-wafer contact for hard polishing pads, such as the Rodell IC1000, are reported to be on the order 2% or less of the overall surface area (Elmufdi, 11th Int. CMP Symp., 2006). Therefore, for hard pads, approximately 7200 pixels of an image should indicate pad-wafer contact. Images of softer pads should yield a higher density of “contact” pixels. This paper will present the detection of both static (no pad-wafer relative motion) and dynamic (standard CMP operating conditions) pad-wafer contact at various pressures on hard and soft polyurethane pads.
11:15 AM - C1.5
Computational Solid Mechanics Modeling of Asperity Deformation and Pad-Wafer Contact in CMP.
Bo Jiang 1 , Gregory Muldowney 1
1 Pad Engineering Research Group, Rohm and Haas Electronic Materials CMP Technologies, Newark, Delaware, United States
Show AbstractAsperity-scale pad deformation and dynamic pad-wafer contact area are crucial to the fundamental understanding of material removal and defect formation mechanisms in CMP. Pad asperity stress and strain are also central to characterizing pad wear rate during polishing and cut rate during conditioning. While it is very difficult to isolate and measure stress and strain in individual asperities, finite element modeling may be used in conjunction with experimental surface characterization to predict asperity-scale deformation and pad-wafer contact. Asperity subdomains from 80 to 200 microns across are reproduced from three-dimensional point cloud data on porous polyurethane CMP pads obtained by confocal microscopy, meshed to high resolution, and analyzed using ABAQUS finite element software. Physical properties are derived from dynamic mechanical experiments. Pad stacks are simulated both with and without sub-pads. Results show that while a sub-pad increases pad-wafer contact area overall, it limits the local spreading of individual contact regions as polishing load increases. This finding identifies a direct mechanical origin of the trade-off in pad design between wafer-scale and die-scale planarity. As expected, the real contact area between a pad and wafer is much smaller than the cross-sectional or “bearing” area, but the difference is notably greater when a sub-pad is present. Values of asperity stress and strain under typical CMP polishing pressures reveal that plastic deformation takes place both on and beneath the contacting surface. Maximum plastic deformation is found not at the asperity tips but at the shoulders surrounding the tips, hence upon release of the polishing load the tips may rebound to a greater extent than the shoulders. Each pass under the wafer thus reshapes the pad asperities such that a slightly different texture is presented upon the next pass. These deformation mechanics clarify the impact of top pad and sub-pad properties on real contact area, allowing better optimization of CMP pad performance.
11:30 AM - C1.6
Development of Low Defect CMP Slurries using Hybrid Abrasive Particles of different Surface Morphologies
Subrahmanya Mudhivarthi 2 , Cecil Coutinho 2 , Ashok Kumar 2 , Vinay Gupta 2
2 , University of South Florida, Tampa, Florida, United States
Show AbstractTuesday, April 10Transferred Poster C9.10 to C1.6 @ 10:30 amDevelopment of Low Defect CMP Slurries using Hybrid Abrasive Particles of different Surface Morphologies.Subrahmanya R. Mudhivarthi
11:45 AM - C1.7
The Effect of Conditioning on Pad Topography and Shear-Induced Flow Resistance during CMP.
Ting Sun 1 , Len Borucki 2 , Rumin Zhuang 1 , Yun Zhuang 1 2 , Ara Philipossian 1 2
1 Department of Chemical and Environmental Engineering, The University of Arizona, Tucson, Arizona, United States, 2 , Araca Inc., Tucson, Arizona, United States
Show AbstractPad conditioning plays an important role in CMP processes as it directly impacts pad topography and polishing performance. In this study, both contact and non-contact methods are used to analyze surface properties and estimate shear-induced flow resistance of pads conditioned by diamond discs with different grit sizes. A custom-made incremental and cyclic loading device is used to measure the gap between a reference plane in contact with the pad sample surface and a stationary capacitance probe under different loads. When loads are applied to or released from the pad sample surface, the gap changes and a load-displacement relationship can be measured that shows evidence of pad surface elastic/plastic deformation as well as rebound and creep. Pad samples that are taken after conditioning with moisture on the surface are analyzed and they are analyzed again after dry treatment to illustrate different mechanical responses. A decay length λ is extracted from the log plot of the pressure ratio vs. the change in displacement. Separately, optical interferometry is used to probe the pad surface without contact and produce a visible surface height probability density function (PDF). The decay length λ (the distance over which the tail drops by a factor of 1/e) is extracted from the surface height probability density function and compared with the value obtained through incremental loading measurements. Shear flow factors are then calculated using the method of homogenization to estimate shear-induced flow resistance and fluid carrying capacity for pads conditioned differently in this study.
C2: Post-CMP Cleaning
Session Chairs
Tuesday PM, April 10, 2007
Room 3000 (Moscone West)
12:00 PM - **C2.1
Fundamentals of Post-CMP Cleaning
Jingoo Park 1
1 Materials Engineering, Hanyang University, Ansan Korea (the Republic of)
Show AbstractPost CMP cleaning is necessary for contaminant removal after CMP process. The zeta potential of slurry particle and substrate has been considered to be a critical factor in terms of particle adhesion and removal. The fundamental research such as the calculation and measurement of adhesion forces between slurry particle and wafer surfaces can enhance the understanding of cleaning mechanism and development of cleaning process. The presence of more than two different materials during CMP introduces new defects at the materials interface, corrosion and severe scratches. Device specific chemistry and cleaning process should be introduced and developed for future and current CMP. The highest particle removal efficiency is observed when using cleaning solutions that yields the lowest adhesion force. The effect of frictional and adhesion forces attributed to slurry particles on the quality of Cu surfaces was experimentally investigated during metal CMP process. The magnitude of the adsorption of the organic acid on the slurry particle surfaces can have a significant effect on the frictional behavior as well as the adhesion force. Higher particle adhesion forces resulted in higher friction and might induce defects such as particle contamination and scratches on the polished surface after polishing. The magnitude of particle adhesion force on wafer surfaces in slurries can be directly related to the frictional forces and polished surface quality during CMP process. As low k and poly or bare silicon polishing introduced in fabrication process, the hydrophobicity of these surfaces could affect the defects after polishing. The control of wettability during and after polishing becomes more important in reducing the defects. The organic particles are major defects during metal and poly silicon CMP which may be caused by the surface reaction of organic sources with surfaces.
12:30 PM - C2.2
Spectroscopic and Topographic Investigations of Nanoparticle Abrasive retention in Polyurethane CMP Pads for Cu CMP
Iftikhar Ul-hasan 1 , Robert Geer 1
1 College of Nanoscale Science and Engineering, University at Albany - SUNY, Albany, New York, United States
Show AbstractSpectroscopic and topographic investigations of the retention of slurry abrasive nanoparticles in polyurethane CMP pads have been carried out as a function of ex situ pad conditioning parameters for Cu CMP. Experiments were undertaken as a function of conditioner down force, conditioning time and relative velocity between CMP pad and conditioning disk. Topographic investigations of the pad surface (post CMP) revealed that the surface roughness of individual pad asperities and the overall topographic roughness of the pad (asperities and pores) were both proportional to the relative velocity between the pad and the conditioner disk, but were relatively insensitive to the conditioner down force. Figure 1 shows the surface roughness of the CMP pad asperities as a function of relative velocity between pad and conditioner. Spectroscopic studies were used to investigate the retention of alumina nanoparticles in the CMP pad, both at the surface of pad asperities and in open pores. XPS measurements revealed that the relative amount of residual abrasive nanoparticles residing both on pad asperities and inside open pores varied inversely with conditioning time. This variation was more pronounced at low relative velocities between the conditioning disk and the pad. Both these behaviors are shown in Figure 2 which plots the variation in the residual alumina abrasive (determined by residual Al atomic fraction measured via XPS) as a function of the relative velocity between pad and conditioner. Quantitative and qualitative spectroscopic investigations using EDS and SEM (not shown) revealed that the relative amount of residual abrasive nanoparticles present on the asperities varied inversely with pad/conditioner relative velocity. This behavior was not observed for the amount of residual abrasive nanoparticles present within open pores of the CMP pad which was roughly proportional to the relative velocity between the pad and the conditioner. Moreover, spectroscopic analyses of residual abrasive nanoparticles indicated that after 20 seconds of conditioning, the amount of residual particles on the pad asperities was independent of the relative velocity between the conditioner and the pad. The removal of abrasive nanoparticles from open pores, in contrast, displayed no dependence on conditioning time when there was a high relative velocity between the pad and the conditioner. Overall, conditioning time was observed to be a more dominant parameter with regards to the removal of abrasive nanoparticles from the pad. Conditioning down force had minimal effect on the removal of residual abrasive nanoparticles from both asperities and inside open pores of the pad. It was also found that significant retention of the residual abrasive particles existed on those areas of the pad exposed to the Cu wafer as compared with pad surfaces not so exposed.
12:45 PM - C2.3
Influence of Post-CMP Cleaning on Cu Surface
Jeffrey Barnes 1 , Peng Zhang 1 , Micheal Hughes 1 , David Angst 1 , Kevin Yanders 1 , Alfred Miller 2
1 , ATMI, Allentown, Pennsylvania, United States, 2 Zettlemoyer Center for Surface Studies, Lehigh University, Bethlehem, Pennsylvania, United States
Show AbstractReliability issue has become increasingly important with the incorporation of low-k dielectric materials in Cu interconnects. In particular, time-dependent dielectric breakdown (TDDB) has become a critical parameter. Studies have shown that post-CMP cleaning solutions can significantly impact the TDDB lifetime. The time delay between CMP and barrier deposition has also shown a significant role in TDDB performance. For example, a high pH cleaning solution may relax process time window restrictions significantly, when compared to a low pH cleaner. Understanding the changes in the Cu surface chemistry after post-CMP clean as a function of delay time could be important towards developing post-CMP cleans for improved reliability. In this paper, various techniques, such as galvanostatic reduction method, TOF-SIMS and XPS were employed to investigate the changes of Cu surface as a function of delay time after cleaning with various post-CMP cleaning solutions. Preliminary data indicated faster changes of the Cu surface with the use of low pH cleaners. Addition of corrosion inhibitors and Cu chelators in a high pH cleaning formulation has been shown to slow down the change of the oxide significantly. Further information on the nature of the near-surface composition is described.
C3: Consumables for Ultra-low Topography and Advanced Barrier Metallization
Session Chairs
Tuesday PM, April 10, 2007
Room 3000 (Moscone West)
2:30 PM - **C3.1
Newly Developed Abrasive-free Copper CMP Slurry Based on Electrochemical Analysis.
Jin Amanokura 1 , Katsumi Mabuchi 2 , Takafumi Sakurada 1 , Yutaka Nomura 3 , Masanobu Habiro 1 , Haruo Akahoshi 2
1 Semiconductor Materials Division, Hitachi Chemical Co., Ltd., Hitachi-shi, Ibaraki, Japan, 2 Materials Research Laboratory, Hitachi Ltd., Hitachi-shi, Ibaraki, Japan, 3 Electronic Materials R&D center, Hitachi Chemical Co., Ltd., Hitachi-shi, Ibaraki, Japan
Show AbstractIn order to reduce micro-scratches and obtain minimized dishing and erosion, we developed "Abrasive Free Cu CMP slurries". During the development of these slurries, some Electro-Chemical examination was performed. The most effective knowledge was obtained through an analysis with rotary Cu disk electrode with down force. Based on these studies, new abrasive free Cu CMP slurry with high removal rate and excellent planarity were designed and developed. The mechanism of reduced dishing and erosion will also be discussed.
3:00 PM - C3.2
Comparison of Different Carboxylic Acids as Complexing Agents for Copper Planarization.
S. Babu 1 , S. Pandija 1 , S. Ramakrisnan 1 , S. Janjam 1
1 , Clarkson University, Potsdam, New York, United States
Show Abstract3:45 PM - C3.3
Effect of CMP Solution Chemistry on Nanoporous MSSQ Thin Films.
Markus Ong 1 , Patrick Leduc 2 , Daniel McKenzie 3 , Thierry Farjot 2 , Gerard Passemard 4 , Reinhold Dauskardt 1
1 Materials Science and Engineering, Stanford University, Stanford, California, United States, 2 D2NT, CEA-LETI, Grenoble France, 3 Chemical Engineering, University of South Carolina, Columbia, South Carolina, United States, 4 , STMicroelectronics, Crolles France
Show AbstractThe successful application of low-k materials has been significantly delayed due to major challenges associated with reliable integration in advanced interconnect structures. Inferior mechanical properties, together with debonding and cracking of fragile dielectric films and interfaces, has resulted in reduced yield at all levels of device processing including survival through chemical mechanical planarization (CMP). In the present study, we review progress in understanding the effect of simulated CMP slurry chemistry on the evolution of defects and formation of damage that occurs during CMP processing. Specifically, we demonstrate the significant effect of aqueous solution chemistry on accelerating crack growth in porous methylsilsesquioxane (MSSQ) films. In addition, we show that the same aqueous solutions can diffuse rapidly into the highly hydrophobic nanoporous MSSQ films containing interconnected porosity. Such diffusion has deleterious effects on both dielectric properties and the acceleration of defect growth rates. Crack propagation rates were measured in several CMP solutions and the resulting crack-growth behavior used to qualitatively predict the extent of damage during CMP. Predictions are compared with damage formed during actual CMP processes in identical chemistries. We discuss the effect of both high and low growth rates including the presence of a crack growth threshold on the predicted CMP damage. Implications for improved CMP processing are considered.
C4: Advances in Slurry Particle Mechanics, Surface Chemistry, and Metrology
Session Chairs
Tuesday PM, April 10, 2007
Room 3000 (Moscone West)
4:00 PM - **C4.1
Chemical Mechanical Planarization at the Nanometer Size Scale.
Tom Dickinson 1
1 Physics, Washington State University, Pullman, Washington, United States
Show AbstractBond breaking at surfaces due to stimuli such as exposure of materials to electrons, ions, photons, mechanical stress, or chemical agents are well established. By applying multiple stimuli (the “one-two punch”) we show that synergistic effects often occur, wherein the degradation and modification of materials and solid surfaces are enhanced. We show the nanometer scale consequences of simultaneous localized mechanical stress (due to sliding contact with an atomic force microscope--AFM tip) and exposure to aqueous solutions. We are studying the details of single asperity chemical mechanical planarization (CMP). The focus is on nanoscale tribochemistry, emphasizing results concerned with tip induced tribochemcial material removal, recrystallization (at small normal forces), and unique patterning produced by scanning in super-saturated aqueous solutions. Systems of interest include silica glasses, aluminum, and single crystal Silicon. Models will be presented to explain the observed nanometer scale surface modifications.
4:30 PM - **C4.2
Particle Metrology in CMP Slurries – Potential and Limitations of Relevant Measuring Methods.
Michael Stintz 1 , Herbert Barthel 2 , Mansour Moinpour 3
1 Inst. of Chemical Engineering, TU Dresden, Dresden Germany, 2 , Wacker Chemie AG, Munich Germany, 3 , Intel Corporation, Santa Clara, California, United States
Show AbstractThe design of nanostructured materials like CMP slurries on an industrial scale requires the control of disperse systems of nanoparticles as well as of single isolated "bad" particles. It is well known that slurry abnormalities resulting from large abrasive particles and abrasive particles agglomeration can cause defects on wafer surfaces during CMP [1]. Particle-particle interactions generate secondary nanometer structures, clusters or agglomerates, which can dominate the relevant properties of the bulk material. Size and structure of these particle agglomerates/structures are the result of a dynamic equilibrium between agglomeration and desagglomeration. There is still a lack of understanding of these secondary soft nano up to micro particles because no adequate characterization methods are established [2]. Dilution and other preparation treatment to enable analysis by laboratory methods like TEM or AFM will distort the interparticle forces and will generate artifacts. Hence characterization methods are under investigation which can tolerate a high number of particles in the sensing zone [3]. These high concentration methods often deliver ambiguous results. Consequently different physical principles have to be combined to get unambiguous information on the disperse state [4]. Performance characteristics of analytical photo-centrifugation method combined with the high spatial resolution, photon correlation spectroscopy, ultrasonic spectroscopy as well as special single particle optical counters will be discussed on examples from the producer and the user of CMP slurries. [1] Kuntzsch, T., Witnik, U., Hollatz, M., Stintz, M., Ripperger, S.: Characterization of slurries used for chemical-mechanical polishing in the semiconductor industry. Chem. Eng. Technol. 26(2003)12, 1235-1239[2] Kätzel, U., Stintz, M., Babick,F., Barthel, H., Gottschalk-Gaudig, T.: The Granulometric Properties of Pyrogenic Silica Suspensions. Orlando, 5th World Congress on Particle Technology, 2006 April 23-27, Proceedings.[3] Kätzel, U., Stintz, M., Ripperger, S.: Application of Photon Correlation Spectroscopy to Concentrated Dispersions, PARTEC 2004, Nuremberg, Proceedings 26.2[4] Kätzel, U., Richter, T., Stintz, M., Barthel, H., Gottschalk-Gaudig, T.: Phase Transitions of Pyrogenic Silica Suspensions: A Comparison to Model Laponite. submitted to An. Phys. Review, September 2006
5:00 PM - C4.3
Advances in the Characterization of Particle Size Distributions of Abrasive Particles used in CMP.
Mungai Kamiti 1 , Stacey Popadowski 1 , Edward Remsen 1
1 Metrology, Cabot Microelectronics Corp., Aurora, Illinois, United States
Show AbstractThe physical and chemical properties of the abrasive particles used in CMP slurries are well known to play a central role in the polishing performance of these materials. Particle size distribution (PSD) of the abrasive particles ranks high among these physical properties and numerous characterization techniques, including light scattering, electron microscopy and sedimentation have been applied to more accurately and precisely measure the PSD of abrasive particles used in CMP slurries. Recent progress in the development of sedimentation methods points to these approaches as very promising, particularly density gradient stabilized centrifugal sedimentation. However, these methods are restricted, at present, in the general applicability to CMP slurries because the abrasive particle density must be accurately known in order to obtain accurate PSD measurements. This restriction manifests itself in two ways with respect to CMP slurries: 1) Binding interactions between the abrasive particle and the soluble chemical compounds constituting typical commercial slurries will alter the effective particle density. 2) Structured abrasive particles (e.g., fractal particles characteristic of fumed abrasives) will also sediment according to the effective density imposed by the particle morphology and its resulting hydrodynamics.In this study the effects of slurry chemistry - abrasive particle binding interactions on the measurement of PSD via density gradient stabilized centrifugal sedimentation are discussed. Representative examples including ceria, alumina and silica-containing CMP slurries are presented to illustrate the extent and magnitude of these effects on PSD measurements. The use of centrifugal spin fluids of different density in conjunction with density gradient stabilized centrifugal sedimentation is described as a new approach to better define the particle density for particles complexed with slurry chemistry. This methodology is also highlighted as a novel technique for the structural characterization of slurry chemistry - abrasive particle complexes and structured abrasive particles.
5:15 PM - C4.4
Low Defect Ceria for ILD CMP.
Jai Kasthurirangan 1 , John Parker 1 , Tiffany Bettis 1 , Charles Dowell 1
1 Research & Development, Cabot Microelectronics Corporation, Aurora, Illinois, United States
Show AbstractReduced scratching is a key performance metric driving the development of CMP slurries. To better understand underlying particle properties that are critical to scratch performance, ceria particles with a range of particle size characteristics were tested. These results are presented and two mechanisms are proposed to explain the observed results. The first is the observed inverse proportionality between scratching and removal rate. This is consistent with a simple surface balance of scratches but suggests that removal rate differences dominate scratch performance. The second mechanism proposed, considers the effect of particle characteristics on both the creation and removal of scratches. For a given particle population, it is generally assumed that large particles would dominate scratch creation. But these large particles are also seen to drive removal rate which affects the removal of scratches during polish. Thus the best scratch performance is the result of optimizing the large particles contained within a distribution. Optimizing the spread in the particle distribution, specifically in relation to its mean, is found to be important to explain the observed results.
5:30 PM - C4.5
Impact of Ceria Particle Properties on STI CMP Performance.
Jo De Messemaeker 1 , Fabrice Sinapi 2 , Stijn Put 1 , Jeroen van den Bosch 1 , Yvan Strauven 1 , Katia Devriendt 2
1 , Umicore R&D, Olen Belgium, 2 SPDT/AMPS Division, IMEC, Leuven Belgium
Show AbstractCeria abrasive particles are known to have a removal rate well above the one for silica in oxide CMP. This has been attributed to the chemical affinity of ceria for silicon oxide. The higher removal rate allows the formulation of slurries with a much lower abrasive concentration compared to silica. The exact mechanism of removal is not known, but is believed to be close to the so-called chemical tooth model.In spite of the excellent removal properties, often the defectivity caused by ceria particles still poses a problem. To a certain extent this can be improved by cutting off the coarse tail of the particle size distribution through filtering. However, that also causes a decrease in removal rate, which can only be afforded to a limited extent. It has been suggested that the use of rounded particles without sharp edges could be the solution to this problem, provided a narrow particle size distribution is maintained.At Umicore a unique gas phase synthesis process has been developed, producing cubic crystalline ceria nanoparticles with very low trace metal contamination levels. The particle size distribution is narrow with excellent control of the coarse tail, and the specific surface area can be varied in a wide range. Moreover the authors succeeded in controlling the morphology of the particle, which can be varied in several ways.In this work the impact of different powder properties such as specific surface area, particle size distribution, zeta potential and particle morphology on CMP performance has been investigated. The focus is on the STI CMP application, evaluating both oxide and nitride removal rate, as well as post-CMP defectivity. In order to focus specifically on the performance of the particles, simple and stable dispersions were prepared for testing.CMP tests were done on blanket wafers. Defectivity was characterized by light point defects, supported by a diluted HF decoration technique in order to distinguish between particles and scratches. The surface roughness was measured by AFM. Preliminary results on patterned wafers will also be discussed.
Symposium Organizers
Christopher Borst University at Albany
Laertis Economikos IBM Microelectronics
Ara Philipossian The University of Arizona
Gerfried Zwicker Fraunhofer Institut fuer Siliziumtechnologie ISIT
C5: Chemical and Physical Mechanisms of Metal and Dielectric CMP
Session Chairs
Wednesday AM, April 11, 2007
Room 3000 (Moscone West)
9:30 AM - **C5.1
Overview of Chemical Mechanical Polishing of Metal Films for Advanced IC Device Applications.
Chris Yu 1
1 , Anji Microelectronic Co., Ltd., Shanghai China
Show Abstract Chemical mechanical polishing (CMP) of metal films has become an indispensable process technology for advanced IC device fabrications. While early metal CMP processes in the 1990s were mainly employed to form relatively simple metal local interconnects or plugs structures (typically in W film), today, metal CMP process technology is being widely used for multi-level, and complex global interconnects such as Cu interconnects. As a result, CMP consumable development and process optimization have become much more challenging. One often has to identify key performance requirements and find the best balance in meeting those key targets. For example, for Cu barrier CMP process in a Cu interconnect technology, typical performance requirements include low defectivity, corrosion free, effective topography correction (relative to that coming in from Cu CMP step), polishing rates and selectivity to other films, uniformity across structures of various pattern densities, sufficient process window, ability to remove one type of film while stopping on another film (for more selective approach), process window and robustness, and electrical performance. Because it may be difficult to meet all of the above mentioned performance targets simultaneously, it is critical to identify and focus on true, key performance requirements, and optimize and balance various consumable aspects and process parameters to meet those key targets. In this paper, a review of the state-of-the-art metal CMP technology will be given, and future metal CMP technology trend will be also discussed.
10:00 AM - C5.2
Properties and Mechanical Response of Passive Films formed during Copper CMP.
Shantanu Tripathi 1 , Fiona Doyle 2 , David Dornfeld 1
1 Mechanical Engineering, University of California at Berkeley, Berkeley, California, United States, 2 Materials Science & Engineering, University of California at Berkeley, Berkeley, California, United States
Show AbstractThe fundamental mechanisms of copper CMP, which are still not well understood, are being investigated by studying the properties of passive film formed in the presence of various combinations of copper CMP slurry constituents – varying concentrations of complexing agents and oxidizer at different pH’s, in the absence and presence of a corrosion inhibitor. An AFM tip, acting as a single abrasive particle, was used to scratch copper surfaces in aqueous solution under different pressures and scratch velocities, to simulate intermittent abrasive-copper interactions during copper CMP. The surface was scanned in-situ before and after scratching to study the changes in the structure of passive film, as well as to measure the removal rates. Unlike aluminum or chromium, the passive film on copper is porous and mechanically weak. The presence of a threshold and a saturation pressure for removal of the passive film was observed. The scratch velocity illuminated the formation rate and thickness of passive film. The properties of the passive film were strongly dependent on the solution chemistry, order of introduction of constituents and the electrochemical potential at the time of formation. The results of these experiments will form the primary inputs for models of removal rate and defectivity during copper CMP. This can help design robust, higher throughput and defect free processes.
10:15 AM - C5.3
Measurement of Interactions between Abrasive Silica Particles and Copper, Titanium, Tungsten, and Tantalum.
Ruslan Burtovyy 1 , Alex Tregub 2 , Mansour Moinpour 2 , Mark Buehler 3 , Igor Luzinov 1
1 School of Materials S&E, Clemson University, Clemson, South Carolina, United States, 2 CMO/FMO, Intel Corporation , Santa Clara, California, United States, 3 PTD, Intel Corporation , Hillsboro, Oregon, United States
Show AbstractInteractions between abrasive particles and metal surfaces during the chemical mechanical planarization (CMP) process significantly influence the final quality of integral circuits. Strong to moderate adhesion can ensure a good removal rate during planarization, but may also contribute to additional defectivity and an increase in the number of particles remaining on the surface after the process. In contrast, decreasing or eliminating adhesion is beneficial for post-CMP cleaning. The situation can become more complex when several layers of different metals, which have to be polished at different rates, are present on the surface. Thus, optimization of interactions between the particles and the surfaces to be polished is highly desirable. The colloidal probe technique has been employed widely to measure the adhesion between micro- and nanosize objects using atomic force microscopy (AFM). However, the majority of studies have used model systems which do not incorporate real abrasive particles. The approach applied in the present study allowed the measurement of adhesion between real CMP nanoparticles and different surfaces. A thin polymer film with high affinity to the particles was used to anchor them to a surface. Hollow glass bead (20-30 µm), representing a flat surface, was attached to a soft AFM cantilever. Application of large hollow bead and the cantilever with a small spring constant allowed highly sensitive measurements of the interactions with copper, titanium, tungsten and tantalum metals sputtered on the bead surface. The effect of different factors such as pH levels, concentration and the type of surfactant on the adhesion between the surfaces of the metals and the silica slurry were studied. The character and intensity of interactions at the moment of contact were evaluated from experimental force-distance curves. In addition, AFM was used to monitor changes in stiffness of the metal surfaces in solutions with different pH levels and solutions of chemicals used typically in the preparation of CMP slurries.
10:30 AM - C5.4
Influence of Copper Microstructure on Aggressive Chemical Mechanical Planarization Processes.
Patrick Andersen 1 , Megan Frary 1
1 Materials Science and Engineering, Boise State University, Boise, Idaho, United States
Show AbstractNovel die-stacking schema using through-wafer vias may require thick electroplated copper and aggressive first-step CMP. However, the effect of microstructural parameters, including surface orientation and grain size, on the CMP behavior of thick electroplated copper is not well understood. Here we explore the relationship between the surface orientation of copper grains and local CMP removal parameters using electron backscatter diffraction (EBSD) and atomic force microscopy (AFM) correlation techniques. EBSD is used to map crystal orientation across a large area of the sample, and AFM is subsequently used to determine relative surface heights between grains of known orientation. In the present work, aggressive CMP is performed on thick electroplated copper (30 micrometers) on silicon. In addition, solid copper disks that are annealed under varying conditions to produce samples with differing grain sizes are also studied. At the bulk level, variations in grain size and overall crystallographic texture are found to impact the removal rate and surface roughness. Furthermore, the nature of the grain boundaries (e.g. coincidence site lattice (CSL) vs. non-CSL boundaries) is shown to impact the depth of grooving at the grain boundaries. A relationship between total grain boundary length and CMP removal rate is proposed.
10:45 AM - C5.5
Particles as Transport Carriers in CMP Slurries
Daniela White 1 , John Parker 1 , Shuyou Li 2 , Vinayak Dravid 2
1 R&D Enabling Design, Cabot Microelectronics, Aurora, Illinois, United States, 2 NUANCE, Northwestern University, Evanston, Illinois, United States
Show AbstractNanoparticles provide multiple functionalities to the performance of CMP slurries. These include mechanical surface abrasion, mass transport of slurry chemistries between the pad and wafer surface, or increased chemical reactivity of some key additives by in-situ interactions with the particles surfaces. Since most of the inorganic nanosized oxides used as common abrasives in CMP slurries (silica, alumina, ceria) have chemically reactive hydrophilic surface functionalities in a large pH range (2 – 12), we can assume that significant interactions between the inorganic particles surface and some of the slurry additives (organic surfactants, oxidizers, film-forming ligands, removal rates promoters, etc.) could have a meaningful impact on slurry ultimate performances (removal rates, planarity, defectivity, etc.) In this presentation we will highlight the significance of slurry abrasives as surface modified nanoparticles/chemical carriers, able to directly participate and control the metal oxidation/removal mechanism, removal rates and other polishing characteristics. We will discuss two relevant examples, both involving fumed silica as the carrier particle in two different low pH slurries of variable complexities in terms of design (with/without particles surface modifiers) and performance requirements for tungsten CMP. We will provide a complex variety of analytical evidence (TEM, SEM, FT-IR, GPC, cyclic voltammetry, MS-TOF) in order to support the proposed mechanism of “chemically activated fumed silica”, in its natural (no interactions with organic additives in the slurry) and surface modified form (in-situ interactions with organic additives), as a carrier of selective slurry components with enhanced chemical activity, that ultimately controls the tungsten CMP mechanism and the ability of the slurry to efficiently and predictably remove the oxidized tungsten film formed at the wafer surface.
C6: CMP Unit Process Simulation and Monitoring
Session Chairs
Wednesday PM, April 11, 2007
Room 3000 (Moscone West)
11:30 AM - **C6.1
Advances in Understanding and Control of CMP Performance:Contact-Hydrodynamics at Wafer, Groove, and Asperity Scale
Gregory Muldowney 1
1 Pad Engineering Research Group, Rohm and Haas Electronic Materials CMP Technologies, Newark, Delaware, United States
Show AbstractExamining CMP at any scale, one finds coupled contact mechanics and fluid mechanics. Increasingly sophisticated experimental and computational techniques have revealed aspects of solid-solid interaction and slurry flow at the wafer and groove scale and, more recently, at the texture scale. Successful prediction of CMP performance hinges on identifying universal physics that span these scales. In this paper we first review results of novel asperity-scale experiments that characterize the pad texture both as a solid topography subject to contact deformation and as an equivalent porous medium for slurry flow. These measures reveal that much of the texture volume is inactive as flow space, a feature confirmed quantitatively by computational modeling of flow across a conditioned CMP pad surface built from 3-D microscopy images. For hydrodynamics, the findings establish active fluid volume per unit area as the property that bridges from asperity scale to wafer scale. We then derive a fundamental basis for CMP removal rate prediction based on contact and hydrodynamics, using a Sommerfeld number defined across the groove and texture length scales. The resulting equation, containing a single unknown proportionality constant, demonstrates that the often used product of downforce and table speed tracks removal rate only when the hydrodynamic state affords adequate pad-wafer contact. Departures from the Preston equation attributed in other models to chemically-limited regimes of CMP are explained in the present treatment as changes in hydrodynamic film thickness and contact area—a fact confirmed by direct measurement. Removal rate predictions are discussed for ILD, STI, and copper processes using both conventional and non-Prestonian slurries, including variations in downforce, table speed, temperature, pad properties, and groove design. Finally, the influence of regional pad-wafer hydrodynamics is illustrated by applying the contact-hydrodynamics equation to grooves specially configured to vary the slurry film thickness from wafer center to edge. Local removal rates are well predicted using locally defined values of the groove-texture Sommerfeld number, confirming the generality of the contact-hydrodynamic description at least from wafer to asperity scale. Findings are further discussed in the context of next-generation pad architectures—not only to achieve more uniform pad-wafer contact and effective slurry delivery, but also to favorably decouple contact and fluid mechanics in CMP pad design.
12:00 PM - C6.2
Experimental Investigation and Numerical Simulation of Pad Stain Formation during Copper CMP
Hyosang Lee 1 , Leonard Borucki 2 , Yun Zhuang 1 2 , Fergal O'Moore 3 , Steve Schultz 3 , Sooyun Joh 3 , Ara Philipossian 1 2
1 Chemical Engineering, University of Arizona, Tucson, Arizona, United States, 2 , Araca Inc., Tucson, Arizona, United States, 3 , Novellus Systems Inc., San Jose, California, United States
Show AbstractStain is often generated on the pad surface due to polishing by-product buildup during copper CMP. To investigate pad staining and its effect on copper removal, a table-top axisymmetric polishing system was constructed consisting of a 12-inch non-rotating platen and a 4-inch rotating wafer carrier having the same center axis. A 5-mm hole was drilled through the platen center and the pad for slurry delivery. Four-inch blanket copper wafers were polished on IC1000 XY-groove pads with Cabot Microelectronics Corporation iCue 5001 slurry at different pressures, wafer rotational velocities, slurry flow rates and polishing times. The stain deposited on each land area was found to be darker in the direction of wafer rotation, suggesting that staining is affected by slurry advection. Slurry containing reaction products was then transferred to a clean new pad and placed on the pad surface for 1 minute before being rinsed off. After rinsing, the new pad had a stain on the surface, indicating that stain can be produced by chemical adsorption without any pad-wafer mechanical contact. These two observations suggest that the staining agent is produced by mechanical action during polishing and is advected downstream by the slurry flow. Generally, staining increased with polishing pressure, wafer rotation rate and polishing time. In a test of the effect of staining on copper removal, oxalic acid was used to rinse the stains off the pad surface followed by the polishing of blanket copper wafers. Compared with polishing performed on stained pads with the same process, results showed that stain did not have a significant effect on the copper removal rate. A 3-D fluid transport model was developed and was coupled with transport and consumption of reactants to simulate production and deposition of by-products on pad surface. The model consists of the incompressible Navier-Stokes equations, the heat equation with advection, a material removal rate model, a model for generation, transport and deposition of the polishing byproduct that produces the stain, and load and moment balance.
12:15 PM - C6.3
Micromachined Shear Stress Sensors for Characterization of Surface Forces During Chemical Mechanical Polishing.
Andrew Mueller 1 , Robert White 1 , Vincent Manno 1 , Chris Rogers 1 , Sriram Anjur 2 , Chris Barns 3 , Mansour Moinpour 4
1 Mechanical Engineering, Tufts University, Medford, Massachusetts, United States, 2 , Cabot Microelectronics Corp., Aurora, Illinois, United States, 3 , Intel Corp., Hillsboro, Oregon, United States, 4 , Intel Corp., Santa Clara, California, United States
Show AbstractThis paper describes the fabrication and implementation of micromachined shear stress sensors for characterization of chemical-mechanical polishing. Polydimethylsiloxane (PDMS) shear stress sensors are fabricated and bonded to a 100 mm diameter Pyrex glass wafer. These are used to determine the local surface shear stresses and asperity contact forces under polishing conditions, with a spatial resolution of 20-100 μm. The sensors are designed to measure a range of stresses from 10Pa to 10kPa. This stress range is based on estimates of expected hydrodynamic fluid forces (10 Pa) and solid-solid contact forces from pad-wafer interaction (10 kPa). Sensor design and implementation is optimized for a stiff polishing pad with a contact ratio of ~0.7% under 1.8psi polishing conditions; details will be provided for sensor design under different conditions.The shear sensors consist of arrays of PDMS columns, 100µm in height and 20µm -100µm in diameter, which deflect due to the fluid and contact forces applied to the upper portion of the columns. The columns are recessed into circular wells and their uppermost surfaces are coplanar with the wafer surface. When the PDMS wafer is placed under polishing conditions, the columns are designed to deflect between 5µm and 50µm. The deflections will be viewed optically through the backside of the transparent glass/PDMS structure. The shear sensors used are microfabricated using a PDMS on SU-8 mold method. Through standard lithography techniques, a master mold for the final shear sensor design is developed into a 100µm thick layer of SU-8 photoresist. After the PDMS mixture is poured and cured, it is bonded to a Pyrex glass wafer and mounted to a CMP rig in the place of a standard silicon wafer undergoing polishing. CMP experiments are conducted on a scaled down model of a silicon oxide polishing platform. This is achieved using a Struers RotoPol-31 table top polisher with a 12" polishing platen. Downforce during processing is 1.5 to 2.5 psi and rotation rate is 60 to 120 rpm. Cab-O-Sperse SC1 slurry is used in a 1:1.5 dilution with water and polishing is accomplished using standard industrial pads (grooved FX9's or IC1000's) of 300mm diameter size. Conditioning is carried out using a TBW diamond grit wafer. Calibration of the sensors, optimization of the optical measurement process, and characterization of the in-situ shear stresses present during CMP are ongoing.
12:30 PM - C6.4
An Approach to Modeling Particle-Based and Contact-Based Wear in CMP.
Elon Terrell 1 , C. Higgs 1
1 Mechanical Engineering, Carnegie Mellon University, Pittsburgh, Pennsylvania, United States
Show AbstractChemical mechanical polishing (CMP) is a manufacturing technique that is commonly used to planarize semiconductor devices during their fabrication process. A multiphysics model known as the Particle-Augmented Mixed Lubrication (PAML) model is currently being developed in order to capture the complete physical phenomena behind CMP. This PAML model incorporates particle dynamics, fluid mechanics, contact mechanics, and wear. As part of the development of the PAML model, the modeling approach to describing the wear phenomenon in CMP is presented in this study.
C7: Alternative Planarization Techniques and CMP in Emerging Technologies
Session Chairs
Wednesday PM, April 11, 2007
Room 3000 (Moscone West)
3:00 PM - C7.2
High Rate Silicon Carbide Polishing to Ultra-smooth Surfaces.
Michael White 1 , Kevin Moeggenborg 1 , Stan Reggie 1 , Jeffrey Gilliland 1 , Francois Batllo 1 , Nevin Naguib 1
1 , Cabot Microelectronics, Aurora, Illinois, United States
Show AbstractSilicon Carbide has a unique combination of properties that include a nearly diamond-like hardness, intrinsic electrical semiconductivity and a high thermal conductivity. This combination of properties has led to a number of applications including substrates for Light Emitting Diodes (LEDs), power, RF and other electronic devices in addition to mirror substrates and optical devices as well as stop layers in integrated circuit chip manufacture. This combination of chemical inertness and high hardness in SiC results in historically low removal rates. Recent efforts have led to being able to polish single crystal silicon carbide at high removal rates yielding a root mean squared roughness on the order of a nanometer as determined by AFM and interferometry. The high rates and smoothness obtained are expected to translate to other types of silicon carbide. Fundamental studies by FTIR, streaming potential and ESCA have been done to elucidate the mechanism of silicon carbide polishing. The impact of polishing process parameters such as table speed, down force and slurry flow rate on the removal rate and smoothness will also be revealed.
3:15 PM - C7.3
Atomically Terraced SiC Substrates by CMP Process
Arul Chakkaravarthi Arjunan 1 , Rajiv Singh 1 , P. Kumar 1 , D. Stodilka 1 , Cammy Abernathy 1 , Stephan Pearton 1 , Deepika Singh 2
1 Materials Science and Engineering, University of Florida, Gainesville, Florida, United States, 2 , Sinmat Inc, Gainesville, Florida, United States
Show Abstract3:30 PM - C7.4
Gentle Chemical Mechanical Polishing of GaN Substrates.
Arul Chakkaravarthi Arjunan 1 , Hung-Ta Wang 2 , Rajiv Singh 1 , Stephan Pearton 1 , Sahil Sahni 1 , Fen Ren 2 , S. Lahiri 3 , Deepika Singh 3
1 Materials Science and Engineering, University of Florida, Gainesville, Florida, United States, 2 Department of Chemical Engineering, University of Florida, Gainesville, Florida, United States, 3 2153 Hawthorne Road, Sinmat, Gainesville, Florida, United States
Show AbstractC8: CMP Defect and Corrosion Mechanisms
Session Chairs
Wednesday PM, April 11, 2007
Room 3000 (Moscone West)
4:15 PM - **C8.1
Cu Post-CMP Displacement Cleaning: A Mechanistic Product Development Approach Based on Selected Thermodynamic and Kinetic Data.
Darryl Peters 1
1 , Surface Chemistry Discoveries, Bethlehem, Pennsylvania, United States
Show AbstractSeveral Cu post-CMP cleaning mechanisms will be presented which include undercut and liftoff, etching, dissolution, and a new cleaning mechanism; displacement. Simple first generation post-CMP cleaners, such as citric or oxalic acid solutions, promote corrosion of Cu due to dissolution of cuprous oxide (Cu2O). These acidic cleaners remove particles and organic contaminates by undercutting them. With no post clean copper protection; use of simple organic acid cleaners (e.g., EC or CP72) imposes a narrow window of time that the wafers may be held after cleaning before processing to the next level due to corrosion of the exposed Cu. In some cases, customers may have a maximum holding time of less than one hour to reduce yield loss. Customers have generated data for new generation Cu post-CMP cleaners (such as ESC-801 or SP-28) showing no Cu corrosion after a two week holding time. Pourbaix diagrams will be presented to support cleaning mechanisms, based on the thermodynamically stable, or unstable, states depicted. Recall that stability diagrams are based on thermodynamic data and contain no information on kinetics. In addition to reviewing stability diagrams, one needs to measure the rate at which Cu oxides dissolve or Cu complexes are formed. Typical examples of Cu oxide dissolution rates will be presented that were determined from galvanostatic electrochemical measurements. One can readily measure the dissolution rates of cupric (CuO) and cuprous oxides for various chelating agents in a base solvent mixture, typically water, but there may be other components like amines or metal-ion free bases present. Information on formation constants for many complexing and chelating agents is readily available in the general literature to allow selecting candidates for testing.One also needs to measure the removal rates for typical Cu passivators used in slurries. Deposition and removal rates for BTA and 1,2,4-triazole (TAZ) will be presented based on quartz crystal microbalance (QCM) data. TAZ films deposited at neutral or high pH showed saturation effects and appeared like a self assembled monolayer (SAM). Other Cu passivators like carboxybenzotriazole (CBTA) and 5-aminotetrazole (5ATA) were also examined. Significant differences in the hydrophobicity of Cu surfaces treated with BTA, CBTA, 5ATA, or TAZ were observed. Some Cu passivators only protect specific Cu crystal orientations, leading to enhanced surface roughness after cleaning. TAZ was the only Cu passivator tested that was found to be crystal non-specific, yielding very low surface roughness. An example of a commercially available cleaner (i.e., SP28) which was designed to use a displacement cleaning mechanism will be discussed. The components of SP28 and their function will be presented along with performance data.
4:45 PM - C8.2
Nano-scale Characterization of Surface Defects on Polished Si Wafers by Atomic Force Microscopy Combined with Laser Light Scattering.
Kenta Arima 1 , Takushi Shigetoshi 1 , Haruyuki Inoue 1 , Tsukasa Kawashima 1 , Takaaki Hirokane 1 , Toshihiko Kataoka 1 , Mizuho Morita 1
1 Department of Precision Science and Technology, Osaka University, Suita, Osaka, Japan
Show Abstract The purpose of this study is to elucidate profiles of nano-scale surface defects called “microscratches” remaining on CMP-finished Si wafers. A scanning probe microscope (SPM) is a powerful and familiar tool to evaluate CMP processes. It is usually used to investigate microroughness or even atomic arrangements of polished surfaces. We have succeeded in obtaining atomic images of Si(001) and Si(110) wafer surfaces with neither thermal treatments nor baking [for example, Arima et al., Appl. Phys. Lett. 85, 6254 (2004)]. And we demonstrate by atomically resolved scanning tunneling microscopy that a flattening method with fine SiO2 particles in ultrapure water creates the Si(001) surface where 95% of the view area (100×100 square nanometers) is composed of only three atomic layers [Arima et al. Surf. Sci. 600, L185 (2006)]. Another important role for SPM is to evaluate nano-scale surface defects on polished wafers. Today, the control of surface defects called microscratches is one of the most important issues for CMP processes. The density of microscratches on a polished Si wafer is very low (for example, one scratch per square millimeters). Conventional wafer inspection systems sometimes detect microscratches on polished wafers. But they cannot determine cross-sectional profiles such as the depth and width of the scratch. On the other hand, evaluated areas by SPM such as atomic force microscopy (AFM) are no more than several square micrometers. It is very difficult to locate microscratches only by AFM. In this study, we combine AFM with laser light scattering observations to reveal the cross-sectional profiles of microscratches remaining on CMP-finished Si wafers. The sample was a commercial device-grade Si(001) wafer with a diameter of 6 inches. A laser light scattering system with a 488-nm Ar ion laser beam was developed by Inoue et al. The laser beam is focused on the sample surfaces at an incidence angle of 76°. This is a Brewster’s angle for Si samples at which a p-polarized beam is not reflected. We confirmed that this system has an ability to detect polystyrene latex particles with a diameter of 37 nm on Si wafers. AFM observations were performed in air in the tapping mode using single-crystalline silicon cantilever probes with a radius of less than 10 nm. In order to carry out AFM observations of the same microscratch detected by the light scattering system, Al numerical symbols were fabricated on Si wafers in advance. We find that most microscratches remaining on polished CMP wafers are very shallow trenches, and their cross-sectional profiles are 80-200 nm in width, and 0.1-0.2 nm in depth. We also reveal that some scratches are ridge-shaped structures with a height of about 0.1 nm. The combined method of AFM with laser light scattering will help us to understand physical interactions between fine powder particles in CMP slurry and substrate surfaces on the nanometer scale.
5:00 PM - C8.3
Detection of Copper CMP Slurry Abnormalities Using Real-Time Frictional Force Measurement
Yun Zhuang 1 2 , Yasa Sampurno 1 , Fransisca Sudargho 1 2 , Geoff Steward 1 , Anita Lee 1 , Herbert Barthel 3 , Erwin Mayer 3 , Michael Stintz 4 , Michael Goldstein 5 , Ara Philipossian 1 2
1 Department of Chemical and Environmental Engineering, University of Arizona, Tucson, Arizona, United States, 2 , Araca, Inc., Tucson, Arizona, United States, 3 , Wacker Chemie AG, Burghausen Germany, 4 , Technical University Dresden, Dresden Germany, 5 , Intel Corporation, Santa Clara, California, United States
Show AbstractIt is well known that slurry abnormalities resulting from large abrasive particles and abrasive particles agglomeration can cause defects on wafer surfaces during CMP. This study explores the feasibility of using real-time frictional force measurement and analysisto detect slurry abnormalities in copper-barrier CMP applications. To create such abnormalities, different amounts of known ‘bad’ fumed silica particles (thoroughly characterized in terms of their morphology, particle size distribution and other physical properties), are added to slurries. The contaminated slurries are then used to polish 200-mm blanket copper wafers on the APD-500 polisher that has the unique ability to measure frictional force in real time during polishing. To isolate the real effect of ‘bad’ silica particles in “good” fumed silica, slurries to which identical amounts of known ‘good’ particles are added, are also tested alongside the ‘bad’ samples. Fast Fourier Transformation (FFT) is performed to transfer the frictional force from time domain into frequency domain to illustrate frictional force spectral amplitude distribution. Pad temperature is measured by an IR camera during polishing. Copper removal rate and non-uniformity are measured by a 4-point probe. Results are compared between the slurries that have known "bad" particles and slurries that have the same concentration of known "good" particles to demonstrate that real-time frictional force measurement and analysis can be used to detect slurry abnormalities in CMP process. It is believed that this technology will potentially benefit slurry manufacturers and IC makers as they can identify slurry abnormalities before slurry shipment and usage.
5:15 PM - C8.4
Composite Polymer Core – Ceria Shell Abrasive Particles during Silicon Oxide CMP
Silvia Armini 1 2 , Joke De Messemaeker 3 , Ruslan Burtovyy 4 , Igor Luzinov 4 , Caroline Whelan 1 , Karen Maex 2 , Mansour Moinpour 5
1 SPDT-AMPS-CMP2, IMEC, Leuven Belgium, 2 ESAT, KU Leuven, Leuven Belgium, 3 R&D, Umicore , Olen Belgium, 4 School of Materials Science and Engineering, Clemson University, Clemson, South Carolina, United States, 5 , Intel Corporation, Santa Clara, California, United States
Show AbstractC9: Poster Session
Session Chairs
Thursday AM, April 12, 2007
Salon Level (Marriott)
9:00 PM - C9.1
New Particle Metrology for CMP Slurries
Kim Williams 1 , Ilyong Park 1 , Edward Remsen 2 , Mansour Moinpour 3 , Anthony Kim 3
1 Chemistry and Geochemistry Department, Colorado School of Mines, Golden, Colorado, United States, 2 , Cabot Microelectronics, Aurora, Illinois, United States, 3 , Intel Corporation, Santa Clara, California, United States
Show AbstractThe successful commercial manufacture of microelectronic devices relies critically on the suppression of surface defect creation mechanisms present during the fabrication of integrated circuits (IC) on silicon wafers. A principal source of surface defects is chemical-mechanical planarization (CMP) which is an essential processing step used in IC fabrication. A critical physical property of CMP slurries that affords a handle for performance optimization is the size distribution of the slurry’s abrasive particles. The region of the particle size distribution with diameters greater than 0.5 µm has been of particular interest. Analyses of the cumulative number of particles with polystyrene-equivalent, light scattering intensity diameters > 0.56 µm, referred to as the large particle count or LPC, are routinely performed via single particle optical sensing (SPOS) and the LPC is often used as the primary particle size distribution metric in correlations with defect metrology. While the LPC represents a convenient metric for relating the size distribution characteristics of abrasive particles to defect creation, this parameter provides no direct morphological analysis of defect-creating particles. Detected particles are binned into specific size channels during a SPOS analysis on the basis of their silica or polystyrene sphere-equivalent, light scattering intensity. Consequently, particles of different shape can not be resolved or characterized according to these heterogeneities in a conventional SPOS analysis. This paper presents a new metrology for CMP slurries and the development of analytical instrumentation capable of yielding information about particle shape heterogeneities. The field-flow fractionation (FFF) separation technique offers a means to resolve complex particle size distributions into narrowed hydrodynamic size fractions prior to undergoing SPOS analysis. Two different types of particle diameters are measured by these two techniques. The FFF elution times are directly correlated to hydrodynamic diameters dh through existing theoretical equations or establishing calibration curves. Hence, the FFF elution profile is a direct reflection of dh present in the slurries mixture. The SPOS detection system, on the other hand, provides the number distribution of light scattering, spherical-equivalent diameters, N(dl). Simultaneous measurement of dh and N(dl) across a slurry’s elution profile creates the opportunity to determine the ratio of measured diameters, r = dh /dl, for every elution slice. The value of r is indicative of heterogeneity in particle shape in an elution slice. This presentation will address the instrumentation and methods that have been developed specifically for analyzing CMP slurries. This includes retrofitting the flow FFF channel with appropriate components and development of an interface to couple FFF and SPOS. The success of this approach will be demonstrated with model particle systems.
9:00 PM - C9.2
Improved Defect Classification Techniques of a Laser Scattering Detection System for IC Wafers in CMP
Daniel Mateja 1 , Toshi Kasai 1 , Michael Denham 1 , Haresh Siriwardane 1
1 R&D, Cabot Microelectronics, Aurora, Illinois, United States
Show AbstractLaser light scattering has been widely used for identifying defects on IC wafers after CMP. It has been suggested that the spatial distribution of the scattered light highly depends on defect type and geometry. It also depends on the detector configuration, such as the direction of the incident light and the arrangement of detector channels. Using the appropriate geometrical settings, one can discern defects e.g., scratches, pits and particles. Previous studies have typically been performed on bare silicon substrates, which are relatively smooth, resulting in less background noise, the so-called Haze signal. The surfaces of wafers used for CMP processes, however, are composed of a variety of materials, such as copper, silicon dioxide and tungsten; and are relatively rough. Therefore, experimental method conditions used for the characterization of defects on bare silicon require modification for application of the method to CMP wafer surfaces. In this study oxide wafers were polished with tungsten CMP slurries employing 30 and 60 sec polishing times. The scratch and particle defect counts were evaluated using an SP1-TBI (KLA-Tencor Corp.) inspection tool and a SEMVision G2 review tool (AMAT). The effects of normal and oblique incident beam direction, the geometry of narrow and wide detection channels and the detection threshold values for Haze signals were considered. It was found that particles on CMP polished wafers were more effectively detected using normal incidence and wide detection channels, while for scratches, better results were obtained using oblique incidence and narrow detection channels. These findings were compared with the predicted light scattering distribution simulated for a silicon bare substrate; and appropriate settings for detecting specific defects on CMP wafers are proposed.
9:00 PM - C9.3
Surfactants in Controlling Removal Rates and Selectivity in Barrier Slurry for Cu CMP
Jinru Bian 1
1 , Rohm&Haas Electronic Materials CMPT, Newark, Delaware, United States
Show AbstractLeading edge integrated circuits (ICs) are complicated structures designed to have up to 3 capping layers above a low k dielectric material. The upper capping layer may use TEOS and/or silicon nitride (SiN), while the lower one may use silicon carbon nitride (SiCN), silicon carbide (SiC), or carbon doped oxide (CDO) immediately above the low k dielectric. Therefore, a barrier slurry for copper CMP, in addition to exhibiting a high removal rate of the barrier, must be able to remove the upper capping layer and stop at the underlying dielectric surface.We have developed a slurry family that can effectively remove TaN, TEOS, SiN, CDO, and/or SiCN, or any combination of these films, or can stop at any one or two film surfaces of TEOS, SiN, CDO, SiCN, and SiC, depending on the specific slurry design. Removal rate control is achieved by one or two additives. One of the additives is an anionic surfactant. When selecting a surfactant, the surfactant hydrophobicity and charge interaction between the surfactant and the wafer surface are two important factors to be considered. This report discusses these two factors in selecting a proper surfactant for a specific slurry application.
9:00 PM - C9.4
A Study in Selectivity Variations of WCMP Slurries Related to pH, ζ-potential and Dilutions with De-ionized Water.
Davide Gianni 1 2 , Silvia Ardizzone 3 , Giuseppe Cappelletti 3 , Angelo Maspero 2 , Damiano Monticelli 2 , Giulia Spinolo 1 , Norberto Masciocchi 2
1 Advanced R&D – Non Volatile Memories & Derivatives, Front-End Technology and Manufacturing, STMicroelectronics, Agrate Brianza, MI, Italy, 2 Dipartimento di Scienze Chimiche ed Ambientali, Università dell'Insubria, Como, CO, Italy, 3 Dipartimento di Chimica Fisica ed Elettrochimica, Università degli Studi di Milano, Milano, MI, Italy
Show AbstractThe dilution of standard commercial fumed WCMP slurries with de-ionized water in 1:1 ratio is a common procedure used by many semiconductor manufacturers, reducing costs of ownership and leaving process parameters practically unaffected.Dilutions at higher ratios have shown a number of problems, such as decrease in removal rate, process instability and reliability on the devices, which can be mitigated by adjusting the pH after dilution.Opposite to standard WCMP slurry, novel colloidal products are designed to have low tungsten/oxide selectivity: their optimized level of dilution, as well as many other factors, must be assessed, and the effects on the removal rate of both materials must be considered.The purpose of this work is to investigate the effects of pH variation of the slurries on removal rates and selectivity, in order to improve process performances and reduce costs of ownership. Accordingly, this work includes a study on the ζ-potential of the slurry, in order to investigate the consequences of dilution on particle charges. Commercial available slurries have been modified with the addition of nitric acid or ammonia, aiming at evaluating the effects on both removal rate and selectivity. Modified slurries have been tested on blanket tungsten and silicon oxide wafers. The best-performing mixtures obtained during this work have been tested also on patterned electrical wafers. The results obtained surprisingly show that the pH has a much greater influence on the removal rate of tungsten and silicon dioxide than particles content.We propose that nitric acid can be used to dilute selective slurries in order to keep high removal rates. Indeed, diluting slurries with an acidic solution of the same pH maintains particle charges far from zero, and keeps oxidizing conditions stable. However, the effects of the acidic dilution of the slurries include a slight reduction of removal rate and a decrease in defect density.Variation of pH in non-selective slurries have an influence on the removal rate both of oxide and of tungsten modifying SiO2/W removal selectivity. As the range of pH for non-selectivity is very narrow, it does not seem possible to improve the properties of the slurries maintaining non-selectivity; the results obtained show that dilution (if any at all) must keep into account possible variations of pH.The chemical composition of the solutions plays an important role in modifying surface properties of silica particles; with the correct adjustment in pH it is possible to work on the dilution of novel slurries keeping removal rate and selectivity under control.
9:00 PM - C9.6
Effect of Wettability of Poly Silicon on CMP Behavior.
Young-Jae Kang 1 , Yi-Koan Hong 1 , JIn-Goo Park 1 , Sang-Yeob Han 2 , Seong-Kyu Yun 2 , Bo-Un Yoon 2 , Chang-Ki Hong 2
1 Division of Materials and Chemical Engineering, Hanyang University, Ansan Korea (the Republic of), 2 , Samsung Electronics Co., LTD, Yongin Korea (the Republic of)
Show AbstractThe DRAM (Dynamic random access memory) process technology has became a leading semiconductor technology and it has the highest production volume among all VLSI semiconductor products. Chemical mechanical planarization (CMP) process has been extensively used to improve the planarity of the IC structure. This planarization has been implemented on the IC manufacturing such as a DRAM process including the shallow trench isolation (STI) and the self aligned memory cell contact pad (SAC) process. Poly silicon can be polished easily with similar pads and slurries as they are used for the planarization of silicon oxide. Poly silicon CMP is consecutively used in order to form self aligned memory cell contact pad. The hydrophobicity of poly silicon reported to introduce different polishing behavior with careful control of post CMP cleaning process. The purpose of this study was to investigate the effect of poly silicon wettability on its CMP behavior. The frictional and thermal behavior were also investigatedAll force curve measurements were carried out using an Atomic Force Microscope (AFM, PSIA, XE-100). AFM can determine the adhesion of individual particles by directly measuring the force required to remove them from a surface. The 40 μm diameter sized irregular polymeric particle attached to a tipless silicon cantilever (CSC 12, B type, MikroMasch, USA). The adhesion force was measured between the pad particle and poly silicon wafer surface in a liquid cell. Poly silicon wafer which were cleaned in the SPM (Sulfuric-peroxide mixture) and DHF (Diluted HF) were contaminated with ground pad particles in a particle deposition tool for particle adhesion and removal tests.The adhesion force of polymeric particle on the poly silicon wafer surfaces was measured in the KOH solution (pH 11) as a function of additive concentration. KOH was used for alkaline based slurry of poly silicon polishing and additive was added in the KOH based solution in order to control the wettability of the poly silicon surface. Adhesion force decreased and saturated as a function of concentration of additive. When additive was added to slurry, the poly silicon surface became hydrophilic. The change of surface wettability affects not only the polishing rates but also the level of contamination on wafer because the interactions between particles and substrates are dependent on the wettability of the surface. Higher friction forces and pad temperatures were measured in hydrophobic surfaces. Also, hydrophobic poly silicon surfaces attracted much more pad particles with water marks than hydrophilic.
9:00 PM - C9.7
Investigation of Physical and Chemical Changes in CMP Pads due to Slurry Temperature
Veera Raghava Kakireddy 1 3 , Subrahamanya Mudhivarthi 2 3 , Ashok Kumar 2 3
1 Department of Electrical Engineering, University of South Florida, Tampa, Florida, United States, 3 Nanomaterials and Nanomanufacturing Research Center, University of South Florida, Tampa, Florida, United States, 2 Department of Mechanical Engineering, University of South Florida, Tampa, Florida, United States
Show AbstractThe effects of different process parameters and consumables on polishing pads were studied till date, but there has been a very minimal study to understand the effect of slurry temperature during Chemical Mechanical Polishing (CMP) on pad physical and chemical changes. In this research, the effect of slurry temperature on different pad materials has been explored. Polishing pads play a major role in CMP and the pad undergoes several chemical and mechanical changes during the polishing process. Fourier Transform Infrared Spectroscopy (FTIR) was used to obtain nondestructive surface analysis of pad materials being tested and Dynamic Mechanical Analysis (DMA) was used to study the change in pad mechanical properties with change in temperature. Further the Scanning Electron Microscopy (SEM) was used to see the changes in the surface pore geometry on different pad materials used for polishing at different slurry temperatures. Experiments were conducted on bench top polisher at different temperatures maintaining all the other process parameters constant. Two different types of pads made of polyurethane material with k-grooves and 2 cm X 2 cm coupons of patterned copper having MIT 854 test structure were used.DMA analysis showed that the amount of decrease in storage modulus on Pad B is much greater than Pad A for temperatures within the operating range. Also, the pad B has lower glass transition temperature compared to pad A, which indicates that pad B loses its properties at a much lower temperature. The results of FTIR were analyzed to study the effect of slurry temperature on polishing pads before and after polishing. The chemical changes in the pad were identified using the changes in various bands observed from IR spectroscopy. SEM images showed the change in pad pore geometry with variations in slurry temperature. The SEM, DMA and FTIR results were correlated with the tribological characteristics obtained from polishing experiments. This research is aimed at understanding the physics governing the pad degradation and defect generation during CMP process. This research helps in enhancing the overall understanding of the impact of temperature on CMP process.
9:00 PM - C9.8
Diffusion Limited Agglomeration Processes and Defect Generation during Chemical Mechanical Planarization
Rana Biswas 1 2 , Yingying Han 3 , Abhijit Chandra 4
1 Physics & ECpE, Iowa State University, Ames, Iowa, United States, 2 Microelectronics Res. Ctr. & Ames Lab, Iowa State University, Ames, Iowa, United States, 3 Aerospace Engineering, Iowa State University, Ames, Iowa, United States, 4 Mechanical Engineering, Iowa State University, Ames, Iowa, United States
Show AbstractWe consider and model agglomeration processes occurring during chemical mechanical planarization (CMP) of copper. During CMP the removal of surface asperities with abrasive particles leads to copper-containing nanoparticles extruded into the solution. Such suspended nanoparticles in solution and can undergo the well-known diffusion limited agglomeration (DLA) from stochastic collisions. We model these agglomeration processes under CMP conditions and find the nanoparticles can grow to large sizes. These large particles are detrimental since they can participate in polishing causing scratches and surface defects during CMP. Results for agglomeration under different slurry conditions will be presented. The agglomeration is much slower in the reaction limited agglomeration process between charged stabilized species and will be compared to the DLA results. Under realistic conditions the defect generation probability can increase significantly over time scales of ~10-20 minutes from DLA, unless prevented by slurry rejuvenation or process modification measures. The atomistic processes involved in polishing processes and extrusion into the slurry will be briefly surveyed with molecular dynamics simulations.
9:00 PM - C9.9
Evaluation of Surface Chemistry and Topographical Variation during Electro-Chemical Mechanical Planarization of Copper (E-CmP)
Sameer Deshpande 1 , Abhilash Vincent 1 , Sudipta Seal 1
1 Mechanical Materials and Aerospace Engineering, University of Central Florida, Orlando, Florida, United States
Show AbstractElectrochemical interactions between the slurry constituents and Copper (Cu) is believed to play a key role in material removal in Electro-chemical Mechanical planarization (E-CmP). “In-situ” mapping of copper surface evolution using atomic force microscopy (AFM) during both anodic voltage activation and static condition used to identify the passivation and dissolution modes of copper. Impact of pH and composition variation slurry on various tribological and mechanical properties (such as coefficient of friction, surface roughness and hardness) is successfully evaluated using AFM analysis. The electrochemical rates and faradaic efficiencies of Cu removal are measured as functions of applied voltage. Contributions of faradaic and nonfaradaic reactions to Cu removal under electrochemical control are discussed, and possible chemical roles of voltage-activated current profiles are examined. X-ray photoelectron spectroscopy was used in conjunction with AFM for mapping the surface chemistry variations. Effect of surface oxide states and its effect on the Cu dissolution behavior was studied and quantified using XPS and Secondary Ion Mass spectroscopy (SIMS).
Symposium Organizers
Christopher Borst University at Albany
Laertis Economikos IBM Microelectronics
Ara Philipossian The University of Arizona
Gerfried Zwicker Fraunhofer Institut fuer Siliziumtechnologie ISIT
C10: Challenges in CMP Integration and Reliability for 45nm and Beyond
Session Chairs
Thursday AM, April 12, 2007
Room 3000 (Moscone West)
10:00 AM - **C10.2
Recent Progress in Modeling the Interaction of One- and Two-component Abrasives with Metal, Oxide and Low-k Films.
S. Babu 1 , V. Devarapalli 1 , G. Ahmadi 1 , Q. Zhong 1 , M. Peterson 1 , H. Tam 1 , T. Konno 1
1 , Clarkson University, Potsdam, New York, United States
Show Abstract10:30 AM - C10.3
Optimizing Solution Chemistry for Reduced Damage during CMP
Taek-Soo Kim 1 , Qiping Zhong 2 , Maria Peterson 2 , Halbert Tam 2 , Tomohisa Konno 2 , Reinhold Dauskardt 3
1 Mechanical Engineering, Stanford University, Stanford, California, United States, 2 Yokkaichi Research Center, JSR Corporation, Kawajiri-cho, Yokkaichi, Japan, 3 Materials Science and Engineering, Stanford University, Stanford, California, United States
Show AbstractCareful control of surfactant additions to CMP slurry formulations to enhance wetting, abrasive/wafer contact, removal rates and selectivity is vital for optimizing the process. However, the role of these surfactants on the formation and growth of damage in the form of cracking and delamination is largely unknown. The problem of damage during CMP is most often addressed in terms of mechanical loads associated with the CMP down force and shear loading. However, the growth of cracks in typical interconnect structures during CMP is a kinetic process involving stress and the presence of active chemical species in the process environment. In fact, the chemical composition of the CMP slurry may have much larger effects on the growth of cracks and associated damage compared to the mechanical loads. In the present study we examine in detail the effect of a common nonionic surfactant, polyoxyethylene alkyl ether (CmEn), on the accelerated growth of cracks in structures containing low- and ultra-low k films. Several surfactant molecules containing selected hydrophobic alkyl chain lengths m, and hydrophilic oxyethylene (EO) units n, were employed in both neutral and basic electrolytes. The CmEn surfactants were found to significantly affect the rate of crack growth and the threshold load for cracking. Crack growth behavior was sensitive to hydrophobic tail and hydrophilic head lengths, as well as the solution pH. Surfactants effects on the crack growth rate are related to long-range hydrophobic interactions of surfactant tails and methyl groups on the crack surfaces, short-range hydrophilic interactions between surfactant heads and hydroxyl groups on the crack surfaces, and the competition between for sites in the different electrolytes. We propose mechanisms involving surfactant transport and micellar bridging of the crack surfaces by the surfactant molecules to rationalize the observed behavior. Implications for formulating optimized solutions to reduce damage during CMP for processing of future generation interconnects containing ultra-low-k materials are discussed.
10:45 AM - C10.4
Development and Optimization of Slurry for Ru CMP
In-Kwon Kim 1 , Tae-Young Kwon 1 , Jin-Goo Park 1 , Hyung-Soon Park 2
1 Division of Materials and Chemical Engineering, Hanyang Univ., Ansan Korea (the Republic of), 2 Advanced Process, Memory Research & Development Division, Hynix Semiconductor Inc., Icheon Korea (the Republic of)
Show AbstractIn the DRAM technology, conventional capacitor has the semiconductor bottom electrode which is called MIS (metal insulator semiconductor) capacitor. However, conventional poly-Si bottom electrode cannot satisfy the requirement of electrical properties and compatibility to the high k materials. For this reason, MIM (metal insulator metal) capacitor has been investigated. Ru (ruthenium) has been suggested as alternative bottom electrode due to its excellent electrical performance such as a low leakage of current and compatibility to the high dielectric constant materials. The dry etching technology has been widely used to isolate and planarize bottom electrode. After dry etching, the residues created at the edge of boundary between the trench and sacrificial oxide layer and leave as major yield loss defects. In order to form Ru bottom electrode, CMP (chemical mechanical planarization) process was suggested to planarize and isolate the bottom electrode.In this study, the effect of etching chemicals on polishing and etching behavior was investigated as functions of chemical concentration, abrasive particle content and applied pressure. Chemicals were chosen to act as oxidant and etchant. Ru films (150 nm thickness) were deposited on TEOS films by atomic layer deposition method. Ru wafers were cut into 2.0 x 2.0 cm2 for the measurement of the etch rate and removal rate of Ru. Alumina particles (Degussa, 99.99%, 13 nm) were used for the polishing test. A variable angle spectroscopic ellipsometer (VASE, J. A. Woollam Co.) was used to measure the thickness of passivation layer on the treated Ru wafer. The etch and removal rates were calculated by measuring the sheet resistance of Ru. Sheet resistance was measured by 4 point probe (Changmin Tech.). Polishing tests were performed on a polishing machine (Logitech, PM5, U.K.) using an IC 1400 k-groove pad (Rohm & Haas Co.).The thickness of passivation layer on the treated Ru surface increased with the increase of chemical concentration. The static etch rate and removal rate also increased with the increase of chemical concentration. The removal rate increased up to 4 psi of down force and then saturated with further increase of down force.. The removal rate was highest in slurry of pH 9 with the addition of 0.1 M concentration of chemical and 2 wt% alumina at 4 psi. The maximum removal rate was about 85 nm/min.
C11: Tool/process Developments such as eCMP and Low-shear CMP
Session Chairs
Thursday PM, April 12, 2007
Room 3000 (Moscone West)
11:30 AM - **C11.1
Enabling Damascene Solutions for 45 nm Beyond.
Manabu Tsujimura 1
1 Precision Machinery Company, Ebara Corporation, Fujisawa-city, Kanagawa, Japan
Show AbstractThere are several technology developments, which should have been completed in CN 65 nm (Commercial node) device development, but passed over to CN 45 nm device development. Seven items relating with damascene process, especially connecting with planarization process, are nominated and introduced herein including new advent technologies as enabling solutions for CN 45 nm. First one is low-down-force planarization. Although there are several planarization technologies such as CMP (Chemical Mechanical Polisher), ECMP (Electrical Chemical Mechanical Polisher), ECP (Electrical Chemical Polisher), CE (Chemical Etching) and those combination technologies, it is not decided which is the best one and how low down force is optimized taking into account of CoC (Cost of Consumable) issues. In this report, all planarization technologies are explained using ‘General Principle governing all planarization technologies’, and allowable down force is suggested through stress analysis and fracture toughness analysis. Second one is direct polish on ULK. There may be two approaches. One is to adopt CMP hard mask which dielectric constant would not be changed by direct polish. In this case, non-uniformity of CMP in this hard mask is important. Second is to polish directly on ULK, which dielectric constant would be changed by CMP, then restoration technology should be discussed at a same time. Third one is Ru liner application. When is this technology required? Deposition for Ru seed and polishing of Ru integration are reported. Forth one is watermark free drying of ULK. Vacuum drying and IPA drying (Rotagoni) which is necessary for hydrophobic surfaces are reported. Fifth one is cleaning technologies for less than 45 nm FM. After CN 45 nm, it is difficult to distinguish several defects such as scratches or FM. In this case, soft cleaning methods such as non-contact cleaning would be required. Cavitation jet as one of non-contact type cleanings is reported with its cleaning results. Sixth one is Co-W cap process. Electro-less metal cap technology in order to enhance EM is reported. CMP after Co-W deposition may be useful in order to clean cap surfaces. Seventh one is starting material such as nanotopography and roll off which would affect on CMP performances.
12:00 PM - C11.2
Effect of Additives in KOH Based Electrolytes on Cu ECMP.
Tae-Young Kwon 1 , In-Kwon Kim 1 , Jin-Goo Park 1
1 , Hanyang University, Ansan Korea (the Republic of)
Show AbstractIn fabrication of semiconductor products, Cu has been chosen as material for interconnects because Cu has higher electric conductivity and electromigration resistance. Chemical-mechanical planarization (CMP) of Cu has been currently used in semiconductor process for multilevel metallization by dual damascene technique. However, high process pressure of the conventional Cu CMP process can generate a lot of damage to porous low-k materials in the Cu multilevel interconnects of the 45-65 nm technology node. Also, Cu surface is often damaged by abrasive particles in CMP slurry. In order to overcome these technical difficulties in CMP, electro-chemical mechanical planarization (ECMP) has been introduced. ECMP utilizes the electrochemical and mechanical actions to form or remove the passivation layer of Cu surface. ECMP uses abrasive free electrolyte, soft pad and low down-force. The purpose of this study was to characterize KOH based electrolytes and their effects of additives on electro-chemical mechanical planarization. The electrochemical mechanical polisher was made to measure the potentiodynamic curve and removal rate of Cu. The potentiodynamic curves were measured in static and dynamic states in investigated electrolytes using a potentiostat (Princeton Applied Research 273A). Cu disk of 2 inch was used as a working electrode and Pt electroplated platen was used as a counter electrode. KOH was used as the electrolyte. H2O2 and citric acid were used as additives for the ECMP of Cu. In static and dynamic potentiodynamic measurements, the corrosion potential decreased and corrosion current increased as a function of KOH concentration. In dynamic state, different potentiodynamic curve was obtained when compared to the static state. The removal rate was measured as function of KOH concentration and applied voltage. In ECMP system, polishing was performed at 30 rpm and 1 psi. The removal rate was about 80 nm/min at 0.3 V when 5 wt% KOH electrolyte was used. Also, the effect of additive was investigated in KOH based electrolyte on removal rates.
12:15 PM - C11.3
ECMP Enhances the Planarization Efficiency — A Phenomenon of Reverse Topography during Cu Removal.
Feng Q Liu 1 , Wei-Yung Hsu 1 , Alain Duboust 1 , Liang Chen 1 , Tianbao Du 1 , Stan Tsai 1
1 , Applied Materials, Sunnyvale, California, United States
Show AbstractIn CMP, the planarization efficiency is a key parameter to evaluate the process effectiveness of CMP. The planarization efficiency is defined as the ratio of step height and removal thickness. Conventionally, it is considered 100% planarization if the step height is eliminated and thus planarity is achieved if the same thick material is polished. However, this understanding is not very accurate in the real case. On a typical pattern wafer, the trenches and bondpad (>1μm features) areas are much more than the small features (<1μm features), and therefore after ECP (Electro-chemical plating) process the recess areas (>1μm features) are much more than the overburden areas on the dense features (<1μm features). The removed Cu thickness measured with 4-point probe (or other method) is an average result of overburden in the dense arrays and recess above the wide trenches. Since the wide features with the recess topography (>1μm feature size) have higher area percentage than the dense area with overburden (on <1μm feature sizes), the Cu thickness removed to achieve planarization is actually less than the step height. Therefore, for a “real” 100% planarization efficiency, the planarity could be achieved when the Cu thickness is removed less than the trench step height in Electro-chemical Mechanical Planarization(ECMP). This new understanding in planarization efficiency sheds lights on ways to improve CMP productivity. In conventional Cu CMP, thick ECP overplating is needed in order to compensate CMP’s planarization efficiency and to achieve planarity during bulk Cu removal. However, in ECMP technology, thin ECP overplating is enough to achieve the planarity because of the “real” 100% planarization efficiency. Therefore, both ECP and CMP processes will be benefited for the productivity and cost of ownership.Furthermore, when the Cu thickness was removed further to reach and beyond the step height the recess trenches become protruded since the trench bottom is protected by a stronger passive layer, hence the topography is reversed. This character of reverse topography during bulk Cu removal can only be attributed to the low down force polishing, electrical driving Cu removal and Cu passivation mechanism in ECMP process. The reverse topography during Cu removal in ECMP can occur on the feature sizes greater than 1um. The wider the feature, the greater the resulted protrusion height. This will be beneficial for the over-polishing in the next residue clearing step.In this paper, the reverse topography is introduced and the planarization efficiency will be discussed. The comparison of topography, before and after ECMP polishing will be presented to demonstrate the “real” 100% planarization and reverse topography.
12:30 PM - C11.4
Wafer Level Modeling of Electrochemical-Mechanical Polishing (ECMP)
Daniel Truque 1 , Xiaolin
Xie 1 , Duane Boning 1
1 Microsystems Technology Labs, MIT, Cambridge, Massachusetts, United States
Show AbstractOver the past few years, electrochemical-mechanical polishing (ECMP) has become an accepted and robust process to remove bulk copper. As dimensions shrink and low-K dielectrics are introduced, polishing pressures must be decreased to prevent damage to increasingly fragile dielectrics, resulting in lower removal rates. ECMP is an alternative offering high removal rates for pressures under 1 psi. It is believed to be a non-Prestonian process controlled by applied voltage, with an endpoint enabled by measuring the total charge delivered. Multiple voltage zones enables control of removal rates throughout the wafer to account for the incoming copper thickness profile. At present,conventional CMP models have been pressed into service to characterize this process, but the nature of ECMP is very different from conventional CMP. As the industry moves from hybrid ECMP approaches to full sequence ECMP tools, better models are needed at the wafer level, die level, and feature level. In this work, we propose a wafer level dynamic ECMP model based on time-evolving current density distributions across the wafer. The wafer is discretized, and the potential and current density distributions are calculated based on the applied voltage zones and metal film thicknesses across the wafer. The copper removal rate is proportional to the current density, and thus the copper thickness (and conductance) can be calculated as a function of position on the wafer and polish time. Using a time-stepping imulation, the model is able to capture the wafer level non-uniformity and time-dependence of ECMP removal. The model is also able to model the time-varying voltage zones used in ECMP, and can be used to find optimal voltage zone control schemes to achieve improved wafer-level uniformity. The time evolution of the total current can also be modeled to be used as an endpoint signal.
C12: Advanced CMP Process Control Techniques
Session Chairs
Thursday PM, April 12, 2007
Room 3000 (Moscone West)
3:00 PM - C12.2
Non-Destructive Cu CMP Metrology using μ-XRF and fast-XRR Techniques.
Dileep Agnihotri 1
1 , Jordan Valley Semiconductors, Inc., Austin, Texas, United States
Show Abstract3:15 PM - C12.3
Application of Scatterometry to BEOL Measurements: Post Cu CMP Measurements.
Deepak Kulkarni 1 , Shom Ponoth 2 , Li Wu 3 , Alex Elia 3
1 , IBM, Hopewell Junction, New York, United States, 2 , IBM Research, Hopewell Junction, New York, United States, 3 , Timbre Technologies INC, Santa Clara, California, United States
Show AbstractWith shrinking interconnect dimensions, as a result of scaling, resistance variations in percentage terms are increasing. Quick and reliable measurements on the interconnect structures are the first step to the detection and implementation of a process-control strategy in order to reduce process related variations. This paper discusses the application of Optical Digital Profilometry (ODP) to the measurement of BEOL parameters, specifically the measurement of post copper-CMP metal dimensions with the intention of understanding CMP related contribution to resistance variations. Traditionally a combination of electrical test, optical metrology and profilometry is need to understand the contribution of CMP to the interconnect and inter-layer-dielectric (ILD) dimensions. This paper discusses the successful use of ODP to model and measure the dimensions of both the metal and the dielectric in nested patterned structures with different pattern densities for a single level patterned build. Hence this technique could potentially simplify and replace multiple measurement techniques and help in quickly providing relevant information for process monitoring and control.
C13: Multi-scale Modeling of Feature Evolution During CMP
Session Chairs
Thursday PM, April 12, 2007
Room 3000 (Moscone West)
4:00 PM - **C13.1
On a Particle-Augmented Mixed Lubrication Approach to Predicting CMP
C. Fred Higgs 1 , Elon Terrell 1 , Joseph Bonivel 1
1 Mechanical Engineering, Carnegie Mellon Univ, Pittsburgh, Pennsylvania, United States
Show AbstractChemical mechanical polishing (CMP) is a process commonly used to planarize or polish thin film surfaces to enable stacking of additional levels to enhance lithographic patterning of wafers. It is used to make surfaces atomically smooth and is also an interim step in integrated circuit (IC) and data storage device manufacturing. CMP is an example of a type of tribological regime we call Particle-Augmented Mixed Lubrication (PAML). PAML occurs when two surfaces in relative motion under load are partially separated by an intervening fluid-particle mixture. The load is supported by both asperities and fluid, and the interface is further complicated by the addition of particles. During CMP, a rotating wafer is mounted face up on a fixture and pressed against a rotating polymeric pad that is flooded with chemically reactive slurry with nanoparticles in it. The wafer is pressed down and the films are polished as the pad rotates. Because existing models of PAML tribosystems, namely CMP, are typically based on single or even dual physics descriptions, they are unable to capture the relevant PAML phenomena that occur over several length and time scales reliably. PAML involves four components being used integrally—fluid mechanics, particle dynamics, contact mechanics, and material removal (wear). Consequently, this tribological phenomenon is difficult to predict. This work introduces the fundamental tenets of PAML, and describes how it is an effective first principle physics-based approach to modeling CMP. Dynamic mathematical descriptions of the aforementioned PAML components along with validation experiments are presented.
4:30 PM - C13.2
Contact Model for a Pad Asperity and a Wafer Surface in the Presence of Abrasive Particles for Chemical Mechanical Polishing
Sinan Muftu 1 , Dincer Bozkaya 1
1 Department of Mechanical Engineering, Northeastern University, Boston, Massachusetts, United States
Show AbstractIn CMP the interface of the polishing-pad and the wafer is filled with liquid slurry and abrasive particles. The average surface roughness Ra of the pad is much larger than the typical particle diameter. The majority of material removal in CMP is due to the abrasion of the particles trapped between the pad asperites and the wafer. Therefore, it is necessary to understand the relative contributions of pad-to-wafer (2-body) contacts and pad-to-abrasive-to-wafer (3-body) contacts. The Greenwood and Williamson (GW) multiasperity model is widely used to simulate the contact of a rough-pad with a smooth-wafer. This model is based on the Hertz contact theory for modeling the interaction of surface asperities. This approach assumes that only wafer and the pad make contact, and the effect of the particles on the contact pressure distribution and the contact area is neglected. The objective of this study was to develop a contact model for a single (pad) asperity and a flat (wafer) surface, with an interface filled with spherical (abrasive) particles. The model considers the effects of particle concentration, non-linear material behavior of the pad; and, assumes that the abrasives are rigid and abrasive diameters have a normal distribution. Finite element method is used to determine the deformation characteristics of a single rigid-sphere indenting a hyper-elastic material. Relations are developed to predict pad-to-particle-to-wafer contact in two distinct regimes, where a) pad and the wafer are separated by the particle; and b) all three bodies come in contact simultaneously. Greenwood and Tripp (GT) model, which is widely used for the contact of rough spheres forms the basis of the current model. Greenwood and Williamson (GW) multi-asperity model is utilized to characterize the local contact of the rough surfaces in GT model. The presence of the abrasive particles in the (pad) asperity-wafer interface caused the contact to be distributed over a larger area as compared to the Hertz model, while the maximum contact pressure became relatively lower. It was found that the contact region can be divided into 3 different sub-regions: At the outside edge of contact, pure 3-body contact was the responsible mechanism for the contact pressure. On the other hand, 2-body contact was the dominant mechanism near the center of contact as the particles became embedded in the elastic surface. There was a transition region between these two regions where the effects of 3-body and 2-body contact were comparable. The deviation of the contact pressure distribution from Hertz contact became smaller for large contact force as the extent of the 2-body contact dominant region covered almost the whole contact zone. This model is then used to explain experimentally observed material removal behavior in CMP.
4:45 PM - C13.3
Single Asperity Tribochemical Wear of Silicon by Atomic Force Microscopy.
Futoshi Katsuki 1
1 Corporate R&D Labs., Sumitomo Metal Industries, Limited., Amagasaki Japan
Show AbstractWe report measurements of single asperity wear on oxidized silicon surface in aqueous KOH using atomic force microscopy (AFM), where the single crystal silicon tip was used both to tribologically load and image the surface [1]. AFM was also operating in the lateral (frictional) force mode (LFM) to investigate the pH dependence of kinetic friction between the tip and the SiO2 surface. We found that the Si tip wear amount strongly depended on the solution pH value and was at a maximum at around pH 12. It was also found that the Si removal volume in moles was approximately equal to that of SiO2 irrespective of the solution pH value. This equality implies that the formation of the Si-O-Si bridge between one Si atom of the tip and one SiO2 molecule of the specimen at the wear interface, followed by the oxidation of the Si surface, finally the bond rupture by the tip movement, the dimeric silica (OH)3Si-O-Si(OH)3, including the Si-O-Si bridge is dissolved in the KOH solution. It was also found the frictional force is highly sensitive to the pH values of the solution and peaked at pH 12.5. These results indicate that the interfacial reaction would be affected by the frictional force between the Si tip and the SiO2 surface, due to an increased liquid temperature and a compressive stress in Si and SiO2 networks. Strong influence is observed by the pH of the ambient solution confirming the important role of the OH- in the wear mechanism. We present a microscopic removal mechanism which is determined by an interplay of the diffusion of water in Si and SiO2.[1] F. Katsuki and J. Watanabe, Mater. Res. Soc. Symp. Proc. 841, 253(2005).
5:00 PM - C13.4
Physical-based Die-Level CMP Model.
Xiaolin Xie 1 , Duane Boning 1
1 Microsystems Technology Laboratories, MIT, Cambridge, Massachusetts, United States
Show AbstractChemical-mechanical planarization (CMP) has become the planarization technique of choice for silicon integrated circuit (IC) fabrication. It is widely used in the front-end process for shallow trench isolation, in back-end processes for dielectric planarization and metal damascene, as well as to build emerging novel devicestructures. Unfortunately CMP continues to suffer from pattern dependencies that result in large variation in the post-polish profile across the chip. In the copper CMP process, the non-uniformity of copper thickness affects circuit performance and can cause integration and manufacturing problems. In the STI process, insufficient polish will leave residue nitride and cause device failure, while excess dishing and erosion degrade device performance. Predictive pattern dependent models of CMP processes are therefore highly desirable for use in optimization of the CMP process, as well as for developing design rules, and identifying problem areas on new chip designs.Our group has previously proposed a series of semi-empirical die-level CMP models [1], which are based on physical intuition of effective pattern density effect and step-height dependence. The semi-empirical model parameters, however, do not link directly to the physical parameters of CMP consumables. As a result, it is difficult to evaluate the effect of alternative consumable parameters on the polishing of patterned wafers using the die-level model. In this paper, we present a physically based die-level model which incorporates the elastic deformation of both the bulk pad and pad surface, as well as pad asperity height distributions. The model is compared with previous die-level models and verified with experimental data. The model parameters are directly related to the physical properties of the pad, and the model thus provides insight into how to improve patterned wafer polish performance in CMP.[1] D. O. Ouma, D. S. Boning, J. E. Chung, W. G. Easter, V. Saxena, S. Misra, and A. Crevasse, "Characterization and Modeling of Oxide Chemical Mechanical Polishing Using Planarization Length and Pattern Density Concepts," IEEE Transactions on Semiconductor Manufacturing, vol. 15, no. 2, pp. 232-244, May 2002.
5:15 PM - C13.5
Towards a Quantitative Description of Pattern-dependent Planarization with Ceria Slurries.
Roland Rzehak 1 , Thomas Vogel 1 2
1 , Qimonda Dresden GmbH & Co. OHG, Dresden Germany, 2 , Technical University Dresden, Dresden Germany
Show AbstractSlurries with ceria abrasives are nowadays widely used for high-end applications like STI.Concerning the pattern dependence of planarization, such slurries exhibit a much more complex behavior than conventional silica-based slurries. The situation is complicated by the fact that depending on often unknown factors like the manufacture of the ceria abrasives and additionally present additives the observed phenomena are also quite diverse. As a consequence, quantitative models are lacking and predictions of post CMP topography that could be used e.g. to derive design rules or optimize dummy fill are not available.We here present experimental results on several slurry systems gathered in an effort to identifythe relevant issues to be included in a broadly applicable model. Possible causes of the most prominent features are discussed and ideas for quantitative modeling are explored.