Symposium Organizers
L. Douglas Bell, Jet Propulsion Laboratory
F. (Shadi) Shahedipour-Sandvik, University at Albany - SUNY
Kenneth A. Jones, Army Research Laboratory
Andrew Clark, Translucent Inc.
Kenji Ohmori, University of Tsukuba
Symposium Support
Army Research Office
T2: Defect Generation and Characterization
Session Chairs
Monday PM, December 02, 2013
Hynes, Level 2, Room 202
2:30 AM - *T2.01
Mapping Dislocation Generation in Semiconductor Thin Film Growth and Processing: Materials Phylogenetics
Robert Hull 1 Hamed Parvaneh 1 Dustin Andersen 1
1RPI Troy USA
Show AbstractThe interplay of plastic and elastic relaxation mechanisms in strained epitaxial films is a complex balance of the energetics and kinetics of the evolving system. We will review the use of in-situ electron microscopy methods to quantify the kinetics of misfit dislocation generation and to map defect microstructures as functions of growth and processing conditions in such thin film systems, specifically in Ge(x)Si(1-x)/Si. This work has led to enormous volumes of data (e.g. hundreds of hours of video, thousands of photographic negatives). In the spirit of the US “Materials Genome Initiative” we seek to develop new methodologies to collate, organize and analyze this data to develop new understanding and avenues for process control through consideration of the whole body of data. We present a new methodology for organizing and illuminating complex interdependent dislocation kinetic mechanisms, that is related to the phylogenetic methods used in bio-informatics and evolutionary biology. Within our analogous “materials cladogram”, different branches indicate the evolution of different structures from a common original structure, based upon different kinetic pathways. This approach can capture both the points of divergence at which different structures emerge during a growth or processing sequence, and the relevant kinetic parameters that define the structure of divergent branches. The development of such pathways can be mapped using simulations that capture and integrate the essential quantitative kinetic descriptions derived from the experiments (e.g. activation parameters for dislocation glide, dislocation nucleation rates, dislocation interaction processeshellip;). Comparing the generated maps with large numbers of specific experimental observations then allows refinement of the simulation structure and increased accuracy in the determination of the relevant kinetic parameters, eventually enabling generation of new cladogram branches by simulation alone. Ultimately, this can provide the structure for a processing map that captures the set of different kinetic pathways and resulting structures for a given system, and helps define the key experimental parameters required for extension to new systems.
We acknowledge the contributions of David Sandler (RPI). Original experimental work in collaboration with J. Bean, J. Floro (U. Virginia); F. Ross (IBM); and E. Stach (BNL).
3:00 AM - T2.02
Mechanisms for Layer Relaxation and Tilt Generation in Thick Metamorphic Buffer Layers Grown by Hydride Vapor Phase Epitaxy
Kevin L Schulte 1 Adam W Wood 2 Susan E Babcock 2 Thomas F Kuech 1
1University of Wisconsin-Madison Madison USA2University of Wisconsin-Madison Madison USA
Show AbstractMetamorphic buffer layers (MBLs) are of great interest for the development of new semiconductor devices with alloy compositions that are not typically feasible due to the high defect density resulting from the mismatched epitaxy. MBLs grown by hydride vapor phase epitaxy (HVPE) are especially promising because they can achieve a high degree of strain relaxation while depositing thick layers that enable the use of chemical mechanical planarization. While MBLs have been in use for quite some time, the mechanisms which govern dislocation generation and propagation, strain relaxation, and tilting are still unclear. HVPE-grown MBLs provide a unique tool for understanding these processes, as a wide range of layer thicknesses, beyond what is typically employed in MOVPE and MBE, can be employed allowing relaxation to be observed at many different stages of growth. A combination of TEM, high resolution reciprocal space mapping (RSM), and electron microprobe was employed to gain a clearer picture of the compositional and strain states of the various layers in a series of HVPE-grown InxGa1-xAs MBLs. It was found that there are dislocations lying perpendicular to the growth direction in the constant-composition capping layer of the MBL that lie above the final compositional interface. These dislocations were correlated with RSM data that indicate that the capping layer in these step-graded MBLs is partially relaxed. Since it was observed that the majority of the capping layer closest to the surface is defect free, it appears that these dislocations have climbed from sources present at or near the last compositional interface, relaxing the lower portion of the cap. The upper portion of the cap remains nearly fully strained with respect to the previous composition step. This is in contrast to the commonly assumed mechanism, in which dislocation loops are thought to nucleate at the surface and then propagate down towards the nearest compositional interface. Tilting behavior in these layers was measured by x-ray diffraction omega-phi mapping. It was found that tilt magnitude typically increased with xInAs and did not depend on grading style (linear vs. step-grading). The direction of the tilt was initially random on nominally (100) oriented substrates and changed as grading continued, appearing to ‘twist&’ around the growth direction. MBLs grown on 4° miscut substrates tilted in the opposite direction of the miscut, and the tilt magnitude for a given composition was greater.
3:15 AM - T2.03
GaSb on Si: Structural Defects and Their Effect on Surface Morphology and Electrical Properties
Serge Oktyabrsky 1 Vadim Tokranov 1 Shailesh Madisetti 1 Andrew Greene 1 Steven Novak 1 Michael Yakimov 1 Steven Bentley 2 Ajey Jacob 2
1University at Albany Albany USA2GLOBALFOUNDRIES at Albany NanoTech Albany USA
Show AbstractGroup III-Sb materials show the best hole transport among III-V materials and are considered as promising candidates for future p-type MOSFETs in all III-V CMOS technology. Silicon as a universal microelectronics platform is especially attractive substrate, and III-Sb films with low-defect density and smooth surface are critical for achieving commercial viability of devices made of these materials. However, large lattice mismatch and non-polar nature of Si substrate present a challenge for growth of high quality III-V materials. Molecular beam epitaxy of GaSb and strained InGaSb quantum wells were employed using metamorphic buffers, GaSb/AlSb superlattice or AlGaSb layers. In both cases, the growth was initiated on Sb-soaked Si substrate with thin AlSb nucleation layer. A 10 nm thick Al2O3 gate oxide was deposited either by in-situ reactive evaporation of Al in 10-6 Torr oxygen ambient, or by ex-situ atomic layer deposition. E-beam evaporated nickel was used as a gate metal to fabricate MOS capacitors, which were evaluated by measuring C-V and I-V characteristics. Both n- and p-type GaSb MOS capacitors were studied with various thicknesses III-Sb structures and consequently, with different defect densities. Be-doped p-type GaSb MOS capacitors demonstrated similar characteristics to MOSCaps grown on GaAs substrates. In contrast, we observed a p-type MOSCap behavior in GaSb:Te on Si devices despite Te doping of up to 5x10 17 cm-3 in the structures with thin, <1.5mu;m III-Sb structures. Thicker structures with dislocation densities in the top layers <108 cm-2 have shown normal n-type C-V behavior similar to the structures grown on GaSb and GaAs substrates, but with significantly faster minority carriers generation/recombination rates. SIMS analysis did not reveal noticeable Si diffusion from the substrate, nor other impurities were detected in GaSb. We believe that native defects, generated in GaSb grown on non-polar high-mismatch Si substrate contributed to the observed polarity inversion. Specific morphological features on the surface, were further analyzed using TEM, FIB/SEM and AFM. Comparable structures grown on GaAs demonstrate atomically smooth surface with single atomic steps seen in both AFM and SEM. Two major types of surface topography defects were found: shorter crystallographically aligned straight streaks (i), and longer winding loops (ii). Cross-sectional TEM reveals the density ~6x108 cm-2 of threading dislocations within top layer of EPI structure with total thickness of 1.25 mu;m, and ~2x104 cm-1 of planar defects. The correlation of the TEM images with the surface morphology features reveals that the microtwins are responsible for the features (i) and create surface steps with the height equal to the number of faulted planes. The features (ii) are due to the antiphase domain boundaries. That is confirmed by direct imaging of the high/low-z Sb-Ga dumbbell STEM contrast.
3:30 AM - T2.04
Real-Time Characterization of Structural Defect Evolution in AlGaN/GaN HEMTs during On-State Bias Application
Hessam Ghassemi 1 Andrew Lang 1 Ronghua Wang 2 Huili Xing 2 David Meyer 3 Mitra Taheri 1
1Drexel Univ Philadelphia USA2University of Notre Dame Notre Dame USA3Naval Research Lab Washington USA
Show AbstractNitride semiconductors offer many unique and beneficial properties for a new generation of electronic devices. AlGaN/GaN high electron mobility transistors (HEMTs) are used in applications where high-power and high-frequency devices are needed. Unfortunately, high-power operating conditions result in unpredictable and catastrophic device degradation. Various techniques have been used to detect and investigate the degradation mechanisms of these devices, including cathodoluminescence spectroscopy, atomic force microscopy, and TEM. However, the formation mechanism of these cracks was not investigated as a function of operating time. As such, quantitative analysis on evolutions of defects and piezoelectric polarization is needed to further understand device failure mechanisms.
The degradation of AlGaN/GaN HEMTs devices was quantified as a function of defect generation and overall strain evolution in the AlGaN layer using high-resolution transmission electron microscopy (HRTEM) techniques. Observations of device cross-sections on ex-situ biased devices revealed that the formation of defects occurred mainly on the drain side of the gate. Geometric phase analysis (GPA) of HRTEM images indicated that the tensile strain decreased from +1.67% in the unbiased device to +1.17% after sufficiently long bias duration. Based on our observations, we propose three different regimes under which a HEMT device undergoes physical degradation during its lifetime. In-situ TEM biasing experiments were also carried out on lift-out devices in order to characterize formation of defects during the application of bias. Real-time observation of generation of defects and formation of physical damage provides a fundamental understanding of the unknown reliability of HEMTs under application of bias, which will contribute toward their functionality during application.
3:45 AM - T2.05
Comparative Chemical Wet Etching Studies of Semipolar (11-22) Undoped and Mg-Doped GaN Grown on M-Sapphire
Hye-Rin Yi 1 Ki-Ryong Song 1 Sung-Nam Lee 1
1Korea Polytechnic University Siheung-si Republic of Korea
Show AbstractRecently, semipolar (11-22) GaN film has been widely studied to achieve higher brightness and longer wavelength LEDs. In device fabrication process, all etching processes of semipolar GaN-based LEDs have been performed by conventional dry etching process which requires long time for vacuum, high cost, many toxic gas and professional person. For these reasons, even though the needs of wet etching process for GaN-based LEDs were increased, the wet etching technique has been only used to study of c-plane GaN due to its difficulties of wet etching process. Furthermore, a few research groups reported on the wet etching phenomena of nonpolar a-plane GaN films. However, there is no report on the wet etching study of semipolar (11-22) Mg-doped GaN film. In this study, we comparatively investigated the wet etching properties of semipolar (11-22) undoped and Mg-doped GaN films.
We grew 2.0 mu;m-thick semipolar (11-22) undoped and Mg-doped GaN on m-plane sapphire substrate using MOCVD. After then, both samples were chemically etched by using three major wet etching parameters, such as temperature (55~115 oC), time (1~3 min for undoped GaN, 1~90 min for Mg-doped GaN) and the mole (2~6 mol) of KOH solution. SEM and AFM were used to study the surface morphologies. The etching damage was characterized by room temperature PL. Based on SEM analyses, we found that undoped and Mg-doped GaN films exhibited same wet etching behaviors which were the increase of etching rate with increasing solution temperature, etching time and solution mole number. However, the etching rate of Mg-doped GaN was two times lower than that of undoped GaN film. We suspected that the surface band bending effect would induce the disappearance of electron near a surface, resulting in the reduction of wet-etching reaction. In this point, we will discuss etching mechanism in detail. In addition, both etched samples represented two kinds of etched-surface planes such as (0001) c- and {1-100} m-plane. However, the etched-area ratio of c-plane to m-plane of undoped GaN was almost same, whereas Mg-doped GaN exhibited low etched-area ratio of c- to m-plane after wet etching process. In addition, Mg-doped GaN represented clearer boundary between c-plane and m-plane than undoped GaN. From these results, we suggested that Mg atom of semipolar (11-22) GaN would decrease etching rate toward c-direction rather than m-direction. Furthermore, PL intensity of undoped GaN film was increased and then decreased with increasing solution temperature and number of moles, whereas PL intensity of Mg-doped GaN film was slightly decreased and then rapidly increased with increasing solution temperature and number of mole. Based on these results, we believed that Mg atom would decrease wet etching rate of semipolar (11-22) GaN film due to surface band bending effect, resulting in the improvement of optical properties compared to dry etching process, but it will be further studied to clarify the detailed etching mechanism
4:30 AM - T2.06
Prospects of Positron Annihilation Spectroscopy in Studying the Atomic-Scale Structure of Ternary III-N Semiconductors of Varying Homogeneity
Filip Tuomisto 1 Ilja Makkonen 1
1Aalto University Aalto Finland
Show AbstractThe band gap of InGaN alloys can be tuned to cover the whole visible spectrum of light by varying the concentrations of In and Ga. Hence, this materials family is interesting for optoelectronic devices such as light emitting diodes. The quality of these devices is affected by the existence of defects.
In this work, we model electronic and atomic structures of InGaN alloys, with and without vacancies, and InN/GaN superlattices, and positrons states in these structures. In InGaN, we study the effect of varying In and Ga concentrations on the measured Doppler spectrum, and the effect of the alloying on the annihilation signal produced by vacancies. In the case of the InN/GaN superlattices, we extend our previous study [1] to the limit of digital superlattices, i.e., the limit of small number of In layers. Our results are used to estimate the usefulness of positron annihilation spectroscopy [2] in studying these structures and vacancy defects therein.
[1] I. Makkonen, A. Snicker, M. J. Puska, J.-M. Mäki, and F. Tuomisto, Phys. Rev. B 82, 041307(R) (2010).
[2] F. Tuomisto and I. Makkonen, Defect identification in semiconductors with positron annihilation: experiment and theory, Reviews of Modern Physics, to be published.
4:45 AM - T2.07
Electrical and Optical Properties of Carbon Impurities in the Nitride Semiconductors
John L Lyons 1 Anderson Janotti 1 Chris G Van de Walle 1
1UCSB Santa Barbara USA
Show AbstractCarbon is a common impurity in the nitride semiconductors, often incorporated during growth but also used intentionally to create semi-insulating GaN. The properties of carbon impurities are not fully understood: although experiments show that C-doping leads to resistive GaN, previous theoretical work suggested that C_N should act as a shallow acceptor. In this work we employ hybrid density functional calculations to investigate the electrical and optical properties of the carbon impurity in InN, AlN, and GaN. This method overcomes the band-gap problem of traditional density functional theory, allowing for the quantitative prediction of defect transition levels and formation energies. Our results indicate that C_N acts as a deep acceptor in all nitrides, and can give rise to deep, broad luminescence signals that have been observed in C-doped material. We also investigate the stability of C interstitials and C substituting on the cation site to determine how C will influence the electrical conductivity of the nitride semiconductors. Our results explain experimental observations on carbon&’s role in conductivity of InN, and its effects on AlGaN/GaN transistors.
5:00 AM - T2.08
Deep-Level Defects and Turn-On Recovery Characteristics in AlGaN/GaN Hetero-Structures Containing Various Carbon Concentrations
Yoshitaka Nakano 1 Yoshihiro Irokawa 2 Masatomo Sumiya 2 Yasunobu Sumida 3 Shuichi Yagi 3 Horoji Kawai 3
1Chubu University Kasugai Japan2National Institute for Materials Science Tsukuba Japan3POWDEC Oyama Japan
Show AbstractCarrier-trapping phenomena in GaN-based HEMTs, current collapses, present a major limitation on actual device performances at high frequencies. In this study, focusing on inevitable C impurity for MOCVD growth of AlGaN/GaN hetero-structures, we have screened deep-level defects in the bulk region of the GaN buffer layer and then have investigated a detailed relation between the C-related deep-level defects and turn-on recovery characteristics in the AlGaN/GaN-based Schottky barrier diodes (SBDs).
Three kinds of Al0.24GaN/GaN hetero-structures (25nm/3mu;m) were grown on c-Al2O3 substrates by MOCVD. The growth temperature of the GaN buffer layer was varied at 1120, 1150, and 1170°C. All the samples were confirmed to exhibit typical 2DEG properties. The C concentrations were uniformly ~6x1016, ~2x1016, and ~1x1016cm-3 or less, respectively. After growth, planar dot-and-plane SBDs were fabricated on these samples, using Ni as a Schottky metal, and then were characterized by means of I-V, C-V, and steady-state photo-capacitance spectroscopy (SSPC) measurements. For the simple estimation of carrier trapping in the bulk region, turn-on current recovery characteristics at VG of +2.0V after the off-state at VG of -30V for 60min were measured under various optical illuminations using a Xe lamp coupled with three kinds of long-pass filters of 540, 390, and 370nm.
From SSPC measurements, all the samples show five photoemission states with their onsets at ~1.70, ~2.07, ~2.26, ~2.75, and ~3.23eV below the conduction band. Among them, the ~2.07, ~2.75, and ~3.23eV levels are significantly enhanced with decreasing the growth temperature of the GaN buffer layer. These specific levels are probably produced by the C impurity incorporation into the GaN buffer layer, because the C incorporation tends to be enhanced at the low growth temperature for MOCVD growth, resulting in the deep-level formation of the residual C impurities and the Ga vacancies. The ~2.07, ~2.75, and ~3.23eV levels observed are presumably attributable to VGa and/or VGa-ON, VGa-CN, and CN, respectively. From carrier-trapping measurements, the recovery time in the dark is ~372s, which slow value is due to the 2DEG carrier-trapping at the deep-level traps in the bulk region under the turn-on state. In sharp contrast, the recovery time significantly shortens down to ~67s by the white light illumination without any filters. Additionally, the recovery time is mostly unchanged by the optical illumination with the 540nm filter, compared to that in the dark. On the other hand, the recovery time becomes significantly shorter under the illuminations with the 390 and 370nm filters. These experimental results indicate that the ~2.75 and ~3.23eV levels are strongly responsible for the turn-on recovery characteristics, that is, the residual C impurity on the N sites turns out to be closely related to carrier-trapping phenomena in the bulk region of AlGaN/GaN hetero-structures.
5:15 AM - T2.09
Modeling Point Defect Diffusion in Compound Semiconductor Alloys
Normand Modine 1 Alan F. Wright 1 Stephen R. Lee 1 Stephen M. Foiles 1 Corbett C. Battaile 1 John C. Thomas 2 Anton Van der Ven 2
1Sandia National Laboratories Albuquerque USA2University of Michigan Ann Arbor USA
Show AbstractCompound semiconductor alloys are widely used in electronic and optoelectronic devices, and point defects created by radiation or during growth can degrade device performance. Defect diffusion controls the rate of defect annealing, and thus quantitative models of defect diffusion are an essential component when predicting device performance in the presence of defects. In an alloy, defect energies and characteristics are sensitive to the occupations of nearby sites (e.g., whether nearby group-III sites in InGaAs contain In or Ga) and thus vary with location in the alloy. A defect can become trapped in energetically favorable regions of the alloy with profound consequence for the rate of defect diffusion. We have recently developed a model of defect diffusion in alloys that combines Kohn-Sham Density Functional Theory calculations, the Cluster Expansion approach, and kinetic Monte-Carlo simulations. We will describe this model and its application to diffusion of the As interstitial in InGaAs.
Sandia National Laboratories is a multi-program laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Corporation, for the U.S. Department of Energy's National Nuclear Security Administration under Contract DE-AC04-94AL85000.
5:30 AM - T2.10
Charge Density Gradient at the N-Polarity Face of Ammonothermal Si-Doped GaN Probed by Confocal Raman Scattering
Luis Artus 1 Ramon Cusco 1 Nuria Domenech-Amador 1 Juan Jimenez 2 Bugou Wang 3 4 Matthew Mann 4 David Look 3 5
1Inst. Jaume Almera (C.S.I.C.) Barcelona Spain2GdS Optronlab, Univ.Valladolid Valladolid Spain3Air Force Research Lab, Sensors Directorate Dayton USA4Solid State Scientific Corporation Nashua USA5Wright State University Dayton USA
Show AbstractThe interest in achieving high-quality large-scale synthesis of GaN crystals is driving the development of bulk growth methods such as the ammonothermal technique. To optimize the growth of undoped and n-doped GaN crystals using this method, an assesment of the crystal quality, dopant incorporation and sample homogeneity is needed. Raman scattering is a nondestructive tool that can be used for structural and optical semiconductor characterization at the submicron level. Moreover, in polar compounds such as GaN, the study of the longitudinal-optic phonon-plasmon coupled modes (LOPCMs) can be used to determine the free electron density in a local, contactless way. This work presents the results of a confocal micro-Raman study of ammonothemally-grown Si-doped GaN. The distribution of the free electron density in the sample is studied and discussed.
We performed polarized Raman measurements on the N-polarity and Ga-polarity faces of the sample. The spectra obtained in all scattering configurations are in accordance with the selection rules and the Raman peaks are narrow, indicating a high crystalline quality. In the N-polarity face both L+ and L- branches of the LOPCMs are simultaneously observed whereas in the Ga-polarity face no signal from coupled modes is detected. From the N-polarity face, we have performed depth profiling of the charge density at 5 mu;m-steps by using confocal micro-Raman spectroscopy. We have implemented a dielectric model based in the Lindhard-Mermin electronic susceptibility to extract the free electron density from the LOPCMs in the Raman spectra. Our results reveal a electron density of 3,3E19 cm-3 at the surface. The charge density increases by about 40% over the first 50 mu;m as the probing depth increases. Deeper in the sample the free electron density saturates at 4,6E19 cm-3, a value close to that given by Hall measurements. This free electron density distribution has been assessed through lateral measurements using a motorized stage to record Raman spectra at 30 mu;m steps from the surface to the seed.
Electron density variations may have a strong impact on device performance, particularly in high-power devices. Therefore the free electron density gradient found in our work stresses the need for assessment of charge homogeneity in ammonothermally-grown GaN. Whereas Hall measurements provide an average value of the carrier concentration, our results demonstrate that confocal micro-Raman spectroscopy is a useful technique to obtain reliable values of the local free electron density distribution in doped semiconductor materials.
5:45 AM - T2.11
Electrically Active Defects in GaAsBi and GaAs Grown at Low Temperatures
P. M. Mooney 1 K. P. Watkins 1 Zenan Jiang 1 A. F. Basile 1 R. B. Lewis 2 V. Bahrami-Yekta 2 M. Masnadi-Shirazi 2 D. A. Beaton 2 T. Tiedje 2
1Simon Fraser University Burnaby Canada2University of Victoria Victoria Canada
Show AbstractGaAs1-xBix alloys are of interest because of their potential to improve the properties of conventional III-V semiconductor devices and to extend them to longer infrared wavelengths. The bandgap energy of GaAs1-xBix alloys decreases strongly as the Bi fraction is increased. And the lattice constant increase of GaAs1-xBix is much smaller than that of InxGa1-xAs at the same bandgap energy, considerably reducing constraints related to lattice mismatch strain for GaAs1-xBix/GaAs heterostructures. However, Bi is incorporated into GaAs films grown by molecular beam expitaxy (MBE) only at growth temperatures below about 400 oC, for which significant defect concentrations in GaAs films have been reported. To investigate the properties of GaAsBi films and the effects of Bi incorporation on electrically active defects, we have performed deep level transient spectroscopy (DLTS) measurements on GaAsBi films grown at 330 oC and on GaAs films grown both at standard temperatures (560-580 oC) and temperatures as low as 300 oC.
C-doped (p-type) GaAs layers grown by MBE at substrate temperatures of 560-350 oC showed similar concentrations, ~1015 cm-3, of a defect energy level at EV+0.56 eV. In contrast, trap concentrations in Si-doped (n-type) GaAs layers grown at standard temperature, 560-580 oC, are le;4x1013 cm-3. Defect concentrations increase strongly to 1016 cm-3 in an n-type GaAs layer grown at 390 oC and to 1018 cm-3 when the growth temperature was further reduced to 330 oC, where the energy level of the dominant defect is EC-0.40 eV [1]. When only 0.3% Bi is incorporated into n-type GaAs at 330 oC, the formation of the EC-0.40 eV trap is suppressed completely, reducing the total trap concentration by more than a factor of 20. Other electron traps, including the dominant ones having energy levels at EC-0.66 eV and EC-0.80 eV, are present in similar concentrations in both GaAs and dilute GaAs1-xBix layers grown at 330 oC and, therefore, apparently result from the low growth temperatures. Both are point defect complexes involving an arsenic atom on a gallium lattice site (AsGa) as expected for MBE growth at these low temperatures.
1. P.M. Mooney, et al., J. Appl. Phys. 113, 133708 (2013).
T3: Poster Session I
Session Chairs
Monday PM, December 02, 2013
Hynes, Level 1, Hall B
9:00 AM - T3.01
Effect of Lithium Ion Implantation on the Optical Properties of In0.15Ga0.85As Capped InAs/GaAs Quantum-Dot Heterostructures
Dipankar Biswas 1 Arjun Mandal 1 Subhananda Chakrabarti 1
1Indian Institute of Technology Mumbai India
Show AbstractInAs/GaAs quantum dots (QDs) grown by Stranski-Krastanov mode have wide application in photo-detectors, lasers, etc. Methods such as thermal annealing, laser induced thermal annealing and ion implantation have been used to tune the optical emission of QDs via interface intermixing. Among this, ion implantation can provide more homogeneous intermixing along the growth direction by adjustment of implantation energy. Optical emission efficiency enhancement of InAs QDs was reported by passivation of dislocation with proton implantation [1]. In this work, we have studied the effect of implantation of Li- ions of energy 50keV with a dose ranging from 3x1011 to 8x1011 ions cm-2 on the optoelectronic properties of 2.7 ML InAs QDs capped with a combination of 3nm In0.15Ga0.85As and 50nm GaAs (grown by Solid State MBE) using photoluminescence (PL) experiment. A significant red shift from 1131 nm to 1180 nm of the PL peak along with the lowering of activation energy (from 220 meV to 130 meV), full-width at half-maxima (FWHM) (from 68 meV to 52 meV) and integrated emission intensity compared to that of the as-grown sample was noted with the increase of dose from 3 x1011 to 6x1011 ions cm-2. At a higher dose of 8x1011 ions cm-2, a shift of PL peak to 1151 nm, with significant increase in activation energy (236 meV) and three-fold increase in integrated emission intensity compared to that of the as grown sample was noted with little increase in FWHM value (55 meV). The red shift of the PL peak with the increase of dose from 3 x1011 to 6x1011 ions cm-2 is due to increase in In atom segregation from InGaAs layer followed by its incorporation on InAs QDs thereby increasing its height, thus reducing the confinement(in the growth direction), activation energy and integrated emission intensity[2]. The reduction of FWHM shows more QDs reaching uniformity with increase in InAs coverage. At higher implantation dose of 8x1011 ions cm-2, the effect of excess In atom segregation from InGaAs layer was more significant in increasing the confinement [3] compared to the reduction in confinement due to increase in dot height, thus leading to a blue shift of PL peak and increase in activation energy. The high In atom concentration gradient between InAs QDs and InGaAs layer generated a quasi electric field, accelerating carriers from InGaAs layer to the QDs, which increases carrier capture efficiency and hence integrated emission efficiency [3]. From temperature dependent PL study, evidence of annihilation of defect state was observed along with the tunability of different optical properties with different Li- implantation dose. DST, India is acknowledged.
1. Hydrogen in Semiconductor-II, Semiconductors and Semimetals Vol. 61
(Academic, New York, 1999)
2. IEEE TRANS.NANOTECH, VOL. 5,683-686 (2006)
3. Appl. Phys.Lett. 82,2802(2003)
9:00 AM - T3.02
Carrier Dynamics in Self-Assembled InAs QD Laser Structures and Broad-Area InAs QD Lasers Grown by Molecular Beam Epitaxy
Yongkun Sin 1 Stephen LaLumondiere 1 William Lotshaw 1 Steven C. Moss 1
1The Aerospace Corporation El Segundo USA
Show AbstractNear-IR quantum dot (QD) lasers are attractive sources as pump lasers and transmitters for satellite communications systems due to three-dimensional localization of carriers that makes them more suitable for radiation hardening than lattice-matched or strained quantum well (QW) lasers. A few research groups have reported encouraging results of QD lasers showing enhanced resistance to radiation damage, but complete understanding of physical mechanisms leading to this enhancement is still lacking. Also, it is well known that point defects induced by proton irradiation behave as nonradiative recombination centers (NRCs), but the role that NRCs play in reliability and degradation mechanisms in QD lasers is not well understood.
We studied carrier dynamics in InAs-GaAs QD laser structures with RT photoluminescence (PL) peaks at ~ 1 µm and also in broad-area InAs-GaAs QD laser diodes at ~1150 nm. All of our samples were grown by MBE and InAs QDs were self-assembled by the Stranski-Krastanov process. For InAs QD laser structures, InAs QDs were clad by 100 nm thick GaAs waveguide layers and then AlGaAs cladding layers. AR-HR coated broad-area InAs QD lasers had 200 µm wide waveguides, ~3.6 mm long cavities, and window regions introduced by forming openings in the backside n-contacts. We employed time-resolved PL (TR-PL) techniques to measure carrier lifetimes in a series of InAs-GaAs QD laser structures grown under different conditions. These structures were irradiated with protons (energies of 10 - 50 MeV and the fixed fluence of 1012/cm2) and pre- and post-proton irradiation lifetimes were compared. All PL decay curves from these structures showed initial faster components followed by slower components. Slower component lifetimes were 23 - 42 ns before irradiation, but the lifetimes were significantly reduced to 8 - 13 ns after irradiation. We also measured lifetimes from irradiated QD samples that were subsequently annealed in RTA at various temperatures between 100 and 650°C. Unlike strained-layer InGaAs-AlGaAs single QW lasers at 975 nm that showed a single decay component with typical lifetimes of 7 - 8 ns, all QD lasers that we studied showed faster components followed by slower components with significantly long lifetimes of > 400 ns. We will report on our TR-PL results from the InAs QD samples.
9:00 AM - T3.03
Highly Efficient Quantum Dot Light Emitting Diodes Based on Environmentally-Benign InP@ZnSeS Quantum Dots
Jaehoon Lim 1 2 Myeongjin Park 1 Wan Ki Bae 4 Donggu Lee 1 Jeonghun Kwak 5 Seonghoon Lee 3 Kookheon Char 2 Changhee Lee 1
1Seoul National University Seoul Republic of Korea2Seoul National University Seoul Republic of Korea3Seoul National University Seoul Republic of Korea4Los Alamos National Laboratory Los Alamos USA5Dong-A University Busan Republic of Korea
Show AbstractColloidal quantum dot (QD)-based electroluminescent light emitting diodes (QLEDs) have been considered as one of future display technologies, due to narrow emission bandwidth, color tunability by controlling QD size, and economic solution processibility. Such prominent optical properties have guided ceaseless devotions on the development of high performance QLEDs and positive outlook, but unfortunately their commercialization is restricted by the use of hazardous substances in general QDs (i.e., Cd and Pb). Although tight encapsulation technology and recycling policy might mitigate such environmental concerns, fundamental solutions are urgently needed to exclude toxic heavy metal elements and thus to promote the persistent development of QLEDs
Herein, we demonstrate bright, efficient and environmentally-benign green-emitting InP QLEDs exhibiting EQE as high as 3.46 % and brightness up to 3,900 cd m-2, 10-fold increase in device efficiency and 5-fold increase in brightness compared with previous reports. This breakthrough is achieved by the tailored device architecture as well as the structural formulation of InP@ZnSeS core@shell heterostrctured QDs, enabling direct charge carrier injection into QDs and efficient exciton recombination. The direct charge carrier (particularly electron) injection within QDs is assisted by a solution processed, thin conjugated polyelectrolyte layer (poly[(9,9-bis(3prime;-(N,N-dimethylamino)propyl)-2,7-fluorene)-alt-2,7-(9,9-ioctylfluorene) (PFN)), forming an interfacial dipole layer between ZnO electron transport layer and QDs. The vacuum level shift induced by PFN reduces the electron injection barrier into QDs and promotes the charge balance within QDs. On the basis of this finely-organized device structure, we employ highly efficient InP QDs with a thick composition-gradient ZnSeS shell (PL QY > 70 % with ~ 6 monolayers of ZnSeS alloy layers). The thick ZnSeS composition-gradient shell provides a sufficient potential barrier for the effective confinement of electrically-generated excitons within InP core away from the surface states. As a result of the finely-tuned device structure along with the protection of excitons from surface state-mediated quenching, the radiative recombination of excitons was considerably enhanced, realizing InP QLEDs with high efficiency and brightness.
9:00 AM - T3.05
Growth and Characterization of Non-Polar (10-10) ZnO Nanorods Grown on M-Plane Sapphire Substrate
Hyo-Soo Son 1 Nak-Jung Choi 1 Il-Kyu Park 2 Sung- Nam Lee 1
1Korea Polytechnic University Siheung-si Republic of Korea2Yeungnam University Gyeongsan-Si Republic of Korea
Show AbstractZnO has been attractive for optoelectronic semiconductor material due to its wide band-gap (3.37 eV) and a large exciton binding energy of 60 meV. However, since ZnO film has been mostly grown to the c-axis, it would represent spontaneous and piezoelectric polarizations, resulting in quantum confinement Stark effect (QCSE). Therefore, a few research groups have reported nonpolar (11-20) and (10-10) GaN film to achieve high efficiency optoelectronic devices. Moreover, ZnO nanostructures have been currently focused on improving optoelectronic applications because of their high surface volume ratio, surface tailoring ability, improved solubility, and multi-functionality to open up many new possibilities in various applications. In this work, we investigated the growth and characterization of non-polar (10-10) ZnO nanorods (NRs) grown on m-plane sapphire with double seed layer of Al-doping ZnO (AZO)/ZnO by using hydrothermal synthesis.
We prepared 80 nm-thick ZnO film and 6 nm-thick AZO film as a seed layer on m-plane sapphire substrates using atomic layer deposition (ALD) system. The results of high resolution X-ray diffraction exhibited that high quality nonpolar (10-10) ZnO film would be successfully grown on m-plane sapphire substrate. By using nonpolar (10-10) AZO/ZnO double seed layer, ZnO NRs were synthesized by using hydrothermal growth methods. The precursors of ZnO synthesis were zinc nitrate and hexamethylenetetramine (HMT). Nonpolar (10-10) AZO/ZnO/sapphire templates were placed in a heated solution of zinc nitrate and HMT for 1 hr at 90 °C. From SEM analyses, we found the askance non-polar (10-10) ZnO NRs on nonpolar (10-10) AZO/ZnO/sapphire templates. PL band edge emission intensity of nonpolar (10-10) ZnO NRs was 14.5 times higher than that of ZnO seed layer grown by ALD, which would be explained by high extraction efficiency and no QCSE. In addition, deep level emission was shifted from 500 nm to 570 nm and significantly increased by growing nonpolar (10-10) ZnO NRs. It may be due to generation of point defect during hydrothermal synthesis mechanism. In this presentation, we will further report the optical improvement of nonpolar (10-10) ZnO NRs and its application for light-emitting diodes.
9:00 AM - T3.08
Effects of Y2 Ordering on the Properties of III-V Ternary Semiconductor Alloys
Dongguo Chen 1
1New Jersey Inst. of Tech. Newark USA
Show AbstractThe Y2 ordering induced changes in the optical properties, including crystal field splitting, spin-orbit splitting, band gap and valence band splittings, for AlxGa1-xAs, GaxIn1-xAs, GaxIn1-xP, GaAsxSb1-x and InPxSb1-x are studied using first-principles calculations. These properties are provided as a function of the degree of long range order. For the partially ordered materials, we explain the trends of the changes in the crystal field splitting and band gap narrowing. The change of spin-orbit splitting is found to be positive and small. For the fully ordered materials, we compare Y2 with other orderings and find that Y2 has a large and negative crystal field splitting and negative spin-orbit bowing parameter. The calculated data can be useful in analyzing experimental results and deriving the ordering parameters of partially ordered samples.
9:00 AM - T3.09
Characterization of Traps in High-Resistivity MOCVD GaN Doped with Carbon
Yutaka Tokuda 1 Takeshi Tanaka 2 3 Kenji Shiojima 2 Yohei Otoki 3
1Aichi Institute of Technology Toyota Japan2University of Fukui Fukui Japan3Hitachi Cable Ltd. Hitachi Japan
Show AbstractCurrent deep level transient spectroscopy (DLTS) with a bipolar rectangular weighting function in the unit of coulomb [1] has been applied to characterize traps in high-resistivity MOCVD GaN doped with carbon. Electrons or holes generated by the illumination of the above-band-gap light are captured by traps and then emitted during the light-off period, resulting in the current transient [2]. The sample was carbon-doped high-resistivity GaN grown by MOCVD on SiC substrate. The doping concentration of carbon was 1x1017 cm-3. Ohmic contacts were formed by electron beam evaporation of Ti/Al. The 355-nm LED was used as an optical source of the above-band-gap light. DLTS measurements were performed under isothermal condition in the temperature range from 290 to 330 K. At 300 K, one trap is observed with the emission time constant of 0.3 s. The thermal emission activation energy for the trap in high-resistivity GaN is estimated to be 0.88 eV from the Arrhenius plot of the emission time constants in the temperature range from 290 to 330 K. This value of 0.88 eV is close to the thermal emission activation energy of 0.86 eV for the carbon-related hole trap observed in n-GaN codoped with silicon and carbon by minority carrier transient spectroscopy for Schottky diodes [3]. It is thought that the 0.88 eV trap in high resistivity GaN is associated with carbon-related defects, although a slight difference in emission time constants is found between 0.88 eV trap and 0.86 eV trap.
[1] Y. Tokuda, T. Shibata, H. Naitou, T. Katou and M. Katayama, Materials Research Society Fall meeting, U3.11, 2011.
[2]Ch. Hurtes, M. Boulou, A. Mitonneau, and D. Bois, Appl. Phys, Lett. 32, 821 (1978).
[3] U. Honda, Y. Yamada, Y. Tokuda, and K. Shiojima, Jpn. J. Appl. Phys. 51, 04DF04 (2012).
T1: Nanostructures
Session Chairs
Chennupati Jagadish
Michelle Povinelli
Monday AM, December 02, 2013
Hynes, Level 2, Room 202
9:30 AM - *T1.01
Modeling of III-V Nanowire Solar Cells
Ningfeng Huang 1 Michelle Povinelli 1
1University of Southern California Los Angeles USA
Show AbstractWe carry out integrated optical and electrical modeling studies to predict the limiting efficiencies of III-V nanowire on silicon solar cells.
In the first part of our study, we calculate the optical absorption of vertically oriented nanowire arrays. We find that optimized arrays have a larger integrated broadband absorption across the solar spectrum than a thin film of the same height.
We then consider the incorporation of III-V nanowire arrays in tandem cells, where one junction lies in the III-V wires, and the other junction in a silicon substrate. We consider four different III-V materials, with band gaps above and below the optimal value. We calculate the limiting efficiency in an idealized model. We show that for a sub-optimal band gap in the top cell, efficiency is increased by decreasing the absorption in the nanowires to achieve current matching. For a band gap above the optimal value, the efficiency is highest when the nanowires absorb all light above the band gap. We optimize the structural parameters of the nanowire arrays to achieve the highest efficiency within a detailed balance model. We find that higher than 30% detailed balance efficiency can be achieved using 1 mu;m-tall nanowire arrays.
Sample device simulations are then conducted to compare different junction geometries and the effect of surface conditions. We find that radial junctions are more robust to the presence of surface recombination. For the axial junction, we design a passivation scheme for the nanowire array focusing on GaAs, in particular. AlGaAs is used as a shell layer. Simulation results show that this passivation design greatly improves the short circuit current and open circuit voltage relative to an unpassivated device.
10:00 AM - T1.02
The Formation Mechanism of Binary Semiconductor Nanomaterials
Kui Yu 1
1National Research Council Canada Ottawa Canada
Show AbstractSemiconductor nanomaterials have been well documented for their potential and impacts in various areas including light emitting diodes (LEDs), solar cells, and bio-imaging. For the various applications, there is an compelling demand on high-quality nanocrystals with synthetic reproducibility and high particle yield. Accordingly, fundamental understanding of the chemistry affecting nucleation and growth as well as the resulting size and size distribution should be appreciated for rational design. I will discuss our latest experimental results towards such chemistry. Hopefully, such a presentation will bring insight into rational design of high-quality nanocrystals to fulfill their unprecedented potential expected in the near future. Colloidal semiconductor nanocrystals (NCs) have been synthesized from single-source precursors which consist of the metallic and nonmetallic elements of the semiconductor constituents in a single molecule, and from separated metallic-element and nonmetallic-element precursors. The former is called single-source precursor approaches (SSPAs). The latter, dual-source precursor approaches (DSPAs) to binary ME semiconductor nanocrystals (NCs), commonly uses metal carboxylates ( (RCOO)2M such as M = Zn, Cd, Cu, In, and Pb) and phosphine chalcogenides (such as R3P=X where X = S, Se, and Te) as the precursors. To explore the common mechanism for the formation of the various semiconductor NCs, CdSe was investigated in detail as a model system. A probable mechanism is put forward for the formation of the NCs from both the SSPA and DSPA in 1-octadecene (ODE) at ambient temperatures. This proposed mechanism offers new avenues to optimize the design of low-temperature approaches to various semiconductor nanomaterials.
10:15 AM - T1.03
Optical Fabrication of Semiconductor Single-Crystalline Microspheres in Superfluid Helium
Shinya Okamoto 1 Satoshi Ichikawa 2 Yosuke Minowa 1 Masaaki Ashida 1
1Osaka University Toyonaka Japan2Osaka University Toyonaka Japan
Show AbstractSemiconductor fine particles have received a great interest in wide fields such as photonics, catalyst chemistry, and so on. Among those, in micro-photonics microspheres have attracted much attention as optical microcavities with high quality factor (Q value). The morphology and crystalline quality of the microspheres are very important to obtain the high Q value. However, it has been very difficult to fabricate semiconductor microspheres with both high sphericity and single-crystalline nature. Here we succeeded in the fabrication of the single-crystalline microspheres of some semiconductors by laser ablation in superfluid helium.
ZnO has been widely studied as an efficient ultraviolet and visible light source. Thus the ZnO microcavities such as single-crystalline micro- or nano-wires have been fabricated and investigated intensively, but a ZnO microsphere is difficult to fabricate because of its wurtzite structure. Here we successfully fabricated ZnO microspheres by laser ablation in superfluid helium. We performed transmission electron microscopy of the fabricated microspheres to verify their morphology and crystalline quality. The results indicate that the microspheres are highly spherical without faceted structure which is a characteristic of ZnO crystal and also show uniform diffraction contrasts, which show that they have very few dislocations or defects. Moreover, it was clarified that the fabricated ZnO microspheres were single crystals from the electron diffraction patterns. All the diffraction spots are indexed by those of a ZnO bulk crystal with wurtzite structure. In addition, uniform lattice fringes were clearly observed around the edge of the ZnO microspheres. This result shows the fabricated ZnO microspheres are single crystals with good crystalline quality even near their surfaces. We also investigated optical properties of the fabricated ZnO microspheres with the morphology as discussed above by micro-photoluminescence measurement. Then, we observed efficient lasing with a threshold of 100 W/cm2, which is much smaller than that of other ZnO microcavities with different morphology, e.g. microwires. This result shows the fabricated ZnO microspheres have extremely high sphericity and good crystalline quality, which provides efficient lasing.
We applied this fabrication technique to other materials. We also successfully fabricated single-crystalline microspheres of CeO2 with cubic structure, which has been widely studied as an efficient catalyst. Finally, we fabricated single-crystalline microspheres of CdSe with wurtzite structure, which has attracted much attention as a visible light source. Thus, the novel method, laser ablation in superfluid helium, should be applicable to many materials, not only oxides but non-oxides, regardless of the crystal structure, e.g. wurtzite or cubic.
10:30 AM - T1.04
Control of Population Dynamics in SML Stacks by Spatially Coupling to Stranski-Krastanov Quantum Dots Based on InAs/GaAs
Thomas Switaiski 1 Jan-Hindrik Schulze 2 Dorian E. Alden Angeles 2 Tim David Germann 2 Andre Strittmatter 2 Axel Hoffmann 2 Udo W. Pohl 2 Ulrike Woggon 1
1TU Berlin Berlin Germany2TU Berlin Berlin Germany
Show AbstractRepetitive deposition of ultrathin InAs submonolayers (SML) separated by GaAs matrix material results in an SML stack that provides 0D recombination centers embedded in a 2D background layer which is due to agglomeration and segregation of indium during the growth process. Controlling the vertical distance between single InAs SML depositions allows tuning of the SML stack recombination energy inside the near infrared spectral range. For example, this would allow tuning of the small inhomogeneously broadened SML density of states to be resonant with a laser resonator mode.
We present a system consisting of an SML stack grown on top of a layer of InAs Stranski-Krastanov grown quantum dots (SK QDs) that are separated by a spacer of thickness d. Using spacers in the range of a few nanometers enable the coupling of both nanostructured systems. Energy resonance of SK QDs excited states and SML stack states allows an energy transfer which introduces an additional decay channel for carriers which are localized inside the SML-stack. Thus, there are mainly two concurrent decay processes for the SML carriers at low temperature: first the radiative recombination, and second the transfer into the quantum dots with time constant tau;SML-QD. We have investigated and found evidence for the impact of this coupling using time-integrated photoluminescence and time-resolved measurements. The influence of this coupling becomes clearly visible in the excitation density dependent behavior of the SML PL, it is observed directly in PLE measurements and dominates the SML PL recombination dynamics.
The observed PL dynamics cannot be simply described by the superposition of exponential decays, as saturation effects due to state blocking in the SK QDs are present. Therefore, a rate equation system (RES) taking into account Pauli-blocking of QD states is essential for the description of the dynamics. We present a model which is used to describe our experimental data and to derive the time constant tau;SML-QD of the charge carrier transfer process from the SML into the SK QDs, which exhibit a strong dependence on the spacer thickness d.
10:45 AM - T1.05
Whispering-Gallery-Mode Lasing from GaN Microdisks Grown on Graphene Microdots
Hyeonjun Baek 1 Chul-Ho Lee 1 2 Kunook Chung 1 Gyu-Chul Yi 1
1Seoul National University Seoul Republic of Korea2Columbia University New York USA
Show AbstractThe fabrication of optoelectronic devices on unconventional substrates such as silicon, amorphous glass, plastics, and metals would permit the creation of large-sized flexible displays and complex optoelectronic circuits. In particular, monolithic integration of compound semiconductor photonic devices with silicon (Si)-based electronic circuits would create a new field combining electronics with photonics, facilitating intra- and inter-chip communications. Accordingly, tremendous efforts have been made related to direct growth of films on such substrates. However, those films have been of poor quality because of mismatches in lattice constants and thermal expansion coefficients between the film and the substrates. This has made it difficult to fabricate sophisticated devices such as a laser that requires significantly better material quality. The challenging issue facing heteroepitaxial growth can be resolved using an appropriate intermediate layer. Recently, intermediate layers, such as titanium and graphene, were used to improve the quality of gallium nitride (GaN) thin films grown on amorphous substrates, which enabled the fabrication of light-emitting diodes. For sophisticated devices such as a laser, however, better structural and optical qualities of the materials prepared on graphene films are still required.
Here, we present a novel approach to grow high-quality GaN microdisks on graphene dots via epitaxial lateral overgrowth (ELOG) for laser applications. Highly crystalline GaN microdisks having hexagonal facets were grown on amorphous silicon oxide layers formed on Si using micro-patterned graphene films as a nucleation layer. Cathodoluminescence spectroscopy and transmission electron microscope analyses showed that ELOG of GaN enhanced the material quality. The microdisk having hexagonal facets showed whispering-gallery-mode lasing with a Q-factor of 1200 at room temperature. The approach presented here for growing high-quality GaN microdisks, even on an amorphous silicon oxide layer, using patterned, transferable graphene films offers a promising and general route to fabricating high-quality light sources and photovoltaic and electronic devices on various substrates.
11:30 AM - T1.06
Nanogenerators as Active Sensors for Variable Practical Applications
Long Lin 1 Fengru Fan 1 Ya Yang 1 Rui Zhang 1 Youfan Hu 1 Qingshen Jing 1 Zhong Lin Wang 1 2
1Georgia Institute of Technology Atlanta USA2Chinese Academy of Sciences Beijing China
Show AbstractSensor networks play a key role in various fields, including health/environment monitoring, defense technology, and artificial skins. Traditional wireless sensors require a battery as a power source, which might lead to problems such as adding weight to the whole system, limited life time, high cost for replacement, or potential hazard to the environment. To solve these problems, the battery-free self-powered sensor, which could scavenge energy from the environment as the power source, is highly desirable. In this regard, our group discovered that the nanogenerators could serve as active sensors to actively detect the mechanical vibrations without using a battery. By active sensor we mean that the sensor automatically gives an electric output signal without applying an external power source, which can be used to directly quantify the mechanical triggering applied onto the nanogenerator.
Here in this paper, we introduce the up-to-date progress on the explorations of active sensors based on both piezoelectric and triboelectric nanogenerators. We fabricated the piezoelectric nanogenerators based on ZnO nanowires grown on flexible PDMS or PVDF substrates by the wet chemical approach. Its applications were demonstrated for transportation monitoring and ambient wind velocity detection. Moreover, the ZnO nanowires could even be grown on an elastic spring component to function as a self-powered balance for active weight measurement. On the other hand, the triboelectric nanogenerator was fabricated based on micro-patterned polymers. The power generation of the pyramid-featured device far surpassed that exhibited by the unstructured films, and gave an output voltage of up to 18 V at a current density of ~0.13 uA/cm2. Furthermore, the as-prepared nanogenerator can be applied as a self-powered pressure sensor for sensing a water droplet (8 mg) and a falling feather (20 mg). The triboelectric nanogenerator could also be employed to actively detect the ambient magnetic field.
Reference:
Nano Energy, 2013, 2(1), 75-81;
Energy and Environmental Science, 2012, 5(9), 8528-8533;
Energy and Environmental Science, 2013, 6(4), 1164-1169;
Nano Letters, 2012, 12(6), 3109-3114;
ACS Nano, 2012, 6(11), 10378-10383.
11:45 AM - T1.07
Production and Biofunctionalization of Elongated Semiconducting Nanocrystals for Ex-Vivo Applications
Tobias Jochum 1 Daniel Ness 1 Katja Werner 1 Jan Niehaus 1 Horst Weller 1 2
1CAN GmbH Hamburg Germany2University of Hamburg Hamburg Germany
Show AbstractNewly generated, heterostructured semiconducting nanocrystals (NCs) of mixed dimensionality like CdSe/CdS dot-rod structures (DRs) have an enormous potential as biological markers. These DRs feature high photoluminescence quantum yield (QY) achieving values up to 80% and giant extinction coefficients resulting in an improved brightness. The two-photon absorption cross-section of these elongated NCs is increased compared to spherical quantum dots.
A high quality CdSe core with wurtzite crystalline structure is essential for a successful DRs synthesis. Consequently the need of a reliable and reproducible CdSe core production is required, which can&’t be fulfilled with traditional batch methods. Therefore, we have developed a continuous flow synthesis approach to produce these CdSe cores. This method guarantees automation, reproducibility and control over the nanoparticles properties. The reactor set-up is split into two different sections to mimic the classical hot-injection methodology: On the one hand there is a microfluidic mixing chamber for nucleation, which can be heated up to 350 °C. On the other hand there is a growth oven, in which the NC&’s size can be tailored by adjusting the flow speed and temperature.
Furthermore the biofunctionalization of these DRs will be shown, too. The phase transfer approach is based on an amphiphilic PI-PEO diblock polymer generating a micelle formation around the NCs. This results in QY values up to 70% in water and features extraordinary fluorescence stability.
12:00 PM - *T1.08
Semiconductor Nanowires for Optoelectronic Device Applications
Chennupati Jagadish 1
1The Australian National Univ Canberra Australia
Show AbstractRecently developed high aspect ratio (1D) semiconductor nanostructures, such as nanowires, have been proposed to be a potential next generation technology, envisioned as providing a nanoscale framework of both interconnects and functional elements for the ‘bottom up&’ approach. With reliable synthesis routes now established, a number of nanowire based electronic and optoelectronic devices have been demonstrated including field-effect transistors, lasers, photodetectors, single electron memory and solar cell devices. In this talk, I will review some challenging issues related to the growth and characterization of III-V semiconductor nanowires grown by metal-organic chemical vapor deposition using Au as catalyst to understand the growth mechanism and their effects on optical properties. In addition, I also show some results from our prototype nanowire devices including solar cell and laser devices.
In summary, we achieved precise control over crystal structure either in ZB or WZ phases for GaAs and InAs nanowires, by carefully tuning the growth parameters. Vertically aligned pure ZB nanowires were achieved using a low growth temperature combined with a high V/III ratio. On the other hand, a high growth temperature combined with a low V/III ratio produced pure WZ nanowires. This tunability of ZB and WZ structures not only will enhance the performance of nanowire devices but also opens new possibilities for engineering nanowire devices.
Due to the large surface-to-volume ratio inherent to nanowires, non-radiative carrier recombination has dominated in GaAs core-only nanowires. Coating the GaAs nanowire core with an AlxGa1-xAs shell can greatly reduce the surface states of GaAs nanowires and nearly intrinsic exciton lifetimes have been obtained at low temperature. Our recent study has also demonstrated a minority carrier lifetime of 1.5 ± 0.43 ns at room temperature.
Modeling of Nanowire lasers has also been carried out by calculating the threshold gain for nanowire guided modes as a function of nanowire diameter and length. Based on these calculations, we have optimized the structure design for nanowire laser devices. The prototype GaAs/AlGaAs nanowire laser devices were fabricated and optically pumped laser operation was demonstrated at room temperature.
The prototype solar cell device exhibited a spectrally broad photo-response and the J-V characteristics clearly show its photovoltaic properties. A power conversion efficiency of 3.56% was obtained, which is a good value for GaAs nanowire-based solar cell devices. We also developed a technique based upon two-photon induced photocurrent that provides a submicrometer resolution, three-dimensional mapping of photocurrent in these devices.
Acknowledgments: This research is supported by the Australian Research Council and Australian National Fabrication Facility established under Australian Government NCRIS Program.
12:30 PM - T1.09
Vapor-Liquid-Solid Growth of Serrated GaN Nanowires: Shape Selection Driven by Kinetic Frustration
Moneesh Upmanyu 1 Latika Menon 2
1Northeastern University Boston USA2Northeastern University Boston USA
Show AbstractCompound semiconducting nanowires are promising building blocks for several nanoelectronic devices yet the inability to reliably control their growth morphology is a major challenge. Here, we report the Au-catalyzed vapor-liquid-solid (VLS) growth of GaN nanowires with controlled growth direction, surface polarity and surface roughness. We develop a theoretical model that relates the growth form to the kinetic frustration induced by variations in the V(N)/III(Ga) ratio across the growing nanowire front. The model predictions are validated by the trends in the as- grown morphologies induced by systematic variations in the catalyst particle size and processing conditions. The principles of shape selection highlighted by our study pave the way for morphological control of technologically relevant compound semiconductor nanowires.
12:45 PM - T1.10
Enhanced Performances of GaN Nanobelt Based Photodetector by Piezo-Phototronic Effect
Ruomeng Yu 1 Caofeng Pan 2 Youfan Hu 1 Zhong Lin Wang 1 2
1Georgia Tech Atlanta USA2Beijing Institute of Nanoenergy and Nanosystems, Chinese Academy of Sciences Beijing China
Show AbstractGaN, as a direct wide band gap semiconductor, has become an ideal choice for fabricating ultraviolet (UV) photo detectors (PDs) due to its chemical stability of being able to work in harsh environments where UV detection needed. After decades of investigations, Schottky-contacted GaN based UV PDs, whose performances are controlled by the Schottky Barrier Height (SBH) at local contact, have been proved excellent with high sensitivity, impressive responding and reset time as well as good detection limit. Piezo-phototronic effect provides an effective way to tune the SBH at metal-semiconductor (MS) interface by utilizing the piezopotential produced inside the piezoelectric nanostructures when under externally applied strains, which can work as a strain “gate” to control the electron transport process of the devices.(1) In this work, piezo-phototronic effect was employed to tune the SBH and hence enhance the performances of Schottky-contacted metal-semiconductor-metal (MSM) structured(2) GaN nanobelt (NB) based PDs. In general, the response level of PDs was obviously enhanced by piezo-phototronic effect when applying strains on devices. The optimized external strain, indicating an optimal SBH at MS contact, was found to be -0.53%, under which the responsivity of the PD was increased by 18%. Moreover, the sensitivity of GaN NB based PDs was enhanced by from 22% to 31% under a -0.53% compressive strain, when illuminated by 325 nm laser of light intensity ranging from 12 W/cm2 to 2 W/cm2. Carefully studying the mechanism using band structure diagrams reveals that the observed optoelectronic behavior were resulted from the change of SBH caused by external strains as well as light intensity. This work provides an applicable way by using piezo-phototronic effect to enhance the performances of PDs made of not only GaN, but also other wurzite family materials.
References:
1. Wang, Z. L. Progress in Piezotronics and Piezo-Phototronics. Adv Mater 2012, 24, (34), 4632-4646.
2. Dong, L.; Niu, S. M.; Pan, C. F.; Yu, R. M.; Zhang, Y.; Wang, Z. L. Piezo-Phototronic Effect of CdSe Nanowires. Adv Mater 2012, 24, (40), 5470-5475.
Symposium Organizers
L. Douglas Bell, Jet Propulsion Laboratory
F. (Shadi) Shahedipour-Sandvik, University at Albany - SUNY
Kenneth A. Jones, Army Research Laboratory
Andrew Clark, Translucent Inc.
Kenji Ohmori, University of Tsukuba
Symposium Support
Army Research Office
T5: III-Nitrides II: Optical Devices
Session Chairs
F. (Shadi) Shahedipour-Sandvik
Russell Dupuis
Tuesday PM, December 03, 2013
Hynes, Level 2, Room 202
2:30 AM - T5.01
Low-Threshold Optically Pumped AlGaN-Based Deep-UV Multiple-Quantum-Well Lasers Grown by MOCVD
Russell Dupuis 1 Yuh-Shiuan Liu 1 Tsung-Ting Kao 1 Zachary Lochner 1 Xiaohang Li 1 Mahbub Satter 1 Jae-Hyun Ryou 1 3 Theeradetch Detchphrom 1 Shyh-Chiang Shen 1 P. Douglas Yoder 1 Yong Wei 2 Xie Hongne 2 Alec Fischer 2 Fernando Ponce 2
1Georgia Institute of Technology Atlanta USA2Arizona State University Tempe USA3University of Houston Houston USA
Show AbstractUltraviolet (UV) emitters have recently come to the forefront of development for a number of applications including water purification, food sanitation, bio-agent detection, optical memory storage, and medical sterilization. Mature UV light sources such as dye lasers, Nd:YAG with quadruple frequency, and excimer lasers suffer from several disadvantages including, containing toxic materials, a large footprint, high power consumption, and extreme fragility. Thus a compact, rugged, and efficient semiconductor-based alternative is desirable. The wide-bandgap III-nitride material system, specifically AlN and its composites: AlGaN and AlInGaN, can access the entire ultraviolet spectral range including near (320-400 nm), middle (280-320 nm), and deep-UV (200-280 nm). A deep-UV laser is a particularly sought after goal, however stimulated emission has been elusive due to the technical challenges that arise as the bandgap is widened with increasing aluminum mole fraction, such as lower free-carrier concentrations and higher defect densities. In addition, as the lasing wavelength reduces, threshold power for laser action increases due to the rate of spontaneous emission increases as 1/lambda;3.
In this study, we employed c-plane (0001) AlN substrates for the metalorganic-chemical-vapor deposition (MOCVD) growth of AlGaN/AlN heterostructures at ~ 1130-1250 °C. The use of a native substrate allows us to grow a homoepitaxial AlN buffer layer that significantly reduces the number of defects. It also eliminates the difference in thermal conductivity encountered in heteroepitaxial growth, limiting crack formation during high-temperature growth and cool down. Each of these defects functions as trap which inhibits radiative process, and thus reducing their density reduces the threshold power required for lasing.
In this talk, we will describe AlGaN/AlN multiple-quantum-well structures specifically optimized for optical pumping studies operating at room temperature. The layer structure typically consists of ~eight 3 nm Al0.6Ga0.4N quantum wells with 6 nm Al0.75Ga0.25N quantum barriers between a 200 nm AlN buffer layer and 8 nm AlN cap layer. After thinning, the wafer is cleaved along m-plane to form laser bars with a cavity length of ~1 mm. The laser bars were then optically pumped using a 193 nm ArF excimer laser with a pulse width of 20 ns at a repetition rate of 10 Hz. The peak wavelength of the laser emission spectrum was measured as 245.3 nm with the threshold power density 297 kW/cm2. One of the facets is then coated with 6 pairs of SiO2/HfO2 forming high reflection coating which has reflectivity of 99.8% at 245 nm. The threshold power density is further reduced to 190 kW/cm2. This presentation will discuss the material and optical properties of deep-UV optically pumped lasers.
2:45 AM - T5.02
Development of Removal Free Vertical Deep Ultraviolet Light Emitting Diode (Ref-V-DUVLED) Using AlGaN Nitride Semiconductors on Si+(111) Substrate
Noriko Kurose 1 Kentaro Shibano 2 Tsutomu Araki 2 Yoshinobu Aoyagi 1
1Ritsumeikan University Kusatsu, Japan2Ritsumeikan University Kusatsu Japan
Show AbstractVertical type deep ultraviolet (DUV) light emitting device (LED) is promising device for large area and high power operation of LED in DUV wavelength region. So far, a couple of reports to realize this vertical DUVLED are published in which the substrate of sapphire is removed using laser removal technique. In this technique damages introduced in the epitaxial layer during the laser removal of the substrate is a problem to be overcome. We have succeeded in fabricating removal free vertical type DUVLED (Ref V-DUVLED) using AlGaN nitride semiconductors grown on n+ Si(111) substrate for the first time. This Ref V-DUVLED does not need to remove the sapphire substrate from the epitaxial layers and the device fabrication processes are extremely simple.
Crack free n-AlGaN is successfully grown on n+Si substrate using a new technique to relax the stress in n-AlGaN in which holes with submicron size are spontaneously formed in n-AlN layer grown on n-AlN buffer layer and holes are flattened using successive growth of the n-AlN layer. AlGaN multi-quantum wells epitaxial layer, carrier blocking layer and p-AlGaN and p-GaN contact layer are successively grown on the n- AlGaN. The half width of X-ray diffraction spectrum for (002) direction for AlGaN grown was 830sec. In this device any photo lithography processes and partial deep etching of the device to get n-contact which is indispensable for horizontal type conventional LED are not necessary and the device fabrication process is extremely simple. Large area, high power and low cost production of DUV LED are expected. Our device is designed to emit the light at around 310nm. We have succeeded in observing super linear current voltage (I-V) characteristics which show us the good formation of p-n junction and super linear light intensity (L) increase against the injection current (I) in I-L performance in our device. The strong emission of light from the device was observed using video camera. Details will be reported in the conference.
3:00 AM - T5.03
Polarized InGaN Green Light Emitting Diode by Integrating Bilayer Nanowire-Grid Polarizer
Liyuan Deng 1 Soo Jin Chua 1 2 Jing Hua Teng 2
1National University of Singapore Singapore Singapore2Institute of Materials Research and Engineering, Agency for Science, Technology and Research (A*STAR) Singpaore Singapore
Show AbstractIn the past decades, there have been considerable efforts in improving quality and reducing cost of GaN-based light emitting diodes (LEDs), which have successfully led to their commercialization in various areas such as solid-state lighting, large-panel display and back lighting for liquid crystal displays (LCD), with the advantages of high brightness, low power consumption and long lifetime. At the same time, special- functional LEDs such as LEDs with polarized light emission are also highly desirable for their potentials in making display and imaging systems more compact and robust.
In this work, we demonstrate a novel way to achieve highly polarized light emission directly from InGaN/GaN multiple quantum well (MQW) green LEDs by fabricating a bilayer nanowire-grid polarizer at the backside of LED chips. The bilayer nanowire-grid polarizer consists of two spaced subwavelength metallic gratings, which is fabricated through depositing aluminium onto a SiO2/Si3N4 subwavelength dielectric grating formed by plasma-enhance chemical vapour deposition (PECVD), electron-beam lithography (EBL) and reactive plasma etching (RIE). During metal deposition, Al falls on both ridge and trench of the dielectric grating, naturally forming two spaced metallic gratings. Measurement shows that light emitted from backside of sapphire is highly polarized. Two-dimensional finite-difference time-domain (FDTD) analysis is performed to fully examine the polarization behavior of bilayer nanowire-grid polarizer. It is shown that bilayer polarizer renders a better polarization performance than its single-layer counterpart. In bilayer polarizer, two metal layers spacing affects the polarization performance. The method presented for making polarized LED is completely compatible with semiconductor processing. By utilizing nano-imprinting lithography instead of EBL, it can easily be transferred to mass production.
3:15 AM - T5.04
Suppression of Auger-Stimulated Efficiency Droop in Nitride-Based Light Emitting Diodes
Roman Vaxenburg 1 Efrat Lifshitz 1 Alexander L. Efros 2
1Technion - Israel Institute of Technology Haifa Israel2Naval Research Laboratory Washington USA
Show AbstractUtilization of nitride-based semiconductors in high-power lighting applications, such as light emitting diodes (LEDs) and lasers, is currently hindered by the presence of the droop phenomenon, manifested as a significant reduction of the device's light extraction efficiency in a wide range of practically important injection currents. Non-radiative Auger recombination is considered as one of the mechanisms responsible for the efficiency droop effect. Indeed, the Auger processes are greatly enhanced in quantum-confined systems, e.g. quantum wells, due to the lift of the momentum conservation requirement. We calculate [1] the rate of nonradiative Auger recombination in InGaN/GaN quantum wells with rectangular and smooth confining potentials. The calculations show that the rate of Auger recombination in rectangular quantum wells is sufficiently high to explain the efficiency droop in nitride-based LEDs. This rate, however, can be reduced by softening of the confining potential, and a three-fold suppression is demonstrated in the quantum wells studied. The calculations also show that piezoelectric fields accelerate the Auger recombination. The suppression of the Auger processes via softening of the confining potential, and/or compensation of the piezoelectric field, improves LED radiative efficiency and reduces the droop effect, as we show using the standard recombination (ABC) model.
[1] R. Vaxenburg, E. Lifshitz, and Al. L. Efros, Appl. Phys. Lett. 102, 031120 (2013)
3:30 AM - T5.05
Piezo-Phototronic Effect on Electroluminescence Properties of P-Type GaN Thin Films
Youfan Hu 1 Yan Zhang 1 Long Lin 1 Yong Ding 1 Guang Zhu 1 Zhong Lin Wang 1
1Georgia Institute of Technology Atlanta USA
Show AbstractThe core idea of piezo-phototronics is that the inner-crystal piezopotential can effectively tune/control the carrier generation, transport, separation and/or recombination processes at the vicinity of a p-n junction or metal-semiconductor interface, and thus the electro-optical processes. We found that the electroluminescence (EL) properties of Mg- doped p-type GaN thin films can be tuned by the piezo-phototronic effect via adjusting the minority carrier injection efficiency at the metalminus;semiconductor (Mminus;S) interface by strain induced polarization charges.
Transparent ITO electrodes were fabricated on Mg-doped p-type GaN thin films to form a metalminus;semi- conductorminus;metal (Mminus;Sminus;M) structure. When a constant voltage was applied, under different straining status, the current passing through the GaN film was increased or decreased step by step depending on the orientation of the c-axis of the film. Such a result is due to the tuning of the Schottky barrier height at the Mminus;S contacts by strain induced local piezoelectric charges. The intensity of the EL emission from the Mminus;S interface under the electrode was also modulated by the piezoelectric charges around this area under strain via adjusting the minority carrier injection efficiency. An extreme value for the integral EL intensity was observed. The external quantum efficiency of the blue EL at 430 nm was changed by 5.84% under different straining conditions, which is 1 order of magnitude larger than the change of the green peak at 540 nm. The results indicate that the piezo- phototronic effect has a larger impact on the shallow acceptor states related EL process than on the one related to the deep acceptor states in p-type GaN films. This study has great significance on the practical applications of GaN in optoelectronic devices under a working environment where mechanical deformation is unavoidable such as for flexible/printable light emitting diodes.
3:45 AM - T5.06
P-type Doped 2D/3D Graphene-Based Transparent Conductive Electrodes in GaN-Based LEDs
Byung-Jae Kim 1 Michael A. Mastro 2 Jihyun Kim 1
1Korea University Seoul Republic of Korea2US Naval Research Laboratory Washington USA
Show AbstractRecently, graphene-based transparent conductive electrodes have been widely researched as an alternative of Indium Tin Oxide (ITO) film because ITO has low transmittance in UV region, chemical / mechanical instability, high Indium price and limited reserves of Indium. Graphene has very high thermal and electrical conductivity, good chemical / mechanical stability, superior mobility and high transmittance in UV to IR spectral region. However, graphene-based transparent conductive electrode still has some issues such as high sheet resistance and high contact resistance.
Firstly, we fabricated 2-dimensional (2D) few-layer graphene transparent conductive electrode on UV LEDs with AlGaN/GaN/AlGaN single quantum well (SQW). UV LEDs with 2D graphene-based transparent conductive electrode successfully emitted a bright electroluminescence at a peak wavelength of 363 nm. Then, UV LED devices were dipped in 5mM, 10mM and 20mM AuCl3 solution to achieve p-type doping of graphene layers. In our experiments, sheet resistance of the doped graphene film drastically decreased from 466 Omega;/sq to 175, 158 and 112 Omega;/sq after p-type doping by 5mM, 10mM and 20mM AuCl3 solution, respectively. However, the transmittance of graphene film after p-type doping by 20mM AuCl3 solution slightly decreased from 87% to 82%. Also, the p-type doping effects of graphene film were measured by micro-Raman spectra, scanning electron microscopy, current-voltage (I-V) characteristics and optical emission images. At an injection voltage of 8V, the currents of UV LEDs after 5mM, 10mM and 20mM AuCl3 doping were increased by 48.15%, 63.26% and 73.65%, respectively. However, the emitted light in case of UV LEDs after 10mM AuCl3 doping was the brightest due to the trade-off between transmittance and sheet resistance.
Secondly, we fabricated three-dimensional (3D) graphene-foam-based transparent conductive electrodes on blue LEDs with GaN/InGaN/GaN multi quantum wells (MQWs). 3D graphene structures have some advantages such as low mass density, large surface area, good mechanical stability and high thermal and electrical conductivities. Transmittance of 3D graphene foam at 438 nm was slightly low such as 71%. However, sheet resistance of 3D graphene foam (800 Omega;/sq) was improved compared with 2D graphene films (1500 Omega;/sq). The forward voltage and the light output power at an injection current of 100 mA of blue LEDs with 3D graphene-foam-based transparent conductive electrode were increased by 26% and 14%, respectively. Then, graphene-foam was p-type doped by using HNO3 vapor. Transmittance of 3D graphene-foam after HNO3 doping was only decreased by 2%. However, the sheet resistance of 3D graphene-foam after HNO3 doping was largely decreased. Then, the effects of 3D graphene-based transparent conductive electrodes after p-type doping were measured by I-V characteristics, optical emission images and micro-Raman spectra. The details about experiments and results will be presented.
4:30 AM - T5.07
First Principles Investigation of Water Adsorption and Charge Transfer on III-V(110) Semiconductor Surfaces
Kristen S. Williams 1 Joseph L. Lenhart 1 Jan W. Andzelm 1 Sumith V. Bandara 2 Neil F. Baril 2 Nathan C. Henry 2 Meimei Z. Tidrow 2
1U.S. Army Research Laboratory Aberdeen Proving Ground USA2CERDEC Ft. Belvoir USA
Show AbstractWe report a DFT/GGA study of water adsorption and charge transfer at the relaxed (110) surfaces of several III-V binary semiconductors: GaAs, GaSb, InAs. Our calculations are the first theoretical proof that adsorption of dissociated water changes the (110) surface structure at the semiconductor/water interface. Specifically, the characteristic III-V bond rotation through an angle omega; ~ 30° is reversed. We find that the buckled III-V bond at the semiconductor/water interface rotates ~11° into the surface. Only dissociated water on the III-V(110) surface leads to this pseudo-unrelaxed configuration. We calculate geometries and reaction energies for several different adsorption mechanisms and find that molecular adsorption is the most favorable. However, molecular and dissociative adsorption on GaAs(110) are energetically competitive at coverages ~1 ML. We are able to reproduce binding configurations and energies for known adsorption sites on GaAs(110), but we also show new calculations for water on GaSb(110) and InAs(110). Lastly, we calculate the shifts in work function due to adsorbed water and show these maximize at Θ ~ 1 ML water. This trend is consistent with previous experimental work on the GaAs(110)/water interface. Analysis of the partial charges and electron density reveal that adsorption of a full monolayer polarizes the (110) surface. We find charge transfer from both the group III and V atoms to the adsorbed species (OH and H groups) as well as back transfer from group V to group III. There are thus several water-induced changes to the structural and electronic characteristics of the III-V(110) surface.
4:45 AM - T5.08
Optimization of the AlN Thickness for the Polarization Engineered Photocathode Consisting of Only N-Type Nitrides for Water Splitting
Akihiro Nakamura 1 Katsushi Fujii 2 Masakazu Sugiyama 1 Yoshiaki Nakano 1
1the University of Tokyo Tokyo Japan2the University of Tokyo Tokyo Japan
Show AbstractHydrogen generation by photoelectrochemical (PEC) water splitting is an attractive approach to store the solar energy. III-Nitride semiconductors are promising materials as a photoelectrode because of their wide range of band gap energies and their ability to split water without external bias. However, an n-type GaN photoelectrode has short lifetime due to anodic corrosion and a p-type one is suffered from high resistivity due to the deep Mg acceptor level.
We proposed an undoped GaN/AlN/n-type GaN structure for the nitride photoelectrode. This novel structure works as a photocathode due to the existence of high electric field induced by polarization charge though it consists of only n-type semiconductors, which makes it possible to avoid the problems mentioned above. Since the cathodic photocurrent in the novel structure is based on the band-to-band tunneling between the n-type GaN and undoped GaN, the valence band edge energy in the undoped GaN must be higher than the conduction band edge energy of the n-type region, i.e., the internal bias across the AlN must be larger than the band gap of the GaN at the turn on of the photocurrent. Thus, the AlN thickness is a very important parameter in this structure. In this work, we focused on the optimization of the AlN layer thickness on the novel structure.
We prepared the undoped GaN/AlN/n-type GaN structure with different AlN thickness of 2.9, 3.7, 4.5, and 5.4 nm by MOCVD to check the effect of the AlN thickness. The prepared samples showed clearly saturated and several times larger cathodic photocurrent compared to that of p-GaN under Xe lamp illumination [1]. The turn on voltage of the photocurrent shifted to positive direction with increasing the thickness of the AlN layer up to 4.5 nm and the sample with 5.4 nm thick AlN layer showed relatively negative turn on voltage.
The polarization charges, which are essentially independent of the AlN layer thickness, generate almost constant electric field in the AlN layer unless 2-dimentional electron/hole gas is formed. A thicker AlN layer, therefore, basically generates a larger potential difference inside, which is preferable from the point of the more positive turn on voltage. A thicker AlN layer, however, impedes tunneling and is difficult to grow without relaxation which decreases the piezoelectric polarization. The decrease in turn on voltage for the sample with 5.4 nm thick AlN should be attributed from the partial relaxation. We can conclude that the polarization engineered structure has an optimum AlN layer thickness of around 4.5 nm.
[1] K. Fujii and K. Ohkawa, Jpn. J. Appl. Phys. 44, L909-L911 (2005).
5:00 AM - T5.09
Achieving >20% Efficiency Using a Vacuum Thermionic Energy Converter Featuring a III-Nitride, Negative Electron Affinity Anode
Joshua Ryan Smith 1
1United States Army Research Laboratory Adelphi USA
Show AbstractA vacuum thermionic energy conversion device (TEC) efficiently converts heat to electricity. Most work on vacuum thermionics in recent years has focused on improving the device performance by increasing emission from a cathode material but little attention has been directed towards improving the anode material. While improved emission is a welcome development, increasing cathode emission produces diminishing returns in power and efficiency without concurrent improvement in collector properties. The III-nitride materials (GaN, AlGaN, AlN) are good candidates as the anode material of a TEC. These materials are commonly used in electronic devices, are used in industry, have mature contact technology, high mobility, established fabrication techniques, and in some cases exhibit negative electron affinity (NEA).
In this investigation, the electron transport across a TEC featuring an III-nitride NEA anode was modeled as a Vlaslov-Poisson system. The model was implemented in python as a library based on the scientific python computing stack. Devices were simulated featuring a high-emission scandate cathode and various III-nitride materials as anode. It is shown that the NEA of the anode mitigates a long-standing challenge faced by thermionics: the negative space charge effect. This effect is when emitted electrons block subsequent electrons and result in a self-limiting current. By reducing, and in some cases eliminating, the space charge by utilizing the NEA of these materials, my calculations show that efficiencies of >20% at 1kW output power can be achieved when the cathode is operating at 1000K and the anode is at room temperature.
5:15 AM - T5.10
Permanent NEA in Cs-Free AlGaN/GaN Photocathodes
Jonathan Marini 1 Puneet Suvarna 1 Jeff Leathersich 1 Isra Mahaboob 1 L. D. Bell 2 Shouleh Nikzad 2 John Hennessy 2 F. (Shadi) Shahedipour-Sandvik 1
1University at Albany, State University of New York Albany USA2California Institute of Technology Pasadena USA
Show AbstractIII-Nitride based photocathodes have been the subject of much research for applications including astronomy, UV detection, and image intensifiers. In order to achieve high quantum efficiency (QE), negative electron affinity (NEA) must be achieved. NEA is conventionally reached via surface cesiation which requires special in-situ fabrication steps, including cleaning and activation in vacuum and sealed-tube packaging, due to the high chemical activity of the Cesium. Photocathodes using this technology have been reported to suffer from degradation over time in addition to chemical instability as a result of this surface treatment. The surface treatment also serves to negate some of the beneficial properties of GaN, such as its low chemical reactivity.
Recent work has been performed to take advantage of the spontaneous and piezoelectric polarization exhibited by III-Nitride materials in order to achieve permanent NEA in AlGaN-based photocathode structures without surface treatment. In our previous work we reported a novel Cs-free GaN photocathode based on Si-delta doping. Here we report on Cs-free AlGaN/GaN based photocathodes that show permanent NEA without the use of delta doping and thus show potential for higher quantum efficiencies. Several physics-based device designs have been studied to quantify the effect of polarization charge at surfaces and interfaces on device band structure alignment as well as emission threshold and QE. A variety of designs have been considered including p GaN or AlGaN-based as well as with inclusion of a GaN cap. Simulations were performed to investigate and optimize the effects of various designs as well as the impact of layer doping and concentration. Based on the simulation results, devices have been deposited using MOCVD techniques and tested by AFM and photoemission to evaluate their morphology, threshold, and QE properties. We will discuss our simulation and experimental results for device optimization and characterization.
5:30 AM - T5.11
Device Designs for High Performance III-N Avalanche Photodiodes
Puneet Suvarna 1 Jeffrey M Leathersich 1 Jonathan Marini 1 F. (Shadi) Shahedipour-Sandvik 1 L. Douglas Bell 2 John Hennessy 2 Shouleh Nikzad 2
1State University of New York Albany USA2California Institute of Technology Pasadena USA
Show AbstractAlxGa1-xN III-N Avalanche photodiodes (APDs) have long been sought after for applications in ultraviolet imaging, missile warning systems , biological agent detectors , flame sensors and non line of sight secure communication systems. The wide direct bandgap of the III-N material system lends superior properties like high quantum efficiency , radiation hardness , solar blindness to photodetectors made of this material. However advancements in epitaxial growth, device design and device fabrication are needed to be able to realize high performance and high reliability III-N APDs.
The high cost and lack of availability of bulk substrates makes attractive the use of sapphire as substrates for III-N devices. The transparency of the substrate to the solar-blind UV wavelengths also makes it suitable for back illuminated photodetectors. The presence of crystalline defects and the field stress due to the high voltages needed for avalanche multiplication present reliability and performance problems for III-N APDs.
III-N MOCVD is employed for the growth of these devices on sapphire substrates and the interplay between growth conditions , material properties and device performance is studied. Various device designs with different layer stacks and side wall profiles are evaluated using 1D and 2D physics based simulations in Sentaurus TCAD. Device arrays with vertical mesa geometry , and diameters ranging from 20 to 150microns are fabricated and characterized for dark current , gain , spectral responsivity; and their relationship with MOCVD growth conditions , device design and process conditions are explained.
5:45 AM - T5.12
Atomic-Layer Deposition for Improved Performance of III-N Avalanche Photodiodes
L. Douglas Bell 1 John Hennessy 1 Shouleh Nikzad 1 Puneet Suvarna 2 Jeffrey M. Leathersich 2 Jonathan Marini 2 F. (Shadi) Shahedipour-Sandvik 2
1California Institute of Technology Pasadena USA2University at Albany Albany USA
Show AbstractAvalanche photodiodes (APDs) are an attractive replacement for conventional high-gain UV detectors such as photomultiplier tubes or photocathode/microchannel plate systems. These devices have important applications for sensor systems which must detect an ultraviolet signal in the presence of a large visible background. Because of the bulk, fragility, cost, and high-voltage requirements of conventional technologies, an all-solid-state alternative is highly desirable.
III-N APDs are one candidate for next-generation UV detectors. Due to the wide band-gap of AlGaN materials, visible-blind operation of detectors and imagers can be achieved. Visible-blind detectors are important in both astronomical and terrestrial settings. However, materials challenges remain in order for III-N APDs to replace conventional technologies. Material quality and surface passivation strongly affect the contribution of undesirable current components such as those produced by defect-related microplasmas. In order to achieve material-limited operation, surface properties must be optimized.
We have investigated surface modification methods for APD devices using dielectrics grown by Atomic-Layer-Deposition (ALD). ALD offers precise monolayer control of thin films, due to the self-limiting nature of the atomic layer growth. ALD can be used to deposit a wide range of materials and can produce pinhole-free, conformal layers even for complex substrate geometries.. We will present device results for III-N APDs with ALD passivation, including Al2O3 and SiO2. Arrays of device mesas were fabricated, and ALD was used for sidewall passivation prior to completing the APD array. Results will be compared with devices using conventional layers produced by plasma-enhanced chemical vapor deposition.
T6: Poster Session II
Session Chairs
Tuesday PM, December 03, 2013
Hynes, Level 1, Hall B
9:00 AM - T6.01
Multiphonon Raman Scattering in He-Irradiated InGaN Alloys
Nuria Domenech-Amador 1 Ramon Cusco 1 Luis Artus 1 Eric Garcia Hemme 2 Rodrigo Garcia 2 German Gonzalez-Diaz 2 Jateen Gandhi 3 Abdelhak Bensaoula 3
1Inst. Jaume Almera (C.S.I.C.) Barcelona Spain2Dpto. Electricidad y Electronica, Univ. Complutense Madrid Spain3Department of Physics, Univ. of Houston Houston USA
Show AbstractInGaN alloys have generated a growing interest for photovoltaic applications, as their band gap can be tuned to cover the full solar spectrum and they show a remarkable resistance to irradiation. This makes InGaN an attractive material system for outer space solar cell applications. Moreover, owing to the higher ionicity of the bonds and dynamical annealing, GaN is extremely resistant to amorphization. Raman scattering is a powerful tool to characterize structural damage in irradiated crystals. With above band-gap excitation, higher order multiphonon scattering is strongly enhanced by impurity-induced Fröhlich interaction. Whereas close to resonance the strong photoluminescence (PL) signal in pure crystals prevents the clear observation of multiphonon peaks, PL quenching by high-fluence ion-beam irradiation makes it possible to study the multiphonon Raman peaks in implanted samples.
In this work we present a Raman scattering study of multiphonons in InGaN alloys with In content ranging from 17% to 42 % using near-resonance visible excitation (514.5 nm) and above band-gap UV excitation (325 nm). The InGaN epilayers were grown by plasma-assisted MBE on sapphire substrates with a GaN buffer. The epilayers were implanted with He ions at 40 and 180 keV with total fluences ranging from 7.5E12 to 1.9E15 cm-2 to produce a homogeneous damage profile over the whole layer. The free electron density and the optical properties of the samples were characterized before and after implantation by means of Hall measurements, PL and optical absorption. In the alloys with higher In composition the electron density increases with irradiation as expected from the location of the Fermi stabilization energy above the conduction band edge. The irradiation effects are corroborated by the shifts in the PL peak and absorption edge. For the highest fluences the generation of trapping defects reverses this trend.
Outgoing resonance conditions occur for the InGaN layer with [In]=24% under 514.5 nm excitation. This sample exhibits a one order of magnitude increase of the LO intensity. Upon implantation, both the PL and the LO intensities markedly decrease but up to 4LO scattering can be detected. In the implanted samples the LO peak shows a downshift and an asymmetric broadening which reflects the implantation damage. The higher order multiphonon peaks display frequencies in good agreement with the multiples of the LO frequency. The multiphonon Raman peaks exhibit a substantial asymmetric broadening at the low frequency side, which suggests the participation of large wave-vector phonons in the multiple scattering. The Raman spectra obtained with UV excitation show the typical multiphonon cascade peaks up to 5LO as well as E2+nLO combinations. The same intensity ratios between successive order peaks are observed for all alloy compositions. The LO/ E2 and 2LO/1LO intensity ratios are shown to be sensitive indicators of the irradiation induced damage.
9:00 AM - T6.02
Deep-Level Characterization of Thick InGaN Films with Various In Contents for Photovoltaic Applications
Yoshitaka Nakano 1 Liwen Sang 2 Masatomo Sumiya 2
1Chubu University Kasugai Japan2National Institute for Materials Science Tsukuba Japan
Show AbstractRecently, III-V nitride films have shown promise as attractive materials for application in photovoltaic devices. In order to use an InGaN film as an active layer of simple p-i-n junction photovoltaic devices, the film thickness above 300nm is needed from a viewpoint of optical absorption of sufficient solar light. Additionally, a thick InGaN film is likely to grow via a columnar mode and form multiple pits such as V-type and/or screw-type dislocations on the surface, which may result in the formation of electronic defects in the InGaN band gap. Thus, its electrical properties are of serious concern due to the defect formation and/or the phase separation. However, what kind of electronic defects would be introduced into thick InGaN films still remains uncertain. In this study, we have characterized thick InGaN films with various In contents in view of electronic defects, employing capacitance-voltage (C-V) and steady-state photo-capacitance spectroscopy (SSPC) techniques.
100nm-thick i-InGaN layers were grown on GaN templates by MOCVD. The InN molar fractions were 8.1, 10.7, 12.1 and 19.8%, as determined by XRD measurements. After growth, planar dot-and-plane Schottky barrier diodes (SBDs) were formed on the thick i-InGaN layers, using a Hg probe. These SBDs were characterized at room temperature by means of C-V and SSPC measurements. From the C-V measurements, effective carrier concentrations became larger in the order of 1018cm-3 with increasing the In content up to ~12%, whereas InGaN with 19.8% In content had much lower carrier concentration, probably due to the large structural change. Additionally, SSPC measurements revealed two dominant photoemission states with their onsets at ~1.8 and ~2.3-3.0eV below the conduction band, which seem to be different from SSPC spectra of the referenced Hg/n-GaN SBD. These electronic defects are considered to be introduced by alloying InN with GaN for thick InGaN films; the former is probably attributable to dislocation-related defects, whereas the latter level may originate in CN, VN, and their complex. More importantly, these electronic defect concentrations were significantly increased with increasing the In content up to 12.1%, which is the similar tendency of the effective carrier concentration. Particularly, the VN formation is likely responsible for the latter level concentration as well as the effective carrier concentration from their In content dependence.
9:00 AM - T6.03
Electrical Characterization of p-i-n Junction Based on Thick i-InGaN Film for Photovoltaic Applications
Yoshitaka Nakano 1 Liwen Sang 2 Masatomo Sumiya 2 Fumio Hasegawa 1
1Chubu University Kasugai Japan2National Institute for Materials Science Tsukuba Japan
Show AbstractRecently, InGaN films have shown promise as attractive materials for applications in photovoltaic devices. Although many efforts have been made to improve the conversion efficiency of InGaN-based solar cell itself, it is still far from the theoretical value. An InGaN film thicker than 0.3mu;m is considered necessary for a simple p-i-n junction as an active layer to absorb enough solar light. However, an InGaN film is likely to grow with columnar mode with an increase of thickness. Dislocations and/or defects in a thicker InGaN film can act not only as recombination centers of photo-generated carriers but also as degradation of junction property. Thus, it is needed to understand electronic characteristics of a thick i-InGaN-based p-i-n junction. In this study, we have fabricated p-i-n junctions based on a thick i-InGaN layer by MOCVD, and have electrically characterized them, employing capacitance-voltage (C-V) and steady-state photo-capacitance spectroscopy (SSPC) techniques.
Double hetero-structure p-i-n junctions of p-GaN(100nm, Mg:3x1019cm-3)/i-In0.09Ga0.91N(150nm)/n-GaN(2mu;m,Si:8x1018cm-3) were fabricated on a c-sapphire substrate by MOCVD. The electrode on p-GaN was ITO. The junction area was 1.12x10-3cm2. Residual carrier concentration and deep-level defects in the thick i-InGaN layer were evaluated by C-V and SSPC measurements, respectively.
Carrier concentration of p-GaN was 5.2x1017cm-3. In the thick i-InGaN layer, residual n-type carrier concentration of 2.3x1016cm-3 was homogenously distributed throughout the film. The origin of the residual carriers is considered to come from the nitrogen vacancy (VN) formation from thermal admittance spectroscopy measurements in our previous study. SSPC measurements were performed at bias voltages VG of +0.9, +1.0, and +1.2V corresponding to the depletion layer of 112, 85, and 47nm in the i-InGaN layer from the p-i interface, respectively. Two dominant deep-level defects were revealed to be located at ~2.07 and ~3.05eV below the conduction band. The former level is presumably attributable to VGa and/or VGa-ON. The latter level is likely attributed to carbon (CN), VN, and their complex defect (CN-VN). These deep-level defects showed a large dependence on depth. In particular, the latter level was significantly enhanced near the p-i interface. Thus, the 3.05eV level is probably characteristic of the p-i interface and turned out to affect the generation of photo-carriers.
9:00 AM - T6.04
RF-MBE Growth of AlN on a Sapphire Substrate Using an AlON Buffer Layer
Toshiki Makimoto 1 Kazuhide Kumakura 2 Michiya Maeda 1 Yuki Kobayashi 1 Hideki Yamamoto 2 Yoshiji Horikoshi 1 3
1Waseda University Tokyo Japan2NTT Corporation Kanagawa Japan3Waseda University Tokyo Japan
Show AbstractWe developed a new method to grow nitride semiconductors on a sapphire substrate using an AlON buffer layer by metalorganic vapor phase epitaxy (MOVPE) [1]. In this method, the composition of oxygen and nitrogen atoms in the AlON layer is gradually changed from an aluminum oxide to an aluminum nitride, that is, from a sapphire (Al2O3) substrate to a nitride compound. Using this method, we obtained high-quality nitride layers grown by MOVPE. The undoped GaN showed resistance higher than 100 kohm/sheet and the AlGaN/GaN heterosutructure showed high electron mobility of 1760 cm2/V. This new AlON buffer layer, however, has not been used for the growth by molecular beam epitaxy (MBE) yet, so we have applied this method to grow AlN on a sapphire substrate by molecular beam epitaxy with radio frequency plasma for nitrogen source (RF-MBE).
Al2O3, graded AlON, AlN, and thin Al2O3 amorphous films were sputtered on a c-face (0001) sapphire substrate using electron cyclotron resonance (ECR) Ar-plasma sputtering at room temperature. The sputtering target was Al. Oxygen and nitrogen gases were used for the deposition. The thickness of this buffer layer was around 20 nm. In the RF-MBE growth, nitrogen gas flow rate of 2 sccm and RF-plasma power of 500 W were used. The growth temperature of AlN was 800 oC and the thickness of the AlN layer was 900 nm. X-ray diffractometry (XRD) was used to characterize an AlN layer grown on an AlON buffer layer.
First, an AlN layer was grown directly on the AlON buffer layer in a similar way to the GaN MOVPE growth using an AlON buffer layer [1]. An AlN (0002) diffraction peak was observed at 2 theta of 35.99 degrees. The c-lattice constant of the AlN layer is calculated to be 0.4987 nm. Since the c-lattice constant of strain-free AlN is 0.4979 nm, the AlN layer has a compressive strain as is often the case with an AlN layer grown directly on a c-face sapphire substrate.
To eliminate the possible damage of the nitrogen plasma irradiation to the amorphous AlON buffer layer at high temperatures, an AlN layer grown at low temperatures was used to protect the AlON buffer layer before the AlN growth at higher temperatures. The (0002) peak intensity of the AlN layer grown on a 10-nm thick AlN grown at 480 oC slightly increased, compared with that of the AlN layer grown directly on the AlON buffer layer.
The above results show that a high quality nitride layer is expected to be grown on a sapphire substrate using an AlON buffer layer by MBE.
[1] K. Kumakura and T. Makimoto, J. Cryst. Growth, 292, 155 (2006).
9:00 AM - T6.05
Molecular Beam Epitaxy of Highly Mismatched GaN Alloys with GaAs, GaSb and GaBi
Sergei Novikov 1 K. M Yu 2 W. L Sarney 3 Z. Liliental-Weber 2 M. Ting 2 4 R. EL Powell 1 M. Shaw 5 A. J Kent 1 R. W Martin 5 S. P Svensson 3 W. Walukiewicz 2 C. T Foxon 1
1University of Nottingham Nottingham United Kingdom2Lawrence Berkeley National Laboratory Berkeley USA3US Army Research Laboratory Adelphi USA4University of California Berkeley USA5University of Strathclyde Glasgow United Kingdom
Show AbstractWe have studied plasma-assisted molecular beam epitaxy (PA-MBE) of GaN alloyed with different Ga-(group V) compounds. In these so-called highly mismatched alloys (HMAs) the band anticrossing (BAC) model predicts a wide range of direct energy gaps. Thus, as we have shown previously the energy gap of GaN1-xAsx alloys varies from 0.7eV to 3.4eV. An even larger modification of the band structures is anticipated for more extremely mismatched GaN1-xSbx and GaN1-xBix alloys. The large band gap range and controllable conduction and valence band edge positions of these HMAs make them promising materials for efficient solar energy conversion devices.
At dilute doping levels, As, Sb and Bi are expected to form localized energy levels above the valence band in GaN. Our photoluminescence (PL) and cathodoluminescence (CL) measurements on GaN doped with As and Sb have experimentally demonstrated that the As and the Sb impurity levels lie at about 0.7eV and 1.2eV above the valence band edge of GaN, respectively.
At a higher concentration of group V elements in GaN, the BAC model predicts that the interaction of the impurity levels with the extended states of the valence band leads to formation of an impurity-derived, fully occupied narrow band that plays a role of the new valence band edge. This results in an abrupt reduction of the optical gap of the HMAs. We have achieved the enhanced incorporation of As, Sb and Bi by growing the layers at extremely low temperatures (down to about 100oC). Although the layers become amorphous for high As, Sb and Bi content, optical absorption measurements are consistent with the predictions of the BAC model, indicating that the amorphous HMA samples have a short-range order resembling random crystalline alloys. The large band gap range and controllable positions of the conduction and valence bands make these HMAs promising materials for efficient solar energy conversion devices.
We will discuss the details of the MBE growth conditions for achieving crystalline as well as amorphous GaN1-xAsx, GaN1-xSbx and GaN1-xBix and present results of structural, optical and electrical measurements of these highly mismatched alloys.
9:00 AM - T6.06
AlN Heteroepitaxial Growth on Diamond (111) 2x1 Reconstructed Surface by Molecular Beam Epitaxy (MBE)
Tomohiro Hakamata 1 Wako Ono 1 Yuki Yokoyama 1 Daiki Utsunomiya 1 Yuji Seshimo 1 Atsushi Hiraiwa 1 Hiroshi Kawarada 1
1Waseda University Shinjuku-ku Japan
Show AbstractAlN/diamond structure is a hopeful platform to activate both materials and has been applied to AlN/diamond n-p junction diode[1], AlGaN/GaN HEMT on diamond[2], AlN/diamond heterostructure FET[3] and so on. Until now, although domain matching heteroepitaxial growth model of AlN/1x1 diamond(111) structure was reported[4], the clean diamond surface, 2x1 reconstructed diamond(111) structure has not been discussed intensively. In this study, we report in-situ observation of AlN growth on 2x1 reconstructed diamond (111) surface by molecular beam epitaxy (MBE) and discuss the growth mechanism.
AlN film layer was grown by MBE on single crystal H-terminated diamond (111) at different stage temperature, 1200oC and 950oC. Before AlN deposition, substrate is annealed for 10 min in 3~7.5x10-6 Pa at respective growth temperature. After that, N radical beam was irradiated to diamond substrate for 5 minutes.
In the case of 1200oC, RHEED pattern shift from 1x1 to 2x1 occur when annealing is performed. The diamond surface forming 1x1 structure with hydrogen atoms reconstructed into 2x1 structure which is known as π-bonded chain model. This 2x1 structure maintained after N radical irradiation.
In the case of 950oC, although 1x1 structure maintained until 10 min annealing, surface reconstruction occurred when N radical irradiation is performed. This reconstruction was induced by N radical irradiation and diamond surface might be stabilized by N atoms. N-induced phase transformation has been observed for the first time. Without N irradiation, the surface reconstruction won&’t occur thermally at 950oC.
AlN film layer growth is controlled by alternative supply of Al atoms and N radicals. During AlN deposition, we observed growth mode by RHEED in-situ, and evaluated AlN epitaxial orientation relationship by XRD. Pole-figure results show three-folded symmetry peaks due to diamond(311) is rotated at 30o from AlN&’s six-folded symmetry peaks, and no remarkable difference was found between result of 1200oC grown AlN and that of 950oC grown AlN.
We discovered a lower temperature 2x1 reconstruction of diamond (111), which might be induced by nitrogen. AlN heteroepitaxial growth on the 2x1 diamond surface has been successfully done in the low temperature mode.
Acknowledgements
This study was supported by research grants from ALCA (JST).
References
[1] C.E.Nebel, C.R.Miskys, M.Stutzmann et al., Diam. Relat. Mater. 12, 1873-1876 (2003).
[2] K.Hirama, Y.Kasu et al., Appl. Phys. Lett. 98, 162112 (2011).
[3] M.Imura, H.Amano et al., Phys. Status Solidi RRL 5, No. 3, 125-127 (2011).
[4] M.Imura, H.Amano, et al., Journal of Crystal Growth 312, 1325 (2010).
9:00 AM - T6.08
Pseudomorphic Stabilization of Cubic AlxSc1-xN with High Al Concentration and Large Critical Thickness on (001) MgO Substrates with TiN as a Seed Layer
Bivas Saha 1 3 Sammy Saber 1 3 Gururaj Naik 2 3 Alexandra Boltasseva 2 3 Eric Stach 1 4 Eric Kvam 1 3 Timothy D. Sands 1 2 3
1Purdue University West Lafayette USA2Purdue University West Lafayette USA3Purdue University West Lafayette USA4Brookhaven National Laboratory Upton USA
Show AbstractAluminum scandium nitride (AlxSc1-xN) is emerging as a potentially important semiconducting pseudobinary alloy of aluminum nitride (AlN) and scandium nitride (ScN). The cubic rocksalt phase of AlxSc1-xN, with its tunable lattice parameter and bandgap, allows growth of high quality epitaxial heterostructures and superlattices with rocksalt metals (e.g., TiN, WN, and VN). These metal/semiconductor superlattices are attracting interest as plasmonic, thermal and thermoelectric metamaterials. However there exists very little understanding of the growth and optical properties of rocksalt AlxSc1-xN.
We present our analysis of rocksalt AlxSc1-xN grown on (001) MgO substrates using dc-magnetron sputtering. We measure the direct bandgap, absorption coefficient and variations of the in-plane and out-of-plane lattice constants of rocksalt AlxSc1-xN as a function of Al concentration (x). Our analysis shows that AlxSc1-xN undergoes a rocksalt-to-wurtzite structural phase transition when the aluminum concentration is 0.62Spectroscopic ellipsometry and UV-Visible spectroscopic analysis indicate that the direct gap of rocksalt AlxSc1-xN varies linearly with aluminum concentration (x) and follows the relationship Eg=2.19+1.91x, while the dielectric permittivity at long wavelengths decreases with increasing aluminum content and with the increase in the direct gap. Our analysis has uncovered important aspects of the growth and optical properties of rocksalt AlxSc1-xN which will pave the way for its technological applications.
9:00 AM - T6.09
Structural and Morphological Study of CBE Grown (Al)GaNAs Alloys
Gitanjali Kolhatkar 1 Abderraouf Boucherif 1 Simon Fafard 2 Vincent Aimez 1 Richard Ares 1
1Universitamp;#233; de Sherbrooke Sherbrooke Canada2Cyrium Technologies Inc. Ottawa Canada
Show AbstractState-of-the-art multi-junction solar cells (MJSC) are typically composed of three InGaP (1.8 eV)/InGaAs (1.4 eV)/Ge (0.67 eV) p-n junctions interconnected. The large bandgap difference between the bottom and the middle subcells results in thermalization losses, which could be reduced by inserting a fourth junction of intermediate bandgap of ~1 eV. The use of dilute nitride materials as an additional junction is a very promising path to achieve higher efficiencies. Indeed, the GaAs bandgap can be lowered to the desired value by incorporating N, while lattice-matching can be preserved by adjusting the In concentration. However, the performances of InGaNAs are still limited by structural defects formed by the N incorporation. Another limitation is the poor N incorporation efficiency, which requires the use of very high N precursor flux to reach N concentrations up to ~3%.
Our approach consists in introducing a low Al concentration (<15%) in our GaNAs materials. As a result, the N incorporation efficiency is enhanced significantly because of the high Al-N bond strength compared to the Ga-N bond strength. The samples are grown by chemical beam epitaxy (CBE), which has shown a great potential for the development of MJSC and dilute nitrides. Cracked arsine (AsH3), triethylgallium (TEGa) dimethylhydrazine (DMHy) and trimethylaluminium (TMA) are used as the As, Ga, N and Al precursors respectively. The growth temperature is maintained to 420°C with a growth rate of ~0.33 µm/h. The morphological and structural properties of AlGaNAs are studied. A systematic study shows a significant improvement in the N incorporation efficiency as the Al concentration is increased from 0 to 15%. The effect of Al on the morphology is investigated, revealing that holes observed on the GaNAs surface are reduced and more uniformly distributed upon the introduction of 10% Al. This observation suggests that Al creates a more uniform N atom spatial distribution. This study attests of the potential of Al in developing high quality dilute nitrides.
9:00 AM - T6.10
Growth of hBN Using Metallic Boron: Isotopically Enriched h10BN for Neutron Detection
Tim Bruce Hoffman 1 James H Edgar 1 Jeffrey Geuther 2 George Tyrel 2 Tashfin Hossain 1 Kyle C. Snow 1 Benjamin Clubine 1 Yichao Zhang 1
1Kansas State University Manhattan USA2Kansas State University Manhattan USA
Show AbstractDue to the increasing scarcity of the 3He isotope for gas detectors there is a growing need for a highly sensitive neutron detector with high gamma radiation discrimination. Hexagonal boron nitride (hBN) has recently shown promise as a solid-state neutron detector due to the development of metal precipitation growth techniques for bulk hBN single crystals from a BN powder source [1]. Our research aimed to modify this technique to allow the growth of highly pure, single crystals of hBN from metallic boron sources. Crystals were precipitated through slow cooling (2°C/hr) of a molten metal solution composed of Ni, Cr and B under nitrogen flow at high temperatures (1500°C or greater) and atmospheric pressures.
This process facilitates the growth of isotopically enriched h10BN and h11BN from readily available enriched 10B and 11B sources. Isotopically pure hBN with 10B strongly absorbs neutrons; isotopically pure hBN with 11B has negligible neutron absorption. 10B enrichment of hBN detectors should improve neutron capture efficiency over hBN produced with the natural composition of B (20 at%10B) by up to a factor of five thereby decreasing the hBN single crystal thickness needed to produce a full neutron interaction spectra for 10B (approx. 7 µm for naturally occuring hBN). An additional benefit of isotopically pure BN (using either 10B or 11B) is the material&’s thermal conductivity, which is predicted to increase by nearly an order of magnitude higher compared to hBN with mixed isotopes [2].
Crystals grown using the metal precipitation process were extracted from the surface of the metal using a thermal release tape exfoliation technique. This technique produced free crystal layers (approx. 17 µm thick) which could then be employed as free-standing crystals or transferred onto an arbitrary substrate. Confirmation of isotopically pure hBN was tested by shifts in the Raman spectra peak. Metal contacts (Au on Ti) were deposited onto the surface of crystals, both on the same and opposite surfaces of the hexagonal plane (current flowing parallel and perpendicular to the c-plane, respectively), to allow for electrical characterization and neutron response measurements. Finally, enriched h10BN and h11BN crystals were tested under neutron flux available at the Kansas State University TRIGA Mark II reactor and compared to previously measured spectra produced by natural hBN to confirm the benefits of isotopic enrichment.
References
[1] Kubota, et al. Chemistry of Materials2008, 20, 1661-1663.
[2] Barman, S. Europhysics Letters2011, 96, 16004.
9:00 AM - T6.14
Metal Work Function Dependence of Schottky Barrier Height by Internal Photoemission Measurements of Low-Mg-Doped p-GaN Schottky Contacts
Toshichika Aoki 1 Kenji Shiojima 1
1Univ. of Fukui Fukui Japan
Show AbstractMetal Work Function Dependence of Schottky Barrier Height by Internal Photoemission Measurements of Low-Mg-Doped p-GaN Schottky Contacts
1. Introduction: Metal contacts to p-GaN have been intensively studied for the application of optical devices, however, the basic electrical characteristics of the interfaces, such as Schottky barrier height (qPhi;B) and current transport mechanism, have not been well understood. We have reported that Ni/p-GaN Schottky contacts have a large qPhi;B (~2.4 eV) by reducing Mg doping concentration to suppress leakage current [1, 2]. However, carrier capture and emission from acceptor-type near-mid gap defects localized in the vicinity of the interface cause depletion layer width (Wdep) to vary significantly. Upon the ionization of the defects by white light, which results in a small Wdep, current can go through the Schottky barrier and a leaky current-voltage (I-V) curve is observed. Upon filling by current injection, Wdep increases and the large original qPhi;B is seen. Precise qPhi;B determination from I-V and capacitance-voltage (C-V) is suffering from this reversible memory effect. In this study, we adopted internal photoemission measurements (photoresponse: PR), which is not affected by the memory effect.
2. Experimental: Low-Mg-doped 2-mu;m-thick GaN films were grown on sapphire using MOCVD. The Mg concentration was 1.3×1018 cm-3. After buffered HF treatment, 100-nm-thick ten kinds of metal films (Al, Ag, Au, Cr, Nb, Ni, Mo, Pd, Pt, Ti) were deposited by electron-beam evaporation to form Schottky contacts (200 mu;mPhi;).
In the PR measurements, when monochromatic light with a photon energy (hnu;) greater than qPhi;B is incident on a metal/GaN interface, carriers in the metal can surmount the Schottky barrier and a photocurrent may be generated, which is called the internal photoemission effect. Schottky barrier height can be determined from the measured photoemission using Fowler&’s equation: [3]
Y1/2=(hv-qPhi;B), (1)
where Y is the measured photoemission yield. When hnu; is close to the band edge, owing to the generation of electron-hole pairs, a large photocurrent flows, like a solar cell.
3. Results and discussion: In the PR spectra, all ten kinds of contacts showed a large peak of fundamental absorption near Eg. On the lower side of the peak, the PR spectrum shows good linearity against Y1/2, so that the current is considered to be based on the internal photoemission according to Fowler&’s equation. The obtained qPhi;B values from PR measurements are as high as 2.0 to 2.9 eV. The slope of the metal work function dependence; S = ΔPhi;B/ΔPhi;m, was -0.04, which indicates a strong Fermi-level pinning in this system.
References : [1] K. Shiojima et al, A. P. L., 74, 1936 (1999). [2] K. Shiojima et al, A. P. L., 77, 4353 (2000). [3] R. H. Fowler, Phys.Rev., 38, 45, (1931)
9:00 AM - T6.15
High Resistivity Isolation for AlGaN/GaN HEMT Using Al Double-Implantation
Andrzej Taube 1 2 Maciej Kozubal 1 Jakub Kaczmarski 1 Marcin Juchniewicz 1 Adam Barcz 1 3 Jan Dyczewski 3 Rafal Jakiela 3 Elzbieta Dynowska 1 3 Michal Adam Borysiewicz 1 Pawel Prystawko 4 5 Jakub Jasinski 2 Pawel Borowicz 1 6 Eliana Kaminska 1 Anna Piotrowska 1
1Institute of Electron Technology Warsaw Poland2Institute of Microelectronics and Optoelectronics, Warsaw University of Technology Warsaw Poland3Institute of Physics, Polish Academy of Sciences Warsaw Poland4Institute of High Pressure Physics, Polish Academy of Sciences Warsaw Poland5TopGaN Ltd. Warsaw Poland6Institute of Physical Chemistry, Polish Academy of Sciences Warsaw Poland
Show AbstractThe purpose of this research is aimed at developing a new thermally-resistant isolation for planar AlGaN/GaN high electron mobility transistor using Al double-implantation. The planar configuration abandons the etched mesa isolation, increasing yield and decreasing the gate leakage caused by possible contact of the gate metallization to 2DEG on mesa sidewall. Electrical and structural studies were conducted in order to determine the effects of Al double-implantation on AlGaN/GaN HEMT structures. The implantation was performed using Al ions with energies of 800 and 300 keV with doses of 1.5×1013 ion/cm2 and 1×1013 ion/cm2, respectively. Selective area implantation was achieved using a photolithographically patterned polymer mask. To achieve the vacancy distribution profile up to depth of 0.7 mu;m necessary for isolating the HEMT structure, implantation parameters were determined using TRIM software simulations. Structural characterization were performed using Secondary Ion Mass Spectrometry, X-ray Diffraction, photoluminescence and Raman Spectroscopy. Measurements of current-voltage characteristics on TLM structures have shown that the implantation dose was sufficient to achieve sheet resistance at the level of 1.17x1014 #8486;/sq. Similarly high values were obtained using Mg or Ar implantation with doses as high as 1014 ion/cm2. Thermal stability studies up to 800°C were conducted and revealed that the isolation was stable up to 600°C. The sheet resistance changed with annealing temperature from 1.8×1011 #8486;/sq for as-implanted samples to 1.17×1014 #8486;/sq after 400°C annealing and then decreasing to 1.38×108 #8486;/sq after annealing at 800°C. Thermal activation of sheet resistance was observed in electrical measurement as a function of temperature changes. The calculated activation energies were 0.32, 0.74, 0.54, 0.14 eV for the as-implanted samples and those annealed at 400, 600 and 800°C, respectively. SIMS measurements confirmed a 1017 cm-3 Al concentration up to the depth of 0.7 mu;m, in agreement with TRIM simulations. XRD measurements show structure deterioration, recovered after annealing at 400°C. The Raman spectra of as-implanted samples exhibited bands associated with nitrogen and gallium vacancies. However the spectra of annealed samples showed only a slight increase of the background in comparison to the unimplanted sample.
In conclusion, the developed planar process is a good candidate for high uniformity and yield processing of GaN-based HEMTs. The Al double-implantation isolation is a technique enabling the fabrication of devices working at temperatures elevated up to 600°C.
The research was partially supported by the PolHEMT Project under the Applied Research Programme of the National Centre for Research and Development, Contract Number PBS1/A3/9/2012.
9:00 AM - T6.16
Effects of the Indium Profile on InGaN/GaN Multi-Quantum-Well Light-Emitting Diodes
Patrick M. McBride 1 Qimin Yan 1 2 Chris G. Van de Walle 1
1University of California, Santa Barbara Santa Barbara USA2The Molecular Foundry, Lawrence Berkeley National Laboratory Berkeley USA
Show AbstractLight-emitting diodes (LEDs) based on a multi-quantum-well structure with InGaN quantum wells (QWs) and GaN barriers have come to the forefront of efficient lighting technologies. Device simulations using a semi-empirical drift-diffusion model have been used extensively in designing and improving LED structures. However, they are often rightfully criticized for their disagreement with experimental current-voltage characteristics, in particular their overestimate of the onset voltage. Here, we investigate the impact of incorporating a realistic indium profile in simulations of c-plane InGaN/GaN multi-QW blue LEDs. Past simulations that overestimate the onset voltage have usually been based on the assumption of ideal, abrupt QWs with a square indium profile. However, our results show that changing this square profile to a more realistic indium profile leads to a significant modification of the band diagram and carrier overlap, which results in a simulated onset voltage much closer to that seen in experiment. In previous works, an ad hoc reduction of the polarization field has sometimes been used to generate results that match experiment; however, our work shows that there is no basis for this assumption, and that no further adjustments are necessary once a realistic indium profile is taken into account. Our work demonstrates the importance of taking accurate In distributions into account when simulating current-voltage characteristics, and also highlights the need for further experimental investigations of the indium profile in nitride-based LEDs.
9:00 AM - T6.17
The Effects of Grain Size on the Optical Properties on AlxGa1-xN/AlyGa1-yN MQW
Idris Ajia 1 P. R. Edwards 2 Z. Liu 3 R. W. Martin 2 J. Chang 3 I. S. Roqan 1
1KAUST Thuwal Saudi Arabia2University of Strathclyde Glasgow United Kingdom3Chinese Academy of Science Haidian District China
Show AbstractWe will present the optical and structural properties of AlxGa1-xN/AlyGa1-yN multi-quantum wells (MQWs) grown by MOCVD on sapphire (0001) substrates using an AlN buffer layer. The samples consist of quantum barriers (QBs) with an AlN fraction x=73%, whereas the AlN fraction range in the QWs is y=35-65%. The width of the QBs and QWs is measured to be ~25 nm and ~3 nm respectively by scanning transmission electron microscopy (STEM). We found that the low temperature photoluminescence (PL) peaks of these samples range from 280nm to 256 nm, using a 244 nm excitation wavelength. An intense PL emission was observed at low temperature. The PL peak position shows a very small red-shift (~ 1.2nm) when the temperature is increased from 5 to 300 K. Cathodoluminescence (CL) hyperspectral images reveal granular features on the surface that were not observed in secondary electron (topography) images : a blue shift at the center of the grains, and a red one around the edges. The grain size affects the extent of blue shift, with the maximum blue shift occurring in small grains, which suggests better confinement. In addition, CL maps of the full width at half maximum confirm this observation, with the peak narrowing inside the grains. Similar grains were observed by atomic force microscopy (AFM). The AFM micrographs, obtained over a 20 by 20 micron region, show grains (dot-like) of varying sizes on the surface of the samples. This small shift in the PL emission can be attributed to the confinement of the (dot-like) structure in the QW which has very low potential fluctuation.
We carried out optical and structural characterization using time-resolved spectroscopy, and high-resolution transmission electron microscopy (HR-TEM) to investigate the origin of these grains.
9:00 AM - T6.18
InGaN Structure Influence on Efficiency Droop
Oleg I. Rabinovich 1
1NUST MISIS Moscow Russian Federation
Show AbstractThe wide band-gap, strong bonds and high thermal conductivity of AIIIBV (nitrides as well) and AIIBVI make them especially interesting for optoelectronic applications. In spite of previous investigations, there are still several problems to be solved among which efficiency droop is.
The structure considered here is a nanoheterostructure (Het.) consisting of layers with quantum-mechanical properties. The simulation program includes two thermal models that calculate either the lattice temperature or electron temperature. The program has an exclusive flexibility for optoelectronic semiconductor device simulations. Drift-diffusion, thermoionic, and tunneling currents are taken into consideration, and the recombination of charge carriers radiating spontaneously and stimulated and non-radiating recombination mechanisms are also included. For the band diagram calculations of the devices, Fermi-Dirac or Boltzmann statistics and a full version of the Poisson differential equation were used. The Poisson equation includes the ionized donors, acceptors, free electrons, holes, and bounds in the quantum wells (QWs).
Special files created for the simulation contained various structure parameters such as, the geometrical sizes of the QWs, conduction type, doping concentration, bandgap, optical absorption, thermal conduction, electron affinity, and the coefficients of radiation and radiationless recombination.
The first step of the investigation focused on the active region in the InGaN Het. The active area consists of different numbers of InGaN QWs and GaN barriers (1-5pcs). The doping concentration in the GaN-barrier was ND = 1019cmminus;3, and QWs/barrier widths were 3.5 and 4.5nm, respectively. The QWs were doped at concentrations of Na = Nd = 1018cmminus;3. After first step it was detected that optimum active area structure is 4 QWs.
The second step focused on efficiency droop investigation. Next simulation was carried out initially at the assumption that there is little difference between the holes and electrons carriers lifetime tn0asymp;tp0 = 10-9s, without detecting efficiency droop. Then it was suggested that there was a significant difference in carrier current lifetime (2-3 times), which showed good correlation with the experimental data. It was assumed that the value of the capture coefficient in the mechanism of radiative recombination was about 10-10 cm3/s and was independent on the current density. This asymmetry in carriers lifetime can be due to impurities and defects that create deep donor levels, for example, oxygen with dislocations which act as electron traps can realize that or complexes (oxygen with defects) create deep energy levels near the middle of the band gap, the electrons capture by the centers will be faster than the holes.
Several ways for solving this problem are suggested. One of the most promising is to obtain higher quality Heterostructures (with lower defects concentration) by using GaN substrates.
9:00 AM - T6.19
Some Aspects of AIIIBV and AIIBVI Growth
Oleg I. Rabinovich 1
1NUST MISIS Moscow Russian Federation
Show AbstractHeterostructures containing quantum wells based on compound semiconductors and their solid solutions are interesting for application in quantum, optical, and integrated electronics (emitting diodes, lasers, and photodetectors operating in the IR and visible spectral regions). Such heterostructures are commonly grown by molecular beam epitaxy, metalorganic chemical vapor deposition and atomic layer epitaxy.
These growth methods require rather expensive apparatus for producing high quality heterostructures. Each technique has its own physicochemical features, which limits its utility in the fabrication of quantum size structures. In particular, MBE has limitations on the films growth based on intermediate phases in systems with high vapor pressure and incongruent vaporization. In such systems as AIIBVI and AIIIBV, there is a number of compound semiconductors which display unique properties set. That is why new method - incongruent evaporation technique is suggested, which is unified for using with major part of materials.
In the method under consideration, incongruent evaporation conditions play an extremely important role. Evaporation conditions have a significant effect on the density and growth of islands because the rate of incongruent evaporation of the film determines the rate of concentration saturation. The method basis are phase transformations specified by the equilibrium phase diagram. An adequate choice of evaporation conditions is critical in the method under consideration.
Consider possible evaporation conditions. The evaporation rate of a film is influenced by the following steps of the condensate collection process: heat removal from the film surface, surface vaporization, and vapor diffusion from the surface to the condensate zone. Special evaporation cell design was proposed. The main step in this growth method is in which the most significant factor determing the rate of condensate removal will be vapor diffusion from the surface to the condensate. The Stefan regime is best suited for incongruent evaporation, as it is supported by the fact that this evaporation regime is used in a variety of quasi-equilibrium techniques for equilibrium saturated vapor pressure measurements. In experiment the AIIIBV and AIIBVI Het were grown.
The Si doped Boron-10 substrates with a (111) working surface were used. Results have shown that plain Het. with controlled composition ratio can in principle be grown by the proposed method. The only requirement was that the film to be wetted by the melt of particular composition at a given incongruent evaporation temperature. The structure of the grown materials was probed by atomic force microscopy on a Solver Pro EC in noncontact mode. The density of structure elements in heterostructure, characteristic dimensions, and shape of the islands depend on the evaporation temperature and rate, which suggests the possibility of producing quantum confinement structures with necessary properties.
9:00 AM - T6.20
Study of Semipolar (11-22) InGaN/GaN Quantum Wells Grown on Epitaxial Lateral Overgrowth GaN with Planar and Pyramid Surface Structures
Ki-Ryong Song 1 Jae-Hwan Lee 1 Sang-Hyun Han 1 Sung-Nam Lee 1
1Korea Polytechnic University Siheung Republic of Korea
Show AbstractRecently, semipolar (11-22) III-nitride semiconductors have been used for the growth of high performance light emitting devices such as light emitting diodes (LEDs) and laser diodes (LDs), etc. However, it has been reported that a lots crystal defects have drastically generated by the heteroepitaxial growth of semipolar (11-22) GaN film due to the large lattice mismatch and the high anisotropic crystallinity, resulting in deteriorating the device performances. To overcome these limits, the epitaxial lateral overgrowth (ELO) technique has been used to effectively reduce crystal defects in semipolar (11-22) GaN epilayer. However, there is few report on growth mode of semipolar (11-22) ELO-GaN film and the optical properties of InGaN quantum wells grown on semipolar (11-22) ELO-GaN template. In this study, we investigated the effect of SiO2 pattern direction on growth mode and optical properties of semipolar ELO-GaN and InGaN/GaN QWs structure.
100 nm-thick SiO2 films were deposited on 2.0 mu;m-thick semipolar (11-22) GaN templates grown on m-plane (10-10) sapphire substrates by using PECVD system. ELO patterns consisting of 4 mu;m-width SiO2 stripes and 12 mu;m-width GaN windows were processed by conventional photolithography process. The SiO2 stripes were formed along [11-2-3]GaN and [10-10]GaN. By using both templates, we achieved semipolar (11-22) ELO-GaN templates. And then, semipolar (11-22) InGaN/GaN quantum wells (QWs) were simultaneously grown on both ELO-GaN templates. The surface morphology of semipolar (11-22) ELO-GaN (Sample A) with [10-10]GaN SiO2 pattern represented typical arrowhead-like surfaces, whereas that of semipolar (11-22) ELO-GaN (sample B) with [11-2-3]GaN SiO2 pattern exhibited triangular pyramid shape surface structure. It may be caused by lateral growth rate difference between [11-2-3]GaN and [10-10]GaN. From X-ray rocking curves (XRCs) with different incident beam directions of [11-2-3] and [1-100], XRCs FWHMs of sample A were 1024 and 332 arcsec, while that of sample B were 570 and 1633 arcsec, respectively. It indicated that the crystallographic tilts of semipolar (11-22) ELO-GaN film was drastically depend on the SiO2 pattern direction due to the different friction between SiO2 patterns and semipolar GaN. The CL intensity of InGaN QWs grown on sample A was five times higher than that of sample B. As the low defect region of planar-type sample A was wider than sample B, we believed that sample A represented the higher optical properties than sample B. In addition, CL emission peaks of InGaN QWs grown on sample A and B was 426.9 nm and 440.0 nm, respectively. From these results, we found that the surface morphology and crystal property of semipolar GaN would significantly different by SiO2 pattern direction of ELO-process. In this presentation, we will report the optical and crystal properties of InGaN QWs grown on semipolar (11-22) ELO-GaN with planar and pyramid shapes in details.
9:00 AM - T6.21
Improved Emission Efficiency of InGaN/GaN Light-Emitting Diodes with Irregular Quantum Well Structures
Zhao Si 1 Tongbo Wei 1 Jianchang Yan 1 Jun Ma 1 Zhe Liu 1 Xuecheng Wei 1 Xiaodong Wang 1 Hongxi Lu 1 Junxi Wang 1 Jinmin Li 1
1Institute of Semiconductors, Chinese Academy of Sciences Beijing China
Show AbstractThe emission efficiency development of InGaN/GaN material system has attracted much attention. However, the InGaN/GaN lighting emitting diodes are usually highly strained due to lattice mismatch. The strain results in the quantum confined stark effect (QSCE), which induces the spatial separation of electrons and holes, reduces their wave function overlap and affects their emission efficiency. To solve this problem, staggered quantum-well (QW) structures were adopted in our research. In this experiment, two groups of samples were grown. In the first group, the thickness of all samples were 3 nm, a 1 nm high In content layer was inserted at the bottom of sample B1, in the middle of sample C1 and on top of sample D1, sample A1 was grown without insert layer (IL). According to the photoluminescence (PL) and electroluminescence (EL) measurement results, except sample B1, there are not much difference among the other three samples. In the second group, the structures of all samples were the same as those of the first, besides the thickness were 5 nm. As illustrated by the EL measurement results, sample D2 with IL on top of QW shows strongest emission peak intensity and sample B2 shows weakest intensity. This result is inconsistent with the APSYS simulation results due to the ignorance of crystal quality in the simulation. The high In content IL at the bottom and in the middle may seriously affect the crystal quality of the layer growing upward. Moreover, the staggered structure is more effective in wide QW due to more serious reduction of electron and hole wave function overlap.
9:00 AM - T6.22
Enhanced Optical Power and Low Forward Voltage of GaN-Based Light-Emitting Diodes with Al-Doped ZnO (ZnO:Al)/Ga-Doped ZnO (ZnO:Ga)/Textured ZnO Sandwich Configuration as Transparent Conductive Layer
Bingfeng Fan 2 1 3 Gang Wang 1 3 Jingchuan Yang 1 Ruiqing Hu 1 Zimin Chen 1 Shanjing Huang 1 3 Cunsheng Tong 1 Yanli Pei 1 Hao Jiang 1
1Sun Yat-sen University Guangzhou China2Sun Yat-sen University Guangzhou China3Sun Yat-sen University Guangzhou China
Show AbstractRecently, light-emitting diodes (LEDs) have realized rapid development, due to their promising applicability in solid state lighting. In order to spread the current and improve the light extraction efficiency, ITO is currently widely used in the LED as a transparent conducting layer (TCL). Owing to the increasing demand for raw materials, especially indium, scientists are currently searching for alternatives to indium tin oxide. Recently, doped ZnO-based TCOs have also received considerable attention as the most promising candidates for replacing ITO because it is abundant in nature, nontoxic material, and they have electrical properties similar to ITO. However, the first difficult problem in LED applications of ZnO-based TCO is how to reduce the forward voltages because of poor-ohmic contact properties with rectifying characteristics, and the second difficult problem is that lack of suitable equipment specially designed for growth of ZnO.
In this paper, we demonstrated GaN-based light-emitting diodes (LEDs) with low forward voltages using Al-doped ZnO (ZnO:Al) and Al-doped ZnO (ZnO:Al)/Ga-doped ZnO(ZnO:Ga)/textured ZnO sandwich configuration serving as a transparent contact layer (TCL), respectively. ZnO-based TCL were grown by home-made 38*2 inch metalorganic chemical vapor deposition (MOCVD) as transparent conducting layers for GaN LEDs with patterned sapphire substrates (PSS). Through the regulation of electrical and optical properties, the optimal ZnO:Al/ZnO:Ga/ZnO TCL presented improved light extraction efficiency and low forward voltages, which play an important role in the high-power LEDs fields. Furthermore, LED with ZnO TCL presents better optical saturation properties than ITO under extremely high injection level. The forward voltage of our GaN LEDs with ZnO:Al/ZnO:Ga/ZnO sandwich TCL at 20 mA was as low as 2.85V, which is the best result ever reported and reach closely to the LED&’s Vf theory limit. Detailed experimental results and device performance of LEDs with ZnO-based TCL will be reported. In conclusion, ZnO-based TCL is promising in replacing ITO in LED application, and the ZnO-based TCL LED technique is ready for the LED market.
9:00 AM - T6.24
Field Emission Properties of Metal Oxide Nanostructures
Aylin Karakuscu 1 Andrea Ponzoni 1 Elisabetta Comini 1 Dario Zappa 1 Vardan Galstyan 1 Matteo Ferroni 1 Guido Faglia 1 Giorgio Sberveglieri 1
1Sensor Lab, CNR-IDASC amp; UNIBS Brescia Italy
Show AbstractFunctional quasi one dimensional metal oxides are attracting attention of scientific community because of their potential in technological applications. Many works have been demonstrated their unique electrical, physical, and optical properties, which made them great candidates for various applications, such as chemical sensors, catalyst and energy storage devices. Nanoscaled metal oxides (wires, rods, belts, tubes, etc.) are great sources of research in fundamental science and potential in fabrication nanodevices with their unique morphology, and huge surface area.
Cold cathodes generate room temperature emission and controllable output currents. Carbon nanotubes (CNTs) are proposed as cold cathodes and recent studies showed that metal oxide nanostructures can be promising candidates for field emission. In this study, we would like to explore the potential of different metal oxide nanostructures as electron emission sources. SnO2, CuO, TiO2, Nb-doped TiO2 and RuO2 nanostructures with different morphologies are deposited on Al2O3 and Ti substrate by thermal oxidation, anodization and sputtering. SnO2 and CuO gave the most promising results with high stable emission. However, the emission properties of the other metal oxides could be improved by doping or by changing their morphology. Field emission measurements are performed by nanomanipulator inside scanning electron microscope. These measurements are done on several small areas to understand the homogeneity of the sample. To study the overall FE characteristics (i.e. stability), we also used homemade field emission set up inside vacuum chamber.
T4: III-Nitrides I: Epitaxy and Electronic Devices
Session Chairs
Uttam Singisetti
Alan Doolittle
Tuesday AM, December 03, 2013
Hynes, Level 2, Room 202
9:30 AM - *T4.01
New Advances in III-Nitride Optoelectronic Materials
Alan Doolittle 1
1Georgia Institute of Technology Atlanta USA
Show AbstractIII-Nitrides have already surpassed other compound semiconductors as the most lucrative non-silicon based semiconductor commercialized to date. But III-Nitride materials grown with traditional methods of MOCVD and MBE have been limited in application due to native defects and subsequent poor device performance. Herein, we discuss new growth technologies which result in unique defect structures and in some cases, dramatically reduced defect structures compared to traditional growth techniques. For example in high Indium InGaN, threading dislocation density has been drastically reduced compared to traditional III-Nitride materials. In moderate indium composition ranges, new defect structures have been observed and seem to have strong correlation to growth conditions. P-type GaN hole concentrations in excess of 7x1019 cm-3 have been achieved using dynamic control of surface chemistry. The impact of these recent materials development on emerging devices such as solar cells, green to IR light emitters and photodetectors will be discussed.
10:00 AM - T4.02
The Impact of AlN Buffer Coalescence on Stress Evolution of GaN Grown on Engineered Si Substrates
Jeff Leathersich 1 Jarod Gagnon 2 Puneet Suvarna 1 Joan Redwing 2 F. (Shadi) Shahedipour-Sandvik 1
1SUNY Albany Albany USA2The Pennsylvania State University University Park USA
Show AbstractObtaining high quality GaN on Si is currently being heavily research for its wide range of application. GaN and other III-N materials have application in optoelectronics such as blue and UV LEDs as well as in power electronics with HEMTs among other applications. Being able to put these devices on Si would greatly decrease the cost due to the reduced cost per wafer of Si and the available wafer sizes of Si when compared to sapphire and SiC, the most commonly used substrates for GaN epitaxy. Epitaxially growing GaN on Si poses challenges stemming from tensile stress development resulting from a thermal expansion mismatch which causes a high density of cracks, eliminating usable device, area and lattice mismatch which causes a high defect density in the films (10^10 cm-2). In an attempt to compensate this tensile stress, often thick AlGaN layers are used or low temperature AlN interlayers which involve multiple temperature ramping. Our substrate engineering technique, previously reported on, involving implantation and amorphization of the Si substrate beneath an AlN buffer layer has shown a reduction in stress during growth of GaN films, positively impacting a reduction in both dislocation density and crack density in GaN films grown on the engineered substrates.
The effectiveness of this technique is dependent in large part on the properties of the AlN buffer layer. Here AlN buffers with different levels of coalescence for the same film thickness (55nm) were prepared and the effectiveness of the substrate engineering technique was examined. In-situ wafer curvature measurements were then used to study the evolution of film stress during metal-organic chemical vapor deposition (MOCVD) of GaN on AlN buffer layers with varying morphology. The AlN buffers were grown by MOCVD using two different growth techniques, under continuous growth and under pulsed MOCVD technique. The pulsed MOCVD technique has shown to give a higher lateral growth rate and thus larger island size and higher degree of coalescence than the continuous growth. Both buffer types were implanted with a N+ ion dose of 2x10^16cm-2 and an energy 60keV/atom. These wafers then underwent an MOCVD deposition of 1 µm of GaN growth. In-situ stress evolution study has shown that the GaN growth on the unimplanted pulsed buffer and both continuous buffers experience an initial compressive stress followed by a transition to tensile stress, whereas the implanted pulsed buffer showed an initial compressive stress which was maintained throughout the growth only transitioning to a slight tensile stress later in the growth. The results of our study will be presented.
10:15 AM - T4.03
The Growth of Hexagonal GaN Template on Si (100) Substrate Using Pulsed Laser Deposition
Dong-Sing Wuu 1 2 Kun-Ching Shen 1 Ming-Chien Jiang 1 hung-I Lin 1 Ray-Hua Horng 1
1National Chung Hsing University Taichung Taiwan2Da-Yeh University Changhua Taiwan
Show AbstractHigh quality of hexagonal GaN template prepared by pulsed laser deposition (PLD) was employed in the growth of GaN on Si(100) substrate. In contrast to common GaN on sapphire and GaN on Si(111) technologies, the use of PLD GaN template on Si(100) substrate not only offers low-cost and large-area single crystalline GaN template for the regrowth of GaN by metalorganic chemical vapor deposition (MOCVD), but also reduces the influence of the large difference in lattice mismatch and thermal expansion coefficients via the PLD growth mechanisms of GaN template. The evolution of GaN growth mode transition on the Si(100) substrate with different PLD deposition times is established by SEM images, which indicated that the growth mode of the GaN films gradually changes from island growth to layer-by-layer growth as the growth time is over 2 hrs. Moreover, no significant GaN meltback was found on the surface of the PLD GaN template. X-ray diffraction analysis and photoluminescence measurement also show that GaN template is of a monocrystalline hexagonal structure. Furthermore, the GaN template was subjected to MOCVD treatment to regrow a GaN layer; results are promising for the development of GaN on Si substrate using the GaN template fabricated by PLD technique.
10:30 AM - T4.04
Resonant Bragg Structures Based on III-Nitrides
Vladimir Chaldyshev 1 A. S. Bolshakov 1 E. E. Zavarin 1 A. V. Sakharov 1 W. V. Lundin 1 A. F. Tsatsulnikov 1 M. A. Yagovkina 1
1Ioffe Institute Saint Petersburg Russian Federation
Show AbstractA resonant Bragg structure is a kind of one-dimensional photonic crystal where the periodic perturbation in the dielectric susceptibility is provided by the quasi-2D excitons in a system of quantum wells. The electro-magnetic coupling of the individual excitons leads to the formation of a superradiant optical mode. The exciton binding energy and the strength of its coupling with light are the most important physical parameters in this phenomenon. Therefore, the wide-band-gap III-nitrides should be the materials of choice, if we consider possible applications of such excitonic systems in photonic devices.
In this paper we demonstrate optical Bragg lattices formed by quasi-two-dimensional excitons in periodic systems of the InGaN quantum wells separated by the GaN barriers [V.V.Chaldyshev et al, APL, 99, 251103 (2011)]. When the Bragg resonance and exciton-polariton resonance are tuned to each-other, the medium exhibits an exciton-mediated resonantly enhanced optical Bragg reflection. The enhancement factor appeared to be larger than 2 for the system of 60 quantum wells. Owing to a high binding energy and oscillator strength of the excitons in InGaN quantum wells, the resonant enhancement was achieved at room temperature.
Farther increase in the resonant optical response has been achieved by using a complex periodic supercell, which contained two InGaN quantum wells separated by a thin, but non-tunneling barrier. The additional enhancement by the factor of two was experimentally achieved. Numerical simulations of the experimental optical spectra allow us to evaluate the radiative and non-radiative broadening parameters of the exciton and polariton states.
10:45 AM - T4.05
Epitaxial Growth of (111)-Oriented ZrTiN Thin Films on C-Plane Al2O3 as Lattice Matched Buffer Layers for III-Nitrides
Ruiteng Li 1 Jateen S. Gandhi 1 Rajeev Pillai 1 Rebecca Forrest 1 David Starikov 1 Abdelhak Bensaoula 1
1University of Houston Houston USA
Show AbstractDue to a lack of lattice matched substrates,III-nitride devices suffer from defect densities of 10+11 cm-2 which act as carrier traps degrading their optoelectronic performance. Recent techniques, such as epitaxial lateral overgrowth, have exhibited defect reduction down to 10+5 cm-2 but are limited to MOCVD deposition. On the other hand buffer layer methods such as c-plane AlN-on-Si and c-plane GaN-on-sapphire have been able to reduce the defects only down to 10+9 cm-2 due to the tendency of threading dislocations to propagate perpendicular to c-plane with a low probability of annihilation via dislocation interaction.
ZrxTi1-xN is proposed as a lattice matched buffer layer for (Al, Ga, In)-N epitaxy. With a 3 fold symmetry of (111) plane matching to hexagonal c-plane of III-nitrides the alloy can be lattice matched to AlyGa1-yN (0 le; y le; 1), GayIn1-yN (0.86 le; y le; 1), and AlyIn1-yN (0.69 le; y le; 1). Moreover its metallic nature makes ZrTiN a suitable candidate for photon reflectors and Ohmic contacts.
DC magnetron sputtering was employed for the epitaxial growth of (Zr, Ti) N binary and ternary films on Al2O3 substrate. The x-ray diffraction data generated by powder diffraction exhibited (111) and (222) peaks indicating single oriented films while the FWHM of TiN, Zr0.73Ti0.27N, ZrN (111) rocking curves were 0.42°, 0.75°, and 0.61°, respectively, suggesting high structural quality. During optimization of the growth parameters, it was found that higher substrate temperature growths led to an enhanced intensity of the (111) peak while the intensities of (200), (220), and (311) peaks were diminished, which was attributed to the enhanced diffusion length of the metal adatoms. However it also resulted in a higher Zr desorption rate, which led to a slight modulation of the ZrTiN alloy composition.
11:30 AM - *T4.06
Enhancement Mode N-Polar GaN Devices
Uttam Singisetti 1
1University at Buffalo-SUNY Buffalo USA
Show AbstractGaN based devices are extensively studied for high-frequency and high-breakdown applications. In recent years, GaN FETs have made incredible progress reaching near 400 GHz current gain cutoff frequencies. These advances have been achieved through innovative device designs and novel growth technologies. In this talk, I will discuss the novel-N-polar Enhancement-mode technology for high frequency applications. The N-polar GaN technology has emerged as a viable option for mm-wave and sub-mm-wave electronics that offers unique advantages of 2-DEG confinement in a quantum well structure and the ability to form low resistance contacts to the 2-DEG. The impact of the quantum well channel thickness on the device performance and also on the low-field electron mobility will be discussed. The back-barrier structure of these devices is found to be very critical for high frequency performance. With aggressive scaling these devices can reach beyond 500 GHz current gain cutoff frequencies.
12:00 PM - T4.07
The Effects of Dislocation, Interface Roughness, and Alloy Scattering on the Mobility of the Two Dimensional Electron Gas in AlGaN/GaN and AlGaN/AlN/GaN
Elaheh Ahmadi 1 Stephen W. Kaun 2 Stacia Keller 1 James S. Speck 2 Umesh K. Mishra 1
1UC-Santa Barbara Santa Barbara USA2UC-Santa Barbara Santa Barbara USA
Show AbstractDifferent scattering mechanisms that affect the mobility of two-dimensional electron gases (2DEGs) in AlGaN/GaN and AlGaN/AlN/GaN structures with different AlGaN composition and grown on different substrates are investigated by fitting the model to experimental data.
Mobility degradation caused by scattering mechanisms, such as interface roughness and alloy disorder scattering, strongly depends on the shape of the wave function and its confinement in the channel. The Fang-Howard variational wave function has been used widely for transport calculations in the literature [1,2]. However, the energy level of the electrons calculated from this method is not accurate which makes the estimation of the probability and the slope of penetration of the wave function into the barrier very rough. Instead, we have exported the exact wave function from BandEng software[3], which solves the Schrödinger-Poisson equation self-consistently, for calculation and found the variational parameters by fitting to this wave function. Using this method we calculated 2DEG mobility for AlGaN compositions with varying Al content. Our results show that for barriers with higher Al content, alloy scattering solely cannot explain the mobility suppression because the probability of wave function penetration in the barrier drops rapidly. Then, the physical interface roughness and the interface roughness originating from the fluctuations in alloy composition along the channel has to be considered as well. These fluctuations in alloy composition have been revealed by atom probe tomography (APT) on HEMT samples and will be published.
We also considered scattering from charged dislocations in our calculations, and compared the result with the experimental data from samples grown on three different substrates, SiC, GaN-on-sapphire, and free-standing GaN. Growth on these substrates resulted in epitaxial heterostructures with threading dislocation densities that span nearly three orders of magnitude. Our results indicate that charged dislocations can notably degrade the room temperature mobility even in case of high charge density (~2e13 cm-2). The details of calculation of different scattering mechanisms will be discussed in the conference.
[1] D. Zanato, et. al., Semicond. Sci. Technol. 19 (2004) 427-432 [2] D. Jena, Phys. Stat. Sol. (b) 228, NO. 2 (2001) [3] The software BandEng is a band diagram simulator developed by Michael Grundmann at the University of California at Santa Barbara http://my.ece.ucsb.edu/mgrundmann/bandeng.htm
12:15 PM - T4.08
Reduction in Gate Leakage Current of AlGaN/GaN HEMT by Rapid Thermal Oxidation
Sreenidhi Turuvekere 1 A. Azizur Rahman 2 Arnab Bhattacharya 2 Amitava DasGupta 1 Nandita DasGupta 1
1Indian Institute of Technology Madras Chennai India2Tata Institute of Fundamental Research Mumbai India
Show AbstractIntroduction: Gate leakage current is a major concern in AlGaN/GaN High Electron Mobility Transistors (HEMTs). Metal Oxide Semiconductor (MOS) HEMTs have been proposed to combat this problem. Though the introduction of gate dielectric reduces the gate leakage, the control of gate over the channel is also reduced. In this work we present Rapid Thermal Oxidation (RTO) of AlGaN/GaN to reduce gate leakage without compromising on gate capacitance. Electrical characterization of these devices are carried out at different temperature and compared with that of Schottky Barrier (SB) devices.
Experiment: AlGaN (26% Al, 24 nm)/GaN layers grown on c-plane sapphire substrates are used for experiments. After basic cleaning, RTO is carried out for 5 min at 800 °C in pure oxygen ambient. Following this, annealing is carried out at same temperature for 1 min in nitrogen ambient. MOS diodes are fabricated on these wafers with Ni/Au as gate and Ti/Al/Au ohmic contacts. Reference samples (SB diodes), without RTO, are also prepared. Current-Voltage (I-V) and Capacitance - Voltage (C-V) characterization is carried out at temperatures from 223 to 473 K.
Results: X-ray Photoelectron Spectroscopy carried out on one of the oxidized samples confirms the formation of aluminum oxide and gallium oxide. I-V characteristics of MOS devices show a significant reduction in the gate leakage current. The reduction in gate leakage current density (JG) is more than an order of magnitude over the entire reverse bias region. The reduction in JG is more significant in forward bias. At a gate bias of 2 V, MOS device has JG = 210 nA/cm^2 which is four orders of magnitude lower than that of the SB device. More interestingly, the capacitance in the accumulation region for both MOS and SB devices is the same. Thus the electrical thickness of the barrier has not changed after oxidation and the gate control over the channel has remained intact. The C-V characteristics of MOS device shift left indicating the presence of positive oxide charges.
To get more insight, C-V characterization is carried out at different temperatures. As the temperature is increased, the threshold voltage becomes less negative for both MOS and SB devices and saturates after 423 K. This is attributed to electron trapping in the buffer GaN. At higher temperature, more traps are activated and hence the threshold voltage increases. At all temperatures, the threshold voltage of MOS devices is lower than that of SB devices. Detailed analysis of the results will be presented in the meeting.
Conclusion: RTO of AlGaN/GaN has been carried out. Significant reduction in gate leakage has been obtained for MOS device with the same gate capacitance as the SB device. MOS devices show lower threshold voltage because of positive oxide charge. The threshold voltage of both MOS and SB devices increases with temperature due to increased activation of buffer traps.
12:30 PM - T4.09
Influence of Buffer Traps on Current Collapse and Leakage of E-Mode AlGaN/GaN MISHFETs
Chenjie Tang 1 Junxia (Lucy) Shi 1
1The University of Illinois at Chicago Chicago USA
Show AbstractIn this work, current collapse and buffer-leakage mechanisms in enhancement mode (E-mode: normally off) AlGaN/GaN metal-insulator-semiconductor high field effect transistors (MISHFETs) have been extensively investigated via numerical simulations. In this model, E-mode devices are realized via deep gate recess with HfO2 as the gate dielectric, which also serves as the passivation layer. The transient simulations show a degradation of the on-state current, which is commonly referred to as current collapse or current slump. The mechanism of the current collapse was studied and it has been demonstrated that the properties of the bulk acceptor-like trap in the GaN buffer layer, instead of the interface trap or charge, is a key factor dominating the turn-on transient response of the MISHFETs. The study further indicates that the ionized acceptor-like trap densities and the energies of the bulk traps are closely related to the current collapse, as well as the off-state leakage. It is demonstrated that the traps with higher energy levels will induce less current collapse and less buffer leakage. Meanwhile, the trap density is also discovered to be affecting both the current collapse and the leakage current, but in a different pattern. Detailed analysis was conducted to investigate the fundamental cause of the current collapse and off-state leakage. A novel approach, which can efficiently suppress current collapse and dramatically reduce buffer leakage without degrading the on-state current density, is proposed, which should provide significant guidance for the relevant experimental work. A high-performance nitride based E-mode device, with almost no current collapse and extremely low leakage before a hard breakdown at a high voltage, together with excellent on-state performance, has been achieved.
12:45 PM - T4.10
GaN Power Schottky Diodes with Device Layers Grown on Bulk GaN Substrates
Randy P. Tompkins 1 Kevin W. Kirchner 1 Kenneth A. Jones 1 Jacob H. Leach 2 Edward Preble 2 Kevin Udwary 2 Jeffrey Leathersich 3 Puneet Suvarna 3 Fatemeh (Shadi) Shahedipour-Sandvik 3
1US Army Research Laboratory Adelphi USA2Kyma Technologies Raleigh USA3University at Albany - State University of New York Albany USA
Show AbstractGallium nitride (GaN) is a promising material for high power electronics due to its wide band gap and thus high critical field. In previous work, we have shown carbon in GaN increases the specific on-resistance, increases the turn-on voltage, and leads to premature breakdown of GaN power Schottky diodes [1], where C is presumably sitting on the N site, acting as a deep acceptor. Growth of device layers using hydride vapor phase epitaxy (HVPE) is promising due to the fact there are no direct sources of C. We have also shown that diodes fabricated directly on low-doped freestanding HVPE substrates show breakdown voltages in excess of 900 V, but the high breakdown is at the cost of a large specific on-resistance stemming from the thick low-doped substrate [2]. Ideally, the largest portion of the series resistance should be across the drift layer and not the substrate. Thus, the focus of this work is on the growth of low-doped HVPE GaN films on doped freestanding HVPE substrates.
The biggest issue with growing epitaxial layers by HVPE is lowering the net carrier concentration of the film or drift layer. Early in our work, the unintentionally doped (UID) carrier concentration of the drift layer ranged between 5 x 1016 cm-3 - 1 x 1017 cm-3. A carrier concentration in this regime limits the theoretical breakdown voltage to a range of asymp; 300 - 600 V. The most likely sources of background doping in the drift layer during HVPE growth are Si and O from HCl reacting with the quartz reactor. N vacancies are also thought to be a donor in GaN, though not measured in this study. Through properly conditioning the system prior to film growth, we have been able to lower the UID concentration to asymp; 1016 cm-3 corresponding to a theoretical breakdown voltage of asymp; 3000 V. SIMS results show C and O levels below detection limit, while the Si concentration is on the order of 1016 cm-3. Still, our measured breakdown voltages fall well short of their theoretical maximum of 3000 V. We will outline the challenge of growing low-doped HVPE films on conductive substrates, as well as the task of improving the crystallinity of the doped HVPE substrates. We will present device results for both HVPE films grown on conductive HVPE substrates and diodes fabricated directly on low-doped HVPE substrates. A comparison of the device properties of Schottky diodes fabricated on both HVPE and MOCVD films grown on true bulk ammonothermal substrates will be made.
[1] R.P. Tompkins et al, J. Mater. Res., Vol. 26, No 23, p. 2895 - 2900 (2011).
[2] R.P. Tompkins et al, Solid State Electron., 79 p. 238-243 (2013).
Symposium Organizers
L. Douglas Bell, Jet Propulsion Laboratory
F. (Shadi) Shahedipour-Sandvik, University at Albany - SUNY
Kenneth A. Jones, Army Research Laboratory
Andrew Clark, Translucent Inc.
Kenji Ohmori, University of Tsukuba
Symposium Support
Army Research Office
T8: Group IV-IV and Oxide Semiconductors: Epitaxy and Devices
Session Chairs
Andrew Clark
Kenji Ohmori
Wednesday PM, December 04, 2013
Hynes, Level 2, Room 202
2:30 AM - *T8.01
Progress and Future Challenges of High-Voltage SiC Power Devices
Tsunenobu Kimoto 1 Hiroki Miyake 1 Hiroki Niwa 1 Takafumi Okuda 1 Naoki Kaji 1 Jun Suda 1
1Kyoto University Kyoto Japan
Show AbstractSilicon carbide (SiC) is a newly-emerging wide bandgap semiconductor, by which high-voltage, low-loss power devices can be realized owing to its superior properties. SiC unipolar devices will replace Si unipolar/bipolar devices in the blocking-voltage range from 300 V to about 4500 V. For ultrahigh-voltage applications above 6000 V, SiC bipolar devices are attractive.
SiC power MOSFET is an ideal power switching device, but it has suffered from low channel mobility due to a high density of interface states at the SiO2/SiC interface. Through appropriate nitridation of the interface, the channel mobility has been improved from a single digit to 40 cm2/Vs on SiC (0001) [1] and 120 cm2/Vs on (11-20) [2]. The authors developed vertical SiC trench MOSFETs in collaboration with ROHM. The oxide field near the trench bottom was reduced by a double-trench structure. The trench MOSFETs with cell miniaturization exhibited extremely low on-resistances of 0.79 mOmega;cm2 and 1.41 mOmega;cm2 for 630 V and 1260 V devices, respectively, with normally-off characteristics. This performance is more than 100 times better than the Si unipolar limit and more than 10 times better than Si IGBTs.
In the next step, ultrahigh-voltage SiC bipolar devices are of academic and technological interest. The authors fabricated ultrahigh-voltage PiN diodes with a variety of junction termination structures. Among these termination structures, a space-modulated JTE (junction termination extension) structure [3] provides a wide optimum window in the doping concentration, and ultrahigh-voltage (> 15 kV) blocking voltage can be reproducibly attained. By using a 280 mu;m-thick n-type SiC epilayer doped to 2E14 cm-3, a very high blocking voltage in excess of 25 kV was achieved, which is the highest blocking voltage among any solid state devices.
SiC bipolar junction transistor (BJT) is attractive as an ultrahigh-voltage switching device. The authors obtained high current gains (> 250) in npn SiC BJTs by suppressing carrier recombination near the emitter junction, p-base, and surface [4]. To increase the blocking voltage, a thick n-type epilayer was employed as the collector, and the two-zone space-modulated JTE structure was introduced into the collector junction after formation of double mesa structures. As a result, a record blocking voltage over 23 kV has been obtained in SiC BJT. In this BJT, the current gain is reasonably high, 63, which is about five times higher than a previous 10 kV-class SiC BJT.
This work was supported by the Funding Program for World-Leading Innovative R&D on Science and Technology (FIRST Program) and a Grant-in-Aid for Scientific Research from JSPS. The authors acknowledge Dr. T. Nakamura and Y. Nakano with ROHM for the MOSFET collaboration.
[1] G.Y. Chung et al., IEEE EDL, 22, 176 (2001).
[2] Y. Nanen et al., IEEE TED, 60, 1260 (2013).
[3] H. Niwa et al., APEX, 5, 064001 (2012).
[4] H. Miyake et al., IEEE EDL, 32, 841 (2011).
3:00 AM - T8.02
Cause of Forward Voltage Degradation for 4H-SiC PiN Diode with Additional Process
Koji Nakayama 1 Tetsuro Hemmi 1 Katsunori Asano 1 Tetsuya Miyazawa 2 Hidekazu Tsuchida 2
1Kansai Electric Power Co., Inc. Amagasaki Japan2Central Research Institute of Electric Power Industry Yokosuka Japan
Show AbstractWe investigated forward voltage degradation in typical 4H-SiC pin diodes and 4H-SiC pin diodes with additional process. Photoluminescence images were also observed, to identify the cause of forward voltage degradation.
During forward conduction, 4H-SiC bipolar devices have the serious problem of forward voltage increase (called forward voltage degradation), due to expanded Shockley type stacking faults (SSFs) in the device drift layer. SSFs extend from half-loop dislocations (HLs) as well as from basal plane dislocations (BPDs) . HLs are generated in the device fabrication process. It would be of great significance to clarify the extent of forward voltage degradation dependence on the fabrication process.
The n- drift and p+ anode layers were grown on the n+ substrate by epitaxial growth in a vertical hot wall reactor. n- drift layer thickness and donor concentration were 120 mu;m and 7×1013 cm-3, respectively. Diode active areas were 1.0 mm × 1.0 mm. Additional process comprised carbon implantation or thermal oxidation; both lead to high carrier lifetime and low forward voltage in bipolar devices with thick drift layer. In carbon implantation, carbon atoms with sharp box profile were implanted at 600°C after p+ epitaxial growth. Sharp box profile concentration and depth were 5×1020 cm-3 and 250 nm, respectively. Activation annealing was performed at 1730°C for 30 minutes in Ar. The layer, comprising implanted carbon atoms, was then removed by reactive ion etching. Etched layer depth was 500 nm. Thermal oxidation was performed in dry O2 at 1300°C for 5 hours after p+ epitaxial growth. After oxide layer removal, thermal oxidation was repeated in dry O2 at 1300°C for 5 hours. The oxide layer was then removed again, followed by annealing in Ar at 1550°C for 30 min before metallization. Current stress test was conducted at 100 A/cm2 for one hour; ΔVF denotes forward voltage difference between before and after current stress test at 100 A/cm2 and room temperature. Photoluminescence images were taken using 420 nm band path filter at room temperature.
ΔVF medians for 4H-SiC pin diodes with additional process were 0.8 V for thermal oxidation and 0.7 V for carbon implantation, exceeding those for typical 4H-SiC pin diodes (0.2 V).
SSF emission peak is at 420 nm; SSF in rectangular triangle shape is caused by BPD. In contrast, SSF in isosceles triangle or parallelogram shape is caused by HL; 40% of SSFs in typical devices are caused by HL. In the carbon implantation and thermal oxidation processes, those rates are 80% and 95%, respectively. The increment of process steps, which generate HL, causes forward voltage degradation. Half-loop dislocation can be eliminated by optimizing thermal oxidation or reactive ion etching. A 4H-SiC pin diode with low forward voltage degradation can be fabricated by optimizing process conditions.
3:15 AM - T8.03
High Resolution Visualization of Carrier Distribution in SiC-MOSFET Using Super-Higher-Order Nonlinear Dielectric Microscopy
Norimichi Chinone 1 Takashi Nakamura 2 Yasuo Cho 1
1Research Institute of Electrical Communication, Tohoku Univ. Sendai Japan2ROHM Co., Ltd. Kyoto Japan
Show AbstractGreen electronics, which is one of the key subjects in recent industries, attracts not only many researchers and engineers but also consumers. The electrical dissipation in electrical devices has been drastically reduced. One of the key to reduce the electrical dissipation is improving the performance of power electronic devices such as power MOSFET. Although electrical performance of the power electronic devices based on silicon (Si) has been greatly improved, the electrical property is reaching the physical limit which comes from the physical properties of Si. To keep farther improvement of power devices, many researchers and engineers are working on new devices employing new materials which are called wide-gap semiconductors (e.g. silicon carbide (SiC) and gallium nitride (GaN)). Compared with Si, these materials have attractive physical properties for power device application. Recently, some SiC based devices have been already commercially available. However, the SiC devices are still in early stage of development and have many problems which must be solved. To overcome the problems, techniques for evaluating device structure such as carrier distribution and p-n junction with high resolution is indispensable. Scanning nonlinear dielectric microscopy (SNDM)[1], which is a sort of the scanning probe microscopies, is one of the most promising candidate techniques. SNDM has high capacitance sensitivity of ~10minus;22 F, which realizes high spatial resolution and high carrier density resolution. In measurement of semiconductor, SNDM acquires the capacitance response to the external voltage (part;C/part;V) under the sharp conductive tip (i.e. local capacitance-voltage measurement). As an advanced technique using SNDM, acquirement of high order capacitance response (part;nC/part;Vn) is also possible. This technique is called, especially for n ge; 3, super-higher-order (SHO) nonlinear dielectric microscopy or SHO method[2]. This SHO method enables us more detailed carrier distribution analysis compared to conventional SNDM. As the method improves carrier density resolution, SHO method gives us useful information for improving next generation power devices under development. In this study, using the SHO method, we measured cross-section of SiC-MOSFET. In this measurement, we acquired up to part;4C/part;V4 signal. The acquired images of 1st to 4th order clearly revealed the carrier distribution around source area of the MOSFET. The higher order (part;2C/part;V2, part;3C/part;V3 and part;4C/part;V4) images visualized the carrier distribution in more detail than part;C/part;V image. Furthermore, by applying positive DC bias to the sample, depletion layer formed at the p-n junction was visualized in part;2C/part;V2, part;3C/part;V3 and part;4C/part;V4 images. These results demonstrate the usefulness of SHO method for SiC based power electronic devices.
[1]Y. Cho, A. Kirihara, and T. Saeki: Rev. Sci. Instrum. 67 (1996) 2297.
[2]N. Chinone, K. Yamasue, Y. Hiranaga, and Y. Cho: Appl. Phys. Lett. 101 (2012) 213112.
3:30 AM - T8.04
Characterization of the Oxide-Semiconductor Interfacial Transition Layer in NO, P, and N-Plasma Passivated 4H-SiC/SiO2 Structures Using TEM and XPS
Joshua Aaron Taillon 1 Gang Liu 2 John R. Williams 3 Sarit Dhar 3 Leonard C. Feldman 2 Karen J. Gaskell 4 Tsvetanka S. Zheleva 5 Aivars J. Lelis 5 Lourdes G. Salamanca-Riba 1
1University of Maryland College Park USA2Rutgers University New Brunswick USA3Auburn University Auburn USA4University of Maryland College Park USA5U.S. Army Research Laboratory Adelphi USA
Show AbstractThe interface between 4H-SiC and SiO2 in metal oxide semiconductor field effect transistor (MOSFET) devices contains a high density of electrically active defects, which adversely affect the performance of SiC-based microelectronic devices by lowering the electron mobility. The electrical properties of these devices can be improved by a number of treatments, including nitric oxide (NO), phosphorous (P), and nitrogen-plasma (N2P) post-oxidation passivations. Our recent work on NO annealed devices has shown an inverse relationship between anneal time and the width of the transition layer at this interface, which is correlated with improved channel mobility, increased N interfacial density, and decreased charged interface trap density. We have performed a similar investigation on devices processed by other techniques and compared them with results from the NO annealed samples.
We present a broad yet thorough characterization of the transition layer at the 4H-SiC/SiO2 interface in SiC MOSFET devices using high resolution transmission electron microscopy (HRTEM), high-angle annular dark-field scanning transmission electron microscopy (HAADF-STEM), and spatially resolved electron energy-loss spectroscopy (EELS). We investigated NO, P, and N2P treatments on both a-face and c-face devices, comparing the effects of the various treatments. Transition layer information was obtained by chemical analysis through observation of the shift of the Si L2,3 EELS edge across the interface, which was compared with preliminary X-ray photoelectron spectroscopy (XPS) depth-profiles. Structural characterization of the interface was achieved by quantification of the interfacial roughness via HRTEM image observation and compared with multislice computer image simulations. The results of these characterizations are discussed in terms of interface quality and its effect on device properties.
Our results demonstrate the importance of controlling the quality of the oxide-semiconductor interface in SiC power electronics and our methods provide a framework for analyzing devices processed under a range of various conditions.
*Supported by ARL under grants No. W911NF-11-2-0044 and W911NF-07-2-0046.
3:45 AM - T8.05
Identification of Carbon Vacancy in 4H-SiC
Krisztian Szasz 1 Tamas Hornos 1 Adam Gali 1 2
1Wigner Research Centre for Physics Budapest Hungary2Budapest University of Technology and Economics Budapest Hungary
Show AbstractThe main carrier killer in 4H-SiC semiconductor devices is the Z1/2 deep level center [1]. Experiments and preliminary calculations indicate that the Z1/2 center may be associated with the acceptor state of the isolated carbon vacancies (VC) in 4H-SiC [2,3]. It is technologically highly important to clearly identify this Z1/2 center which may lead to a better quality of 4H-SiC semiconductor devices.
The measured ionization energies of Z1/2 do not provide direct information about the microscopic origin of the center. However, electron paramagnetic resonance (EPR) measurements can provide information about the chemical composition of paramagnetic defects via hyperfine interactions where the model of the defect may be constructed.
The single negative acceptor state of VC was already identified at hexagonal configuration in 4H-SiC [4], however, the signal from the cubic configuration was missing. Recently, a new photo-EPR center has been found that have similar features like the hexagonal VC [5]. We applied large supercell plane wave density functional theory calculations (free from band gap error) in order to calculate the hyperfine couplings and the ionization energies of carbon vacancies in 4H-SiC.
We found that all the features of the new EPR center can be explained by the single negatively charged VC defect in the cubic configuration. Furthermore, the calculated charge transition levels and the measured photo-ionization energies showed a very good agreement on VC model.
We conclude that the main carrier killer defect in 4H-SiC is unambiguously identified as the isolated carbon vacancies.
[1] A. Zywietz, J. Furthmüller, and F. Bechstedt, Phys. Rev. B 59, 15166 (1999).
[2] N.T. Son et al., PRL 109, 187603 (2012).
[3] T. Hornos, A. Gali, and B. G. Svensson, Mater. Sci. Forum 679-680, 261 (2011).
[4] T. Umeda et al., Phys. Rev. B 71, 193202 (2005).
[5] X. T. Trinh, K. Szász, T. Hornos, K. Kawahara, J. Suda, T. Kimoto, A. Gali, E. Janzén and N. T. Son, “The negative-U carbon vacancy in 4H-SiC: assessment of the charge correction schemes and identification of the negative carbon vacancy at quasi-cubic site” (submitted).
4:30 AM - T8.06
Electrical Characteristics of TiW/ZnO Schottky Contact with ALD and PLD
Mei Shen 1 Amir Afshar 2 Manisha Gupta 1 Ken Cadien 2 Ying Tsui 1 Doug Barlage 1
1University of Alberta Edmonton Canada2University of Alberta Edmonton Canada
Show AbstractTo produce good quality rectifying Schottky contacts with Zinc oxide (ZnO) is still a considerable challenge. This limits its application in power systems, optical and optoelectronic applications [1, 2]. Metal contact behavior depends significantly on the metal, surface treatment and ZnO thin film quality. In this work, a series of unipolar titanium tungsten (TiW)/ZnO/ aluminum (Al) Schottky diodes were investigated. A TiW layer with thickness of 50nm was first sputtered on Si substrate, followed by deposition of ~30nm ZnO epitaxial layer. Three different techniques including thermal atomic layer deposition (TALD), plasma enhanced atomic layer deposition (PEALD), and pulsed laser deposition (PLD) were utilized for ZnO film growth. Al layer of 220nm thickness was sputtered on the top of ZnO as an ohmic contact. The diode was finally patterned by lithography. The advantages of this unipolar structure are its capability to reduce interface contamination by in situ oxygen plasma or substrate heating treatment to metal substrate surface, simplification of fabrication, and in addition, direct utilization as a bottom source contact of ZnO-based thin film transistors (TFTs).
Different device behavior is exhibited by the ZnO deposited by different techniques. Electrical parameters are extracted from IV and CV measurements. Unipolar devices with ZnO thin film layer deposited by TALD, demonstrated approximately linear behavior. However, devices fabricated with PEALD showed a barrier height of ~0.5eV between TiW and ZnO, turn on voltage of ~1V at current density of 100A/cm2, ideality factor of ~2 and on/off rectifying ratio of ~25 at ±1V. It was also found that these devices exhibited pre-mature breakdown at reverse bias of ~2V. Similarly, devices fabricated by PLD exhibited a barrier height of ~0.45eV, ideality factor of ~2.3, and turn on voltage of ~1V. But the leakage current of the PLD deposited ZnO Schottky diodes are much larger than the PEALD ones, leading to a poor on/off rectifying ratio. ZnO Film characterizations are also carried out to study the film quality.
[1] Nakano, M., et al. (2008). Applied Physics Letters, 93(12), 123309.
[2] Polyakov, A. Y., et al. (2003). Applied Physics Letters, 83(8), 1575.
4:45 AM - T8.07
Piezotronic Effect in Flexible Thin-Film Based Devices
Xiaonan Wen 1 Wenzhuo Wu 1 Yong Ding 1 Zhong Lin Wang 1 2
1Georgia Institute of Technology Atlanta USA2Chinese Academy of Sciences Beijing China
Show AbstractAuthors: Xiaonan Wen, Wenzhuo Wu, Yong Ding and Zhong Lin Wang
Advanced, Materials, Article first published online: 2 MAY 2013, DOI: 10.1002/adma.201300296
Piezotronic effect, utilizing the strain-induced piezopotential to modulate carrier transport across contact barriers/junctions, has attracted numerous research efforts since its discovery in 2006. However, almost all of the piezotronic devices demonstrated before were based on one dimensional (1D) Wurtzite nanostructures, mostly ZnO nanowires (NWs). Although being promising building blocks for future electronics, technical difficulties in implementing 1D nanostructures based devices hinder their immediate applications. On the other hand, piezotronics effect, as a general physical effect, requires the material to be no more than semiconducting and piezoelectric. Considering the technological compatibility and maturity, piezoelectric semiconductor thin films could be the excellent alternative to 1D counterpart for imminently realizing piezotronic applications in the near future.
Here we report the first study of piezotronic effect in RF-sputtered ZnO thin films. The structural properties of engineered ZnO thin film in this work are characterized before further integrating it into functional piezotronic devices. Modulation of charge carrier transport via piezotronic effect is successfully demonstrated in these thin film based devices, and the underlying mechanism is also discussed. Moreover, the tuning effect of strain-induced piezopotential on the UV sensing capability of thin-film piezotronic device has been investigated. This study confirms the universality of piezotronics effect and shows the possibility of building thin film based piezotronic devices for technological and commercial applications with simple fabrication techniques.
5:00 AM - T8.08
Highly Transparent and Conducting ALD of Doped ZnO Thin Films for TCO and Memristive Applications
Trilok Singh 1 Shuangzhou Wang 1 Tessa Leuning 1 Sanjay Mathur 1
1Institute of Inorganic and Materials Chemistry Cologne Germany
Show AbstractSuitable molecular precursors in thermal or plasma-enhanced atomic layer deposition techniques offers a viable solution for overcoming thermodynamic impediments involved in thin film growth. The use of atomic layer deposition enables the realization of various functional coatings whose application spectrum spans from transparent conductive coatings, scratch-proof films to anti-bacterial materials. Over the past decade, we have developed several new precursor systems in order to demonstrate the competitive edge of molecule-based plasma coatings. Our work on a large number of metal oxide systems and their characterization towards microstructure, compositional and functional properties supports the advantages of chemical design in simplifying deposition processes and optimizing functional behaviour.
This talk will present the suitable precursors design of V2O5, Nb2O5 for ALD processes and also doping element for transparent conducting oxide. The thin films of ZnO, V2O5, Nb2O5, VZO (V-doped ZnO) and NZO (Nb-doped ZnO) are highly transparent (> 80%) and conducting. The optical transmittance of transition metal doped ZnO thin films increased by 10% and resistivity also improved. These highly transparent metal oxide thin films are good candidates for resistance random access memories (ReRAM) devices and showed very good resistive switching behaviour
5:15 AM - T8.09
Effect of Growth Solution Selection on Unexpected Impurities in Electrodeposited Cuprous Oxide Thin Films
Matthew Panzer 1 Changqiong Zhu 1 Anna Osherov 1
1Tufts University Medford USA
Show AbstractSemiconducting cuprous oxide (Cu2O) is a promising material for widespread use in future photovoltaic devices due to its direct band gap of approximately 2.2 eV, large absorption coefficient, and earth-abundant elemental constituents. As a solution-based method of creating Cu2O thin films, electrodeposition has attracted much attention due to its low energy input requirement and ready scalability.
While a variety of growth solution chemistries have been reported for Cu2O electrodeposition (e.g. different chelating ligands, pH values, ligand/Cu2+ ratios), little work has been done to identify and characterize any impurities in electrodeposited Cu2O films to date. Typically, electrodeposited Cu2O films are used to fabricate laboratory-scale photovoltaic devices without further treatment of the as-deposited Cu2O surface, which may have unfavorable consequences if impurities or other sources of mid-gap surface states are present.
In this work, a thorough investigation into the chemical state of all surface moieties on electrodeposited Cu2O thin films grown using four archetypical growth baths has been carried out via X-ray photoelectron spectroscopy (XPS) combined with high energy argon ion sputtering. We find that copper does not exist solely in the form of Cu+ regardless of the ligand chemistry employed, as small amounts of copper(II) hydroxide stabilized by copper(II) carbonate are observed on the exposed surface of all films. Controlled ion sputtering is performed on the films in order to obtain information about the depth distribution of all impurities. Significantly, the majority of the carbon impurity observed on the film surfaces is attributed to the ligand utilized in the growth solution, and not due to environmental contamination; the incorporated carbon amount depends strongly on the ligand identity and the solution pH. Perhaps more interesting is the revelation that sodium is present both on the surface - as well as approximately 100 nm below the surface - of some electrodeposited Cu2O films. The binding energy peak position of the Na 1s signal suggests that sodium exists in the form of a Na-O-Cu bridge, forming an extrinsic dopant. Films with greater amounts of sodium on their surfaces exhibit lower photocurrents in a liquid metal/Cu2O Schottky diode structure, likely due to an increase in carrier recombination through mid-gap interface states. These findings are expected to be highly relevant for guiding the development of low cost Cu2O-based photovoltaics by highlighting the significance of the growth solution chemistry on the electrodeposited Cu2O film surface quality and optoelectronic performance.
5:30 AM - T8.10
Non-Equilibrium Thin-Film Growth of Cu2O via Combinatorial PLD
Archana Subramaniyan 1 2 Vladan Stevanovic 2 John Perkins 2 Ryan O'Hayre 1 David Ginley 2 Stephan Lany 2 Andriy Zakutayev 2
1Colorado School of Mines Golden USA2National Renewable Energy Laboratory Golden USA
Show AbstractCuprous oxide, Cu2O, is an earth abundant, low cost and non-toxic material that is widely used in several applications including solar cells, gas sensors, and thin film transistors. In this work, we study the non-equilibrium growth of Cu2O thin films via combinatorial PLD from a CuO target as a function of oxygen partial pressure (pO2) and total pressure (Ptot = pAr + pO2) with a temperature gradient of 300 - 600°C on a 2” x 2” glass substrate. Unlike conventional PLD oxide growth studies where either O2 or Ar is used, here we simultaneously utilize both gases to deposit copper oxide thin films. Cu2O phase was observed at all the deposition conditions studied. In addition to Cu2O, an oxidized second phase (CuO) was formed with increasing pO2 while a reduced second phase (Cu) was formed with increasing temperature. Phase pure Cu2O was formed at intermediate pO2 (0.25 mTorr) and lower temperatures (310 - 325 °C). The formation of oxidizing or reducing second phases with varying pO2 and Ts are consistent with the oxygen chemical potential relation derived from the ideal gas law. But, the coexistence of phases contradicts the Gibbs phase rule and the formation of phase pure Cu2O (at the pO2-Ts studied) does not coincide with the equilibrium phase diagram indicating that the resulting films are in a non-equilibrium state.
To gain a large processing window where phase pure Cu2O can be obtained, we varied the total pressure in the chamber from 3 - 100 mTorr (pO2 fixed to an optimized value). It was found that phase pure Cu2O can be formed at both lower end (310 - 325 °C) and higher end (400 - 600 °C) of the temperature range studied depending on the Ptot value. Therefore, total pressure in the chamber is a key processing parameter that alters the plume dynamics and changes the kinetic rates during deposition. This enables the synthesis of phase pure Cu2O at lower temperatures which is an important criteria for many practical applications.
All the Cu-O phases observed in this study were metastable phases, as they did not comply with the thermodynamic equilibrium phase diagram. To estimate the time required for these metastable phases to reach equilibrium phase, selected libraries were annealed up to 110 hours under the same temperature and atmosphere conditions used for the original depositions. The annealing results revealed that the stability of phase pure Cu2O varies with the total pressure and temperature conditions used for the original deposition. The activation barrier for the phase transition from Cu2O to a phase mixture of Cu2O and CuO was calculated and these results indicate that the non-equilibrium nature of Cu2O does not affect its stability in practical room-temperature applications.
This research is supported by the U.S. Department of Energy, office of Energy Efficiency and Renewable Energy, as a part of a Next Generation PV II project within the SunShot initiative.
5:45 AM - T8.11
Deposition of Undoped and Pt-Doped Tin Oxide Thin Films by the Chemical Spray Technique and Their Application in C3H8 and CO Sensors
Venkata Krishna Karthik Tangirala 1 Maria de la luz olvera Amador 1 Arturo Maldonado 1
1CINVESTAV-IPN Mexico City Mexico
Show AbstractUndoped and platinum doped tin oxide (SnO2, SnO2: Pt) thin films were deposited on glass substrates by using the chemical spray pyrolysis technique. The 0.2 M starting solutions were prepared by dissolving tin chloride (SnO2.5H2O) in ethylic alcohol. For doping the SnO2 films, PtCl2, at atomic concentrations of 1 and 3 at %, was used. Undoped SnO2 thin films were deposited at different temperatures and times, varying between 300 and 5000C in steps of 50°C, and 1.5 and 7.5 min, respectively; whereas SnO2:Pt films were deposited in the 300-400 °C interval, and deposition times between 5 and 15 min.
Film thickness and sheet resistance varied from 30 to 650nm and 150 to 5x104 Omega;, respectively, depending on deposition conditions. The structure was analyzed by X-ray diffraction (XRD) for all samples. All XRD patterns of the films showed a polycrystalline phase, fitting well with the tin oxide rutile-type structure with a strong dependence on the deposition conditions. The sensing properties of all SnO2 films were probed for carbon monoxide (CO) and propane (C3H8). According to the gas sensing results, the best range of deposition temperatures is in the 300-4000C interval. The effect of the deposition conditions, temperature and time, on the sensing properties of the films was analyzed in this work. From SEM and sensing results, the doped and thicker films show a high sensitivity to CO. Thin films deposited at 300 0C and a [Pt]/[Sn]= 3 at % ratio showed the highest sensitivity, around 12, at an operation temperature of 300 0C for both CO and C3H8.
T9: Poster Session III
Session Chairs
Wednesday PM, December 04, 2013
Hynes, Level 1, Hall B
9:00 AM - T9.03
Tunability of Optical Emission Wavelength in In0.21Al0.21Ga0.58As-Capped InAs/GaAs Quantum Dot Heterostructures Using Multilayer Stacking
Jayeeta Sen 1 Arjun Mandal 1 Subhananda Chakrabarti 1
1Indian Institute of Technology Bombay Mumbai India
Show AbstractSelf-assembled InAs/GaAs quantum dots grown by Stranski-Krastanov growth mode have attracted much attention due to its potential application in optoelectronic devices. Strain-driven influences have been explored extensively, like tuning of the emission peak, photoluminescence intensity, full-width at half-maxima (FWHM) etc., by varying the composition and dimension of capping layers in monolayer quantum dots. Another modern technique is to stack multiple layers of coupled quantum dots, which results in higher spatial uniformity due to strain-assisted vertical alignment lowering the FWHM. In this work, we have considered three samples (all grown by solid source MBE), one of which is monolayer InAs QDs capped with 30Å In0.21Al0.21Ga0.58As layer, while the other two are InAs multi-layer quantum dot (MQD) structures with 20Å and 40Å In0.21Al0.21Ga0.58As capping layer thicknesses respectively. In all the three samples, the InAlGaAs capping layer is overgrown with a 50nm GaAs capping layer. For multilayer InAs QD stack capped with 20Å InAlGaAs layer, a red shift of 80nm of the emission peak, reduction of FWHM from 88meV to 44meV and three fold increase in emission intensity was noted compared to those of the monolayer QDs, while for InAs MQDs with 40Å InAlGaAs capping, the corresponding red shift of emission was 17nm, reduction in FWHM was 33meV and two fold increase in PL emission intensity compared to those of the monolayer capped QDs.
The red shift of the emission peak observed in 20Å capped InAs MQDs reflects the increase in size of QDs compared to that of the monolayer sample, occurring due to the penetration of strain field through spacer layer resulting in ad-atom migration from the InAlGaAs capping layer, followed by its incorporation in the QDs, thus increasing its In percentage [1], while the lesser red shift in 40Å capped InAs QDs can be attributed to the minimization of surface free energy of the system [2] for the specific strain condition by intermixing-assisted substitution of In atoms by Ga atoms. This led to and Ga rich smaller islands leading to a blue shift of the emission peak [3]. Lesser increase in emission intensity and higher FWHM compared to that of InAs dots with 20Å capping layer may be due to creation of uneven growth front for subsequent dot layers during exchange of In and Ga atoms between InAs QDs and InAlGaAs capping layer [4].
Hence, using InAlGaAs capped InAs MQD heterostructure and varying the capping layer thickness, significant tunability (inducing both red and blue shift) of the emission spectrum can be achieved. DST, India is acknowledged.
[1] Maximov et. al Phys. Rev. B62, 16671-16680(2000)
[2] O.G.Schmidt et.al. Phys. Rev.B, 61, 13732(2000)
[3] Brazilian Journal of Phys. Vol.32, No.2A(2002)
[4] Kim et.al J.Crystal Growth 259(2003)
9:00 AM - T9.04
OMVPE of InAlAs Using Alternative Al and As Precursors
Brittany L. Smith 1 Nichole M. Hoven 1 Glen Hillier 2 Seth M. Hubbard 1 David V. Forbes 1
1Rochester Institute of Technology Rochester USA2MicroLink Devices, Inc. Niles USA
Show AbstractAlternate aluminum and arsenic precursors were investigated for InAlAs grown by organometallic vapor phase epitaxy (OMVPE). The quality of the InAlAs growths were investigated by secondary-ion mass spectrometry (SIMS) to measure impurity concentrations. Additional defect characterization will be conducted by deep-level transient spectroscopy (DLTS) to quantify the activation energy of deep-level traps. Trends will be extracted from SIMS and DLTS measurements as a function of V/III ratio, growth temperature, growth rate, and precursor flow.
Two arsenic precursors, arsine and tertiarybutylarsine (TBAs), were chosen to compare InAlAs growth quality and are well-studied in literature. These were each used in combination with trimethylindium (TMIn) and trimethylaluminum (TMAl). The impurity concentrations measured by SIMS decrease as the V/III ratio increases, for both arsine and TBAs growths. The impurity values and trends are consistent with literature. The V/III ratio appears to be the major driver for impurity incorporation, though some dependence on growth rate and other OMVPE parameters was observed as well. The carbon impurities in the InAlAs grown from arsine are remarkably low, significantly below the SIMS lower detection limit. Furthermore, DLTS will be used to compare the differences between deep levels in InAlAs grown from arsine with those in InAlAs grown from TBAs.
The two aluminum precursors chosen for comparison were TMAl and tritertiarybutylaluminum (TTBAl). TMAl is widely studied in literature, though TTBAl is less common. This study represents the first report using such a growth configuration. TTBAl will be used in conjunction with each aforementioned arsenic precursors in order to compare all possible precursor combinations. Impurities and defects in these growths will also be characterized by SIMS and DLTS.
9:00 AM - T9.05
Microwave Reflection Study of Ultra High Mobility GaAs/AlGaAs 2D-Electorn System at Large Filling Factors
Tianyu Ye 1 Ramesh Mani 1 Werner Wegscheider 2
1Georgia State University Atlanta USA2ETH-Zurich Zurich Switzerland
Show AbstractThe microwave-induced magnetoresistance oscillations are exhibited by the GaAs/AlGaAs two dimensional electron system (2DES) under microwave and terahertz photo-excitation at liquid helium temperatures. Such oscillations are understood in terms of the displacement and inelastic models for photo-excited transport in this system. In order to identify the relative physical contributions, we have concurrently examined magnetotransport and microwave reflection from- the 2DES. For the reflection measurements, a sensitive microwave detector was assimilated into the standard experimental setup. Here, we report on the observed magnetic field induced changes in the microwave reflection, and correlate the observations with concurrent transport response of the photo-excited 2DES.
9:00 AM - T9.06
Internal Quantum Efficiency and Electrical Properties of Large Area InP/InGaAs Heterostructures
Anders Olsson 1 2 Abuduwayiti Aierken 2 Jani Oksanen 1 Harri Lipsanen 2 Jukka Tulkki 1
1Aalto University Espoo Finland2Aalto University Espoo Finland
Show AbstractThe III-V compound semiconductors can exhibit very high internal quantum efficiencies and recently electricity-to-light conversion efficiency in GaSb/InGaAsSb LEDs exceeding unity has been demonstrated. We report preliminary IQE and current-voltage measurements performed on large area InP/InGaAs structures fabricated at four different facilities. The samples were processed to have circular mesas and while most of the mesas showed relatively good electrical characteristics and low Shockley-Read-Hall coefficient, some of the mesas exhibited very large leakage currents. IQE of the samples was rather modest, even though the expected IQE of a high quality InP/InGaAs structure would be close to unity. In addition, the large area devices exhibit large, and somewhat unexpectedly even linear leakage currents suggesting that the current standard fabrication methods are not as optimal as expected.
9:00 AM - T9.07
Phase Transformations in Contacts to n-InGaAs and Their Role in Determining Contact Resistance
Joyce Lin 1 2 Joshua Yearsley 1 2 Michael Abraham 1 2 Shih-Ying Yu 1 2 Suzanne Mohney 1 2
1Pennsylvania State University University Park USA2Pennsylvania State University University Park USA
Show AbstractOhmic contacts with extremely low specific contact resistances and carefully controlled morphologies are required for III-V digital logic and heterojunction bipolar transistors. Shallow, low-resistance Ohmic contacts to InGaAs with specific contact resistances near 10minus;8 Omega;-cm2 have been achieved by heavily doping the semiconductor and subsequently depositing a relatively unreactive metal contact. However, the preparation of the InGaAs surface before deposition of the contact can greatly affect the contact resistance. In this work, palladium is shown to be particularly effective for making the contact less sensitive to the preparation of the semiconductor surface, as demonstrated using both electrical characterization of contacts to n- and p-type InGaAs and transmission electron microscopy.
Furthermore, a properly chosen contact stack can induce the solid-phase regrowth (SPR) mechanism upon annealing, resulting in a shallow, heavily doped region beneath the contact and a low specific contact resistance, even on an originally lightly doped semiconductor. Hence, more flexibility is provided to the materials growth and device fabrication processes. We achieved a specific contact resistance of 9×10minus;8 Omega;-cm2 using a Pd/Si-based contact to n-type InGaAs with ND =1×1017 cmminus;3. When an ammonium sulfide surface treatment was used in conjunction with SPR, we achieved a specific contact resistance of 2.6×10minus;8±1.7×10minus;8 Omega;-cm2 for the same contact on lightly doped n-type InGaAs (ND =5×1017 cmminus;3).
Finally, scaling of transistors has forced a transition to non-planar device architectures, such as fin field-effect transistors (FinFETs) and gate-all-around devices. Thus, it is critical that we investigate the formation of Ohmic contacts in these new geometries. In addition to the results for planar contacts, we also report effects of geometry on the reactions of contacts to InGaAs nanostructures.
9:00 AM - T9.08
Effects of Pre-Cleaning before GaAs Selective Epitaxial Growth on Aspect Ratio Trapping Patterns by Metal-Organic Chemical Vapor Deposition
Youngdae Cho 1 Mijin Jung 1 Ingeun Lee 1 Youngjo Kim 2 3 Chansoo Shin 3 Wonkyu Park 3 Daehyun Kim 4 Daehong Ko 1
1Yonsei Univ. Seoul Republic of Korea2Ajou Univ. Suwon Republic of Korea3Korea Advanced Nano Fab Center Suwon Republic of Korea4SEMATECH Albany USA
Show AbstractIII-V compound semiconductors with high electron mobility are of interest for channel materials of sub-10 nm scaled Si-based logic devices. For the integration of III-V on Si substrate, reduction of threading dislocations (TDs) arising from the lattice mismatches between Si and III-V is a key processes and for that aspect ratio trapping (ART) methods are widely researched and developed for the lattice mismatched III-V growth on Si (001). In order to filling trenches with less defect density, uniform nucleation of III-V seed layer on clean Si surface plays an important role in the subsequent bulk III-V growth for filling trench patterns. We report the effects of pre-cleaning to remove native oxides on Si surface using both external dilute HF treatment and in-situ high temperature anneal in MOCVD chamber before GaAs selective epitaxial growth on ART patterned Si (001). The nucleation rate of seeded GaAs on the Si trench surface was consistently increased with increasing the dipping time in HF : H2O (=100:1) solutions from 10 sec to 60 sec, which indicates the cleanness of exposed Si(001) surface is critical for the nucleation of GaAs seed layers. In addition, the effect of in-situ anneal in H2 atmosphere at high temperature in the MOCVD reactor before seeded GaAs growth, in which Ga-metal droplets cause etching of Si, also will be introduced.
9:00 AM - T9.09
Thin Film Growth and XPS Study of CZTS Semiconducting Absorber Layer Used in Solar Cell Devices
Mikel Fernando Hurtado Morales 1
1Universidad Nacional de Colombia Bogotamp;#225; Colombia
Show AbstractThis study shows the preparation of different Cu2ZnSnS4 thin films, following the co-evaporation route of metals (Cu, Sn and Zn) in sulfur atmosphere, in three steps sulfide formation. The best results were acquired by Cu/Sn/Zn sequence which has single kesterite phase according to XRD characterization. The surface analyses by X-ray photoelectron spectroscopy (XPS) of Cu2ZnSnS4 polycrystalline thin film growth with sequence Cu/Sn/Zn were studied. Binding energies at 161.5 eV, 486.1 eV, 932.4 eV and 1021.6 eV were found for S 2p3/2, Sn 3d5/2, Cu 2p3/2 and Zn 2p3/2 respectively. SEM and EDS analyses show the morphology and elemental composition of Kesterite CZTS with Sn looses giving a Cu poor and Zn rich structure. The solar cell efficiencies were eta;=1.6% for Mo/CZTS/CdS/ZnS/ITO device and eta;=1.2% for Mo/CZTS/CdS/ZnS/ITO device, using Cu/Sn/Zn evaporation sequence. These results show the growing use of CZTS kesterite semiconducting material as absorber layer in solar cell devices fabricated by thin film technology.
9:00 AM - T9.11
Surface Sulfurization by Sulfur-Contained Slurry for Cu(InGa)(SeS)2 Solar Cells
Tung-Po Hsieh 1 Wei-Chien Chen 1 Lung-Teng Cheng 1 Ding-Wen Chiou 1
1Industrial Technology Research Institute Hsinchu Taiwan
Show AbstractCu(In,Ga)(Se,S)2 (CIGSS)-based solar cells have the greatest potential for photovoltaic due to high conversion efficiency and low-cost technology. The adjustment of material bandgap in the Cu(In,Ga)Se2 (CIGS) absorbers is considered as a key factor to improve conversion efficiency. The increase of the open-circuit voltage (Voc) is easily achieved by increasing band gap energy for a Ga/(In+Ga)-ratio x<0.3. However, the material quality of the CIGS deteriorates when the ratio is over 0.3. Another approach to increase Voc is forming a wide-bandgap CIGSS surface layer on CIGS structure. One of the most successful technologies to produce CIGSS surface layer is through a sulfurization process with highly active hydrogen sulfide (H2S) gas. However, the use of toxic H2S is undesirable due to its high cost and safety issues. Furthermore, the CIGSS layer is not uniform when the gas is non-uniformly distributed in the reaction chamber. This study demonstrates a new method to uniformly sulfurize the surface of CIGS by sulfur-contained slurry for improving the performance of CIGS solar cells.
A typical CIGS absorber is firstly formed and then sulfur-contained slurry was coated on the CIGS. Then, a glass cover plate was put above the CIGS precursor film. The sample was heated over the melting point of sulfur, and sulfur melts to form a viscous liquid. Thus the sulfur-contained slurry uniformly distributed on the CIGS precursor film by capillary force and the solvent in the slurry was removed by the heater. After removing the glass cover, a thermal process was conducted to the CIGS film at 550°C for 10 minutes to obtain a CIGSS surface layer. In comparison with CIGS solar cells, the Voc and efficiency of the solar cells with CIGSS surface layer are increased by 4% and 4.7 %, respectively.
9:00 AM - T9.12
Charge Trapping Characteristics of ZnSe Nanocrystals for Charge Trap Device Applications
Jinho Oh 1 Jonggi Kim 1 Yoonki Min 1 Heedo Na 1 Hyunchul Sohn 1
1Yonsei University Seoul Republic of Korea
Show AbstractNanocrystal(NC)-based nonvolatile memory devices have attracted interest due to their potential in the semiconductor industry to overcome the limitations of the charge trap flash memory. To get the improved memory performance, the band structure of memory stack is critical. 2-6 compound semiconductor NCs such as PbS, ZnTe and CdSe are well-known for size-dependent optical properties due to the quantum confinement effect. In addition, these material have a small band-gap and band-offset with respect to Si and suitable for the deep potential well structure with high barrier height of tunnel barrier and blocking layer for charge trapping and retention. In our study, the charge trap characteristics of ZnSe NCs as the charge trap layer in an n-Si/SiO2/ZnSe-NCs/Al2O3 structure were investigated. A thin ZnSe layer was deposited on SiO2 tunneling layer by DC magnetron sputtering and ZnSe-NCs were formed using high temperature annealing in O2 ambient. ZnSe-NCs with small diameter about 5 nm and high density were confirmed by high resolution transmission electron microscopy. Capacitance-voltage was measured at high frequency to evaluate the charge trapping properties of ZnSe-NCs and extracted charge trap density. The ZnSe NCs capacitor shows a large hysteresis memory window for the low sweeping gate voltage. Moreover, the ZnSe-NC memory had superior charge retention characteristics.
9:00 AM - T9.13
Structural Vacancy Ordering in the Ga2SeTe2 Compound Semiconductor and Its Role on Material Properties
Najeb Abdul-Jabbar 1 2 Thomas Forrest 3 Peter Ercius 2 Edith Bourret-Courchesne 2 Brian Wirth 4
1University of California Berkeley USA2Lawrence Berkeley National Laboratory Berkeley USA3European Synchrotron Radiation Facility Grenoble France4University of Tennessee Knoxville USA
Show AbstractGa2SeTe2 is a compound semiconductor belonging to a novel class of III-VI materials that exhibit a cubic zincblende crystal structure dominated by stoichiometric or “structural” vacancies (also known as defect zincblende). These defects arise due to the valence mismatch between the anion and cation forcing 1/3 of the cation sites to be vacant. Investigations on such materials have shown that the presence of structural vacancies can lead to material properties that are suitable for applications such as phase change random access memory and thermoelectrics. Our recent work has shown that the stoichiometric vacancies present in Ga2SeTe2 can manifest themselves in a variety of different disordered and ordered vacancy planes based on its thermal history. The presence of these structures was verified utilizing high-resolution synchrotron x-ray scattering. High-resolution electron microscopy (STEM) has also revealed that cation-anion dumbbells are inverted around the vacancy planes—leading to the conclusion that formation of such structures is a distortion that is electronic in nature. Electrical measurements on Ga2SeTe2 single crystals have shown that resistivity decreases by a factor of 102 for vacancy ordered samples (a decrease from~3.00 MOmega;cm to ~0.03 MOmega;cm). The implications of the results from our structural and electrical characterization work will be discussed.
9:00 AM - T9.14
Resistance and Seebeck Coefficient Measurements on Single Crystal ZnGeN2 Rods
Jeffrey S Dyck 1 John R Colvin 1 Paul C Quayle 2 Timothy J Peshek 2 Kathleen Kash 2
1John Carroll University University Heights USA2Case Western Reserve University Cleveland USA
Show AbstractZnGeN2 is a II-IV-nitride analog to the III-nitride semiconductor GaN, and the two share very similar crystal structures, lattice parameters, and band-gap energies. The family of compounds Zn(Si,Ge,Sn)N2 are much less studied than their (Al,Ga,In)N counterparts; however, they potentially provide a richer variety of low-strain, thermodynamically stable structures, they possess different point defect physics, and calculations reveal smaller spontaneous polarization fields relative to one another - close to that of GaN. These factors present a number potential opportunities to address current challenges associated devices based on III-nitride semiconductors. Recently, bulk single crystals of ZnGeN2 have been synthesized via a vapor-liquid-solid method, but transport measurements have not been reported. In this work, we present electrical resistance and Seebeck coefficient (S) data on 3-micron diameter, 50-micron-long, single crystal ZnGeN2 rods employing a novel measurement approach. Two-probe resistance data show that the Pt contacts to the crystals are Ohmic. The measurements of S implies that the dominant charge carrier type is electrons, and that the carrier gas is degenerate. Furthermore, taking the electron density of states effective mass of 0.19 me where me is the free electron mass, obtained from recent quasiparticle band structure calculations [1], the value of S suggests that the carrier concentration is in the high 1018 cm-3 to mid-1019 cm-3 range depending on the dominant carrier scattering mechanism. The potential origin of the n-type character will be discussed, as well as the prospects for control of doping in ZnGeN2. Further, we will discuss the prospects for use as a thermoelectric material. This work is supported by NSF grant DMR-1006132. [1] A. Punya and W. R. L. Lambrecht, Phys. Rev. B 84, 165204 (2011).
9:00 AM - T9.15
Silicon Carbide Waveguide-Based Surface Plasmon Resonance Sensors for Chemical and Biomedical Sensing
Wei Du 1 Feng Zhao 1
1Washington State University Vancouver USA
Show AbstractIn chemical and biomedical sensing, identification and quantification of analytes in water, blood or other carriers are very important. Various sensing methods have been developed, and among them, optical waveguide structure is considered very useful and its sensing properties can be significantly improved by surface plasmon resonance (SPR). For water based sensing medium, the optical waveguide sensors are desirable to operate in the visible light wavelength to overcome the large absorption coefficient of water in the near infrared range. Conventional silicon (Si) is not the choice of material for such sensors due to the strong absorption below the wavelength of 1.1 mu;m resulted from the narrow bandgap (Eg=1.12 eV). As a wide bandgap semiconductor, silicon carbide (SiC) is more desirable for such sensors with advantages of (1) bandgap energy of Eg=3.2 eV (in 4H and 6H polytypes) and 2.2 eV (in 3C polytype) with the transparent wavelength over visible and near-infrared range, (2) excellent material properties especially the chemical inertness and biocompatibility, and (3) device fabrication compatible with standard Si device fabrication for manufacturing and on-chip integration. In this paper, we investigated SiC optical waveguide-based SPR sensors. At the incident light of 633 nm, the confinement factor above 0.9 can be achieved at the refractive index of n=1.3~1.5. With the change of refractive index, the shift of resonant wavelength showed linear characteristics, and a sensitivity of 2580 nm/RIU (refractive index unit) was obtained in a refractive index range of n=1.34~1.36. These sensing properties, when combined with the advantages of SiC material, prove that SiC waveguide SPR sensor is very promising for chemical and bio sensing.
9:00 AM - T9.16
First-Principles Calculations of Positron Lifetimes for Fully Relaxed Defects and Defect Clusters in Silicon Carbide
Julia Wiktor 1 Gerald Jomard 1 Marjorie Bertolus 1
1CEA,DEN Saint-Paul-lez-Durance France
Show AbstractPositron annihilation spectroscopy (PAS) is a non-destructive experimental method allowing one to probe the electronic structure of materials. Getting trapped in an open-volume defect changes the positron&’s annihilation features, such as its lifetime and the Doppler broadening of the annihilation radiation, and these changes can be measured.
PAS has been widely used to study irradiation induced vacancy-type defects in silicon carbide. PAS experiments themselves, however, do not provide the relationship between the signals observed and the defect type. Thus, identification of the experimental data requires comparison with other experimental techniques or with calculations, for instance in the density functional theory (DFT) framework. The interpretation of the experimental results for silicon carbide has up to now been based on calculations by Brauer et al. [G. Brauer et al. Phys. Rev. B 54, 2512 (1996)] which were performed for perfect, unrelaxed defects, as is commonly done for metals. The positron lifetime, however, is mostly sensitive to the available free volume, and not taking into account the atomic relaxation effect can lead to inaccurate results, especially in semiconductors, which exhibit defect behaviours different from metals.
We present the electronic structure calculations of positron lifetimes for fully relaxed monovacancies and vacancy clusters in 3C-SiC and 6H-SiC. We used self-consistent positron lifetime calculation schemes taking into account the effect of the positron density on the electronic structure [J. Wiktor et al., Phys. Rev. B, in press (2013)]. Various charge states have been considered. We compare our results with the PAS experimental observations considering the calculated defects formation energies.
9:00 AM - T9.17
Reliable Characteristics of Shielded Ni2Si Schottky Barrier Contacts on SiC in Harsh Environments
Adetayo Adedeji 1 Ayayi Claude Ahayi 2 Aswini Pradhan 3
1Elizabeth City State University Elizabeth City USA2Auburn University Auburn USA3Norfolk State University Norfolk USA
Show AbstractThe degradation of the electrical properties of Ni2Si Schottky barrier contact protected by tantalum rich Ta-Si-N barrier layer on SiC semiconductor was studied as a function of time of exposure to high temperature and high energy proton irradiation. The shift in the I-V characteristics was used as a measure of the effectiveness of the Ta-Si-N barrier layer. The physical integrity of the layers in harsh environment was studied with Rutherford Backscattering Spectroscopy (RBS). The samples surface roughness upon exposure was monitored with Scanning Electron Microscopy (SEM) and Atomic Force Microscopy (AFM).
9:00 AM - T9.18
Low-Temperature Epitaxial Growth of Ge-Rich SiGe Films on Si by Reactive Thermal Chemical Vapor Deposition
Ke Tao 1 Jun-ichi Hanna 1
1Imaging Science and Engineering Laboratory Yokohama Japan
Show AbstractEpitaxial growth of silicon-germanium (Si1-xGex: 0le;xle;1) thin films on Si(001) substrate at low temperature has been investigated for bottom-cell of the multijunction solar cells. Reactive Thermal Chemical Vapor Deposition (RTCVD) system was adopted to grow the films with Si2H6 and GeF4 as source gases. Si2H6 is a reductant for GeF4, while GeF4 is an oxidant for Si2H6, so that the films deposition takes place at lower temperature over 300oC. In addition, because of the etching activity of GeF4 for Si, the surface modification can be expected during deposition, resulting in early structural relaxation in a lattice mismatch system. In fact, this CVD processes is quite different from the LP-CVD and RP-CVD techniques using GeH4.
The present results indicate that Si1-xGex (xge;0.99) epilayer can be prepared directly on Si wafer at 350oC with the degree of strain relaxation up to 98.5%. For 780nm-thick Si1-xGex films, a threading dislocation density of ~7.0×105cm-2 is achieved, along with a surface RMS roughness of ~1.44nm. This surface roughness is comparable to those obtained, while the threading dislocation density is less than those if the films fabricated by LP-CVD and RP-CVD growth process, suggesting that RTCVD is a promising technique for high-quality epitaxial growth of Ge-rich Si1-xGex films on Si wafer.
We discuss the present results from the view of the effect of GeF4 on structural relaxation of the lattice, including TEM observation of the films. The fabrication of HIT type of solar cells is planned and its result will be presented.
9:00 AM - T9.19
Contacts to N-Type Si/Ge/Si:C Using Rare Earth Metals
Ravi Kesh Mishra 1 Prashanth Paramahans Manik 1 Aneesh Nainani 2 Saurabh Lodha 1
1Indian Institute of Technology Bombay, Powai Mumbai India2Applied Materials Santa Clara USA
Show AbstractContact resistance has emerged as a significant bottleneck for device scaling, especially for emerging materials such as Ge, Si:C and III-V semiconductors [1]. The challenge is more severe for n-type contacts due to low active dopant concentration (Nsub) and high Schottky barrier height (Phi;b) [1, 2]. Alloying of metals with Ni before silicidation has proved to be effective in lowering Phi;b for n-type contacts [3]. In this work we have studied the impact of rare earth metals (Yb and Er) deposited as interlayers between Ni and the semiconductor or alloyed with Ni for contacts to n-type Ge, Si and Si:C.
Realization of low resistance contacts requires formation of silicide/germanide with low sheet resistance (Rsh).The silicide/germanide should also be able to maintain low Rsh during high temperature anneals for ease of process integration. We show that incorporating rare earth metals (Er or Yb) into the Nickel silicide/germanide increases the thermal stability of the low Rsh silicide/germanide for all three substrates (Si, Si:C and Ge). Contact diodes at different silicidation/germanidation anneal temperatures were also fabricated. Variable temperature I-V characteristics of these diodes have been studied to understand the impact of the rare earth metal on Phi;b at the metal/semiconductor interface. Improved thermal stability and lower Phi;b indicate that rare earth metals such as Yb and Er can help reduce n-type contact resistance for future device applications.
References:
[1] P. Paramahans et al., VLSI Technology Symposium, 83-84 (2012)
[2] S. Gupta et al., J. Appl. Phys. 113, 234505 (2013)
[3] R. T. P. Lee et al., IEDM (2006)
9:00 AM - T9.20
Infrared Electroluminescence from GeSn Junction Diodes Grown by Molecular Beam Epitaxy
Jay Prakash Gupta 1 Nupur Bhargava 1 Sangcheol Kim 1 Thomas Adam 2 James Kolodzey 1
1University of Delaware Newark USA2University of Albany Albany USA
Show AbstractWe report electrical and electroluminescence (EL) measurements of P-N heterojunction diodes fabricated from layers of boron doped p-type germanium tin (GeSn) (with 8% Sn) grown by molecular beam epitaxy (MBE) on n-type Ge-substrates. Infrared spectral emission at 2.15um (0.57eV) has been observed from electrically pulsed diodes at room temperature, which increased in intensity with current. The total integrated emitted power was 54 mu;W from a single facet at 100 K with a peak current of 100 mA, and approximately 216 mu;W for all 4 edge facets.
There has been significant interest in the research and development of Group IV based optoelectronic devices compatible with silicon-based circuits, but the absence of a direct energy bandgap, important for efficient radiative transitions has been the limiting factor until now [1]. Germanium-tin (GeSn), a non- equilibrium Group IV alloy, compatible with silicon technology has become attractive for electronic and opto-electronic applications due to its higher carrier mobility, in addition to bandgap control by composition and strain, and the possibility of an energy gap that is direct in k space. The smaller band gap of GeSn than Ge may improve the response at 1.55um and push the spectral range to middle infrared (Mid-IR) wavelengths.
In this study, several p-doped GeSn alloy samples were grown by MBE on n-doped Ge substrates. Prior to the GeSn alloy growth, n-doped Ge buffer layers, 30-40nm thick were grown and doped to concentrations of 3x1018 cm-3. The Ge0.92Sn0.08 layers were doped to acceptor concentrations of 3x1018 cm-3 with Boron, measured from SIMS. The Ge0.92Sn0.08 layer thickness was 100-110nm, measured by stylus profilometry. Using standard photolithography, light emitting diodes (LEDs), with top surface areas of approximately 1mm x 1mm were fabricated by evaporating metals onto patterned photoresist followed by lift-off . All diodes showed rectifying current versus voltage (I-V) characteristics at room temperature as well as lower temperatures. Under forward bias with applied current pulses, EL was detected using an external Mercury Cadmium Telluride (MCT/B) detector placed directly in front of the GeSn diode mounted in the edge-emitting configuration. The linear response in output versus applied current suggests that the emission is due to EL rather than heating. EL spectral measurements as well as power calibration were performed using a Thermo Nicolet Nexus- 870 Fourier transform Infrared Spectrometer [FTIR] in step-scan mode at a resolution of 16 cm-1, and indicated strong spectral emission power(~ 50 µW) near 2 µm from the GeSn LEDs.
Significant electroluminescence has been observed from heterojunction p- Ge0.92Sn0.08 /n-Ge junction light emitting diodes with 8% Sn composition and will be reported. These results will show that GexSn1-x alloys can be very attractive as mid - near IR source.
9:00 AM - T9.21
Highly Sensitive Green Luminescent ZnO as a Non-Contact Optical Probe for Wide Range Thermometry
Satish Laxman Shinde 1 Karuna Kar Nanda 1
1Indian Institute of Science Bangalore India
Show AbstractIn the era of micro/nanotechnology, measurement of temperature with micro/nanometer scaled spatial resolution is very crucial in micro/nano dimension scale. Many kinds of nano-thermometers have been developed by decreasing the geometrical size of the conventional thermometers. Fluorescence thermometers based on temperature-dependent photo-luminescence of nano-structures is gaining popularity because of high accuracy and sensitivity in wide temperature range. Also it has wide range of applications such as scanning thermal microscopy, biological imaging, temperature-induced phase transition studies, monitoring the temperature of fast moving turbine blades and aircraft's, microfluidic, intracellular temperature mapping etc.
Recently, it has been demonstrated that the temperature-dependent band gap of ZnO can be explored to evaluate temperature in between 300-773 K.[1] Such thermometers have restricted applications as the transmittance/absorbance spectra used for the evaluation of the band gap are recorded in dark. Furthermore, precise measurement of the band gap is difficult and the resolution of thermometer is 1 K. Recently, we demonstrated green-luminescent ZnO micro-crystals based ratio-metric thermometer in the cryogenic temperature range of 83-473 K with an accuracy of 0.1 K. Green-luminescent ZnO micro-crystals prepared by vaporizing Zn powder at higher temperature. ZnO micro-crystals exhibit a monotonic increase in the intensities of UV and green bands at an excitation wavelength of 355 nm with decrease in temperature. Though we have shown for 83-473 K, it can be used to measure the temperature down to 10 K or so. We have measured the variation of the temperature of CNT mat and shown the possibility of temperature mapping in order to ensure the applicability of the thermometer. For the practical application of ZnO temperature sensors of this type we have studied the melting temperature of In as an example.
Reference
1. S. Chenghua, X. Juan, W. Helin, X. Tianning, Y. Bo, L. Yuling, Rev. Sci. Instrum. 2011, 82, 084901.
9:00 AM - T9.22
AlPd-Based Ohmic Contact to ZnO Transparent Conducting Oxide for GaN-Based LEDs
Sei-Min Kim 1 Seon-Ho Jang 1 Young-Woong Lee 1 Jun-Hyuk Choi 1 Ja-Soon Jang 1
1Yeungnam Univ Gyongsan Republic of Korea
Show AbstractIndium tin oxide (ITO) is currently used for most of highly transparent conducting electrode (TCE) films applications due to its low resistivity and high transparency in the visible region on p-GaN. However, indium is a limited and expensive element and thermal stability of ITO is poor for a high performance application to transparent electrodes in LEDs. Therefore, a replacement of TCE material is needed for high efficiency LEDs. Recently, ZnO-based TCE have received attention for p-GaN ohmic contact layer because it is abundant and non toxic material. In addition, ZnO-based TCE has higher transparent and similar electrical properties compare to ITO. However, it is very difficult to form an ohmic contact to p-GaN with ZnO-based TCE. In this study, PdAl-based scheme for ohmic contact to Ga-doped ZnO TCE on p-GaN was investigated. Ga- and As-doped ZnO (ZnO:Ga/As) TCE was grown by molecular beam epitaxy (MBE) on GaN-based LED. Transmission line method (TLM) patterns needed to characterize ohmic contacts for measurement of specific contact resistance and sheet resistance. Prior to the fabrication of TLM pattern, mesa structures were patterned using a hydrogen chloride (HCl) based solution. After the mesa etching, TLM patterns were defined using a photolithographic technique. The pads were 100 x 200 mu;m2 in size and the spacings between the pads were 5, 10, 15, 20, 25, and 30 mu;m. . PdAl-based ohmic contact scheme was then deposited on the ZnO:Ga/As by e-beam evaporation. Composition of PdAl alloy was confirmed by glancing angle X-ray diffraction (GXRD) and auger electron spectroscopy (AES). Atomic concentration of Al shows about 20wt% from the AES depth profile. And we found that the sheet resistance and carrier concentration as a function of annealing temperature. Sheet resistance and specific contact resistance ( Rsc ) is calculated to be 82.6 Omega; / sq and 6.62 x 10-5 Omega;cm2, respectively. More detailed characteristics including possible carrier transport mechanism between ZnO and PdAl-based ohmic contact will be discussed later.
9:00 AM - T9.23
Effect of Water Content in the Aerosol Solution on the Physical Characteristics of Indium-Doped Zinc Oxide Thin Films Deposited by Ultrasonic Spray Pyrolysis
R. R. Biswal 1 A. Maldonado 1 M. de la L. Olvera 1
1CINVESTAV-IPN Mexico City Mexico
Show AbstractIndium-doped zinc oxide thin films have been deposited at 430°C on soda-lime glass substrates by the chemical spray technique, starting from zinc acetate and indium sulphate. Atomization of the solution was done by ultrasonic excitation. The variations in the electrical, structural, optical, and morphological characteristics of ZnO:In thin films, as a function of the water content in the starting solution were studied. The electrical resistivity of ZnO:In thin films is not significantly affected with the increase in the water content, up to 100 mL/L; further increase in water content causes an increase in the resistivity of the films. Optical transmittance was better for films with the highest water content but it led to the most resistive samples. All films show a polycrystalline character, fitting well with the hexagonal ZnO wurtzite-type structure. The preferential growth remained the same, along the plane (002) irrespective of the content of water in the starting solution. The surface morphology of the films showed irregularly shaped grains in the case of films deposited with the lowest water content, whereas hexagonal slices, with a wide size distribution were observed in the other cases. In addition, films deposited with the highest water content show a narrow size distribution.
9:00 AM - T9.24
Manufacture and Characterization of Tin Oxide Pellets for Gas Sensing Applications
Venkata Krishna Karthik Tangirala 1 Maria de la luz Olvera Amador 1 Arturo Maldonado 1
1CINVESTAV-IPN Mexico City Mexico
Show AbstractTin oxide (SnO2) pellets were manufactured with SnO2 nanopowders synthesized from two different routes, namely, route 1 with urea as a precipitation agent, and route 2 with ammonia as a precipitation agent by using the Homogeneous Precipitation Method. Powders obtained from both routes were ball milled at 4, 6 and 8 h with speeds 300 and 400 rpm. The variation of crystallite size as a function of milling time and milling speed has been studied by using the High Resolution Transmission Electron Microscopy (HRTEM) analysis. Powders milled at 400 rpm for 6 h were used to manufacture thin pellets by using a die. After several experimental trials a stable SnO2 pellet was processed by pressing with a pressure of 16 tons for 90 min. Silver ohmic contacts were deposited on the pellets surface through a steel mask by the thermal evaporation technique. The sensing properties of these pellets were measured in a carbon monoxide atmosphere, CO, at different concentrations and operation temperatures. Uniform crystallite size, around 15 nm, of SnO2 powders were obtained in both routes after a ball milling for 6 h at 400 rpm. Crystal planes with corresponding inter-planar spacing around 0.346 nm were identified, which was also supported by calculations from the X-ray spectra of the powders. The sensitivity magnitudes increased with the gas concentration and operation temperature. The maximum sensitivities were of the order of 37 and 25 for pellets measured at 300 °C and a CO concentration of 300 ppm, for route 1 and route 2, respectively. The repeatability of the pellets were also been assured.
T7: III-V and II-VI: Epitaxy and Devices
Session Chairs
Tsunenobu Kimoto
Neeraj Tripathi
Wednesday AM, December 04, 2013
Hynes, Level 2, Room 202
9:30 AM - *T7.01
Compound Semiconductors for the CMOS Industry
Neeraj Tripathi 1 Steven Bentley 1 Kerem Akarvardar 1 Jin Cho 1
1GlobalFoundries Albany USA
Show AbstractThe success of the CMOS industry in delivering on Moore&’s law for decades has been possible due to continued improvements in optical lithography, improved maturity of Si processing and innovations in device design. As device dimensions are reduced with every node, the CMOS industry is reaching a point where the material characteristics of Si will become fundamental limitations in performance improvement with device scaling. The CMOS industry and academia, expecting this roadblock, have been looking at alternate materials (SiGe, III-Vs) and device designs that could allow continued improvement in device performance. Here, we provide a review of the role that compound semiconductors have played so far in the CMOS industry and the various recent developments in SiGe and InGaAs based MOSFETs that make them attractive for integration in mainstream CMOS. These technologies still face several roadblocks that require to be addressed before alternate channel materials can replace Si channel. Use of a single material system to replace Si for both NFETs and PFETs would be ideal in terms of reduced integration complexity. Unfortunately, although III-As boast extremely high electron mobility as compared to Si, they do not typically offer significant improvements in hole mobility. Conversely, the SiGe material system promises significant improvement in hole mobility if strained, but offers negligible electron mobility enhancement. In addition, a change of material system also faces fundamental intrinsic challenges, including high interface trap density and high contact resistivity, both of which could counter the benefits of higher intrinsic mobility. A thorough review of the current status of alternate channel materials for CMOS applications will be discussed.
10:00 AM - T7.02
The Effect of Bismuth Incorporation into GaAs on the Growth Mechanism and Intrinsic Defects
Shujiang Yang 1 Glen Jenness 1 Dane Morgan 1 Mehrdad Arjmand 1 Izabela Szlufarska 1 Jincheng Li 2 April Brown 2 Kamran Forghani 1 Thomas F. Kuech 1
1University of Wisconsin-Madison Madison USA2Duke University Durham USA
Show AbstractIncorporating a small amount of Bi into GaAs can lead to a significantly reduced, temperature insensitive band gap, and large spin-orbit coupling. This makes GaAsBi a promising material for next generation solar cells, lasers, transistors and spintronic devices. Although many empirical efforts have been devoted to increase dopant concentration and crystal quality of GaAsBi, a more complete understanding of the incorporation mechanism would support more rational design of the synthesis approach to GaAsBi. In this work, a combination of ab initio calculations and kinetic Monte Carlo simulations is used to tackle this problem at the atomic scale. By analyzing the collective adsorption, desorption, surface diffusion behaviors of Ga/As/Bi source species under both MBE and MOVPE growth conditions, GaAsBi growth processes at each stage are proposed. The results agree with experimental findings that GaAsBi effectively grows on (2x1) reconstructed surfaces. It is further shown that Bi not only acts as dopants, but also as seeds for the alloy growth. The effect of Bi incorporation on the intrinsic GaAs defects is also investigated.
10:15 AM - T7.03
Strain Characterization of Selectively Epitaxial Growon GaAs Structures in STI Patterned Si Substrate by Nano Beam Diffraction
Sun-Wook Kim 1 Young-Dae Cho 1 Chan-Soo Shin 2 Won-Kyu Park 2 Dae-Hyun Kim 3 Dae-Hong Ko 1
1Yonsei Univ. Seoul Republic of Korea2Korea Advanced Nano Fab Center Seoul Republic of Korea3SEMATECH Albany USA
Show AbstractIt is believed that the introduction of III-V materials in CMOS technologies needs to take advantage of the mature Si based technologies. The most severe issue in III-V on Si integration is the formation of high-density threading dislocations due to the lattice mismatch between III-V materials and Si (4.2%). Among the significant progress in reducing the defect density in epitaxial GaAs grown on Si substrates, the selective epitaxial growth of the lattice mismatched materials in high aspect ratio trenches is well investigated route involving the elimination of dislocations by trapping of threading dislocations within trenches. Ge epi layers have also been used as a buffer layer to reduce to defect density due to its similar lattice constant to GaAs (0.08% mismatch).
In this study, we carried out the selective epitaxial growth of GaAs layers in shallow trench isolation (STI) structures patterned on (001) Si substrates. The GaAs layer was deposited by metalorganic chemical vapor deposition (MOCVD) at 450~670°C. We changed the STI pattern size to investigate the effects of pattern size on the quality of epi layers and the strain induced in GaAs layers. In addition, the thickness of GaAs epi layers was varied to examine the strain evolution during the growth stages of epi layers. GaAs layers grown on Si substrates with a Ge seed layer were also compared with those directly grown on Si. The defects and microstructure were characterized using high resolution transmission electron microscopy (HR-TEM), and the strain within the epi layers was measured by high resolution x-ray diffraction (HR-XRD) and nanobeam diffraction (NBD) method. The spatial resolution of NBD method was estimated to be around 2 nm with 0.1% strain resolution.
10:30 AM - T7.04
Compliant, Heterogeneous Integration of Ultrathin, Microscale GaAs Vertical Cavity Surface Emitting Lasers towards Wearable Integrated Optoelectronic Sensing Platforms
Dongseok Kang 1 Sung-Min Lee 1 Mir-Ashkan Seyedi 2 John O'Brien 2 P. Daniel Dapkus 1 2 Jongseung Yoon 1 2
1University of Southern California Los Angeles USA2University of Southern California Los Angeles USA
Show AbstractVertical cavity surface emitting lasers (VCSELs) represent ubiquitous light emitting devices for a wide range of technological applications due to their unique characteristics such as low power consumption, beam profile, optical divergence, and reliability, which excel those possible with light emitting diodes (LEDs) or edge-emitting lasers (EELs). VCSELs have been available, however, only in thick, planar forms on their growth substrates, and over restricted areas due to difficulties in materials growth, processing, and assembly techniques that are not compatible effectively with ultrathin, compliant, and large-scale assemblies, thereby limiting their use. Here we present materials design and fabrication approaches that overcome such limitations of conventional VCSELs and enable new application possibilities that are not available in the existing technologies. Specialized design of epitaxial layers and etching processes, together with techniques of transfer printing, enable release of ultrathin, microscale VCSELs from the growth substrate and printed assembly on diverse classes of substrates over large areas in ways that also allow the reuse of the growth substrate. Demonstrations in large-scale, addressable arrays of ultrathin, microscale VCSELs on soft, deformable substrates, and heterogeneous integration with silicon-based electronics to create integrated optoelectronic sensing platforms illustrate some of unique capabilities.
10:45 AM - T7.05
Dielectric Response of Light Emitting Semiconductor Junction Diodes: Frequency and Temperature Domain Study
Kanika Bansal 1 Shouvik Datta 1
1Indian Institute of Science Education and Research Pune Pune India
Show AbstractUnderstanding a semiconductor junction emitting light is a challenging task. Presence of a large number of charge carriers and fast recombination process limit the use of our conventional understanding based on depletion approximation for such devices. However, it can be very useful technologically and fundamentally to comprehend the condensed matter physics of a semiconductor diode during light emission. With this motivation, in this work, we explore dielectric response as well as modulated light emission from AlGaInP based, multi quantum well, red light emitting devices (J. Appl. Phys. 110, 114509 (2011), Phys. Status Solidi C 10, 593 (2013)). Our results show inductive like reactance for low modulation frequencies (<100 kHz) and high forward biases. A correlated though nontrivial frequency dependence of modulated light emission is also observed. We constructed a model to explain this behavior by considering active participation of slowly responding sub-bandgap defect levels in fast charge recombination dynamics. Using our model, we anticipated and verified the temperature dependence of reactance and modulated light emission for relatively low temperatures (<200 K). For higher temperatures, thermally activated escape of charge carriers from the quantum well starts to affect the device response. As a result, modulated light output maximizes in certain temperature range bellow room temperature (Appl. Phys. Lett. 102, 053508 (2013)).We suggest that for better efficiency of devices in high frequency direct modulation applications (~GHz), it is desirable to reduce low frequency response which prevents charge carriers from following fast modulation. Nevertheless, quantum well parameters should be tuned to get maximum modulated light output around working temperature of the device.
11:30 AM - T7.06
Investigation of InAs/GaSb Superlattices with Monovalent Barriers for Extending the Operational Cut-Off Wavelength for Thermophotovoltaic Devices
Abbey Licht 1 Dante DeMeo 1 Thomas Vandervelde 1
1Tufts University Somerville USA
Show AbstractThermophotovoltaic (TPV) devices offer the promise of a new solid-state, primary energy production mechanism to convert thermal energy to electricity, as well as a secondary energy production mechanism through the harvesting of waste heat. Presently, TPV diodes are primarily made of bulk binary and tertiary III-V compound semiconductors and are limited to the absorption of near infrared (IR) radiation due to enhanced recombination rates as bandgaps shrink. Our research serves to extend the operational cut-off wavelength of TPV devices to work with longer wavelengths. Advances in IR photodetector research promise significant potential for longer cut-off wavelength TPV by moving away from bulk materials. Research has demonstrated that recombination in small bandgap devices can be reduced through the use of a type-II superlattice (T2SL). In a T2SL, incident photons excite carriers from the valence band of one material to the conduction band of the other. The separation of electrons and holes leads to a reduction in radiative recombination. Additional research shows that inserting a wide bandgap, monovalent barrier into the p-n junction led to reduced Shockley-Reed-Hall recombination, resulting in improved device detectivities. While these structures have been applied to infrared photodetectors, they have not yet been re-envisioned for TPV cells.
We first investigated GaSb/InAs T2SL structures with monovalent barriers in TPV devices targeting wavelength cut-offs of 5 - 7 microns. Simulations were used to optimize the band structure energy levels for superlattice materials and to align the energy bands between different layers in the device. Diodes were then fabricated using molecular beam epitaxy and were characterized using calibrated blackbody sources. Demonstration of working devices at these wavelengths shows promise for longer, > 7 micron wavelength cut-off devices. Additional simulations determine how far beyond the 7 micron cut-off TPV diodes can continue to convert IR radiation into electricity without cooling the TPV diode.
11:45 AM - T7.07
Carrier Dynamics in MOVPE-Grown Bulk InGaAsNSb Materials and Epitaxial Lift Off GaAs Double Heterostructures for Multi-junction Solar Cells
Yongkun Sin 1 Stephen LaLumondiere 1 Nathan Wells 1 William Lotshaw 1 Steven C. Moss 1 Tae Wan Kim 2 Kamran Forghani 2 Luke J. Mawst 2 Thomas F. Kuech 3 Rao Tatavarti 4 Andree Wibowo 4 Noren Pan 4
1The Aerospace Corporation El Segundo USA2University of Wisconsin - Madison Madison USA3University of Wisconsin - Madison Madison USA4MicroLink Devices Inc. Niles USA
Show AbstractHigh performance and cost effective multi-junction III-V solar cells are attractive for satellite applications. High performance multi-junction solar cells are based on a triple-junction design that employs an InGaP top-junction, a GaAs middle-junction, and a bottom-junction that employs a 1.0 - 1.25eV-material. The most attractive 1.0 - 1.25eV-material is the lattice-matched dilute nitride such as InGaAsN(Sb). A record efficiency of 43.5% was achieved from multi-junction solar cells including dilute nitride materials [1]. In addition, cost effective manufacturing of III-V triple-junction solar cells can be achieved by employing full-wafer epitaxial lift off (ELO) technology, which enables multiple substrate re-usages.
We employed time-resolved photoluminescence (TR-PL) techniques to study carrier dynamics in both pre- and post-ELO processed GaAs double heterostructures (DHs). MOVPE-grown GaAs DHs were on top of a thin AlAs release layer, which allowed epitaxial layers grown on top of the AlAs layer to be removed from the substrate. We will report on our RT TR-PL results of the pre- and post-ELO processed GaAs DHs with different dopant types and various doping densities and thicknesses. We also studied carrier dynamics in MOVPE-grown bulk dilute nitride materials nominally lattice matched to GaAs substrates: InGaAsNSb layers (Eg= ~1.0 - 1.25eV at RT). The incorporation of N in InGaAsN led to significant reduction in PL efficiency, but the addition of Sb in InGaAsNSb improved the PL efficiency due to the surfactant effect of Sb. Post-growth thermal annealing processes yielded improvements in PL efficiencies and carrier lifetimes. We will report on our RT and low temperature TR-PL results of InGaAsNSb DHs with different amounts of N incorporated as well as of InGaAsNSb DHs at different stages of post-growth thermal annealing steps.
[1] M. Wiemer, V. Sabnis, H. Yuen, Proceedings of SPIE, 8108, 810804-1 (2011).
12:00 PM - T7.08
Recombination Behavior of Extended Defects in Undoped Bulk CdTe with Various Stoichiometries
Harvey Guthrey 1 Helio Moutinho 1 John Moseley 1 2 Dave Albin 1 Wyatt Metzger 1 Mowafak Al-Jassim 1
1National Renewable Energy Laboratory Golden USA2Colorado School of Mines Golden USA
Show AbstractThe effect of various types of extended defects on the cell parameters of thin film CdTe photovoltaics has long been debated. In thin film devices the information obtained from measurements of the electrical and optical properties of grain boundaries is often obscured due to probe size, sampling volumes, and unknown subsurface morphologies that introduce uncertainty in the origin of observed phenomena. This work utilizes bulk undoped CdTe with grain sizes on the order of several hundred microns to circumvent the issue of convoluted data. Electron backscattered diffraction (EBSD) orientation mapping was used to reveal the character of particular boundaries which was then correlated with local energy levels cathodoluminescence (CL) spectroscopic imaging. The samples used varied in stoichiometry and Cd-rich, Te-rich, and stoichiometric samples were analyzed. CL intensity imaging of the samples showed that sigma 3 boundaries in the Cd-rich and Te-rich samples are electrically active and result in decreased luminescence intensity but this was not the case in the stoichiometric sample. In all of the samples the sigma 3 boundaries appear to be decorated with dislocations that emit photons with approximate energy that has previously been classified as the Y-band. Randomly oriented grain boundaries in these samples were associated with decreased overall emission indicating that these features act as efficient recombination centers. Through the correlation of local energy levels with the structural and chemical aspects of extended defects, this work provides fundamental information that can be used to more accurately interpret the effects that subsequent processing steps have on the optical and electrical properties of extended defects in thin-film photovoltaics utilizing CdTe absorbers.
12:15 PM - T7.09
Improved Electrical Properties of Ga2O3:Sn/CIGS Hetero-Junction Photoconductor
Kenji Kikuchi 1 2 Shigeyuki Imura 1 Kazunori Miyakawa 1 Hiroshi Ohtake 1 Misao Kubota 1 Eiji Ohta 2
1NHK Science and Technology Research Laboratories Tokyo Japan2Keio University Yokohama Japan
Show AbstractWe have studied a high-sensitivity visible light detector that makes use of CIGS chalcopyrite thin films. The CIGS chalcopyrite thin film has been extensively investigated with respect to its potential applications as absorber of high efficiency thin film solar cells. They have a direct band gap, p-type conductivity, high absorption coefficient, high quantum efficiency, and great stability. The typical structure of CIGS solar cells consists of AZO/i-ZnO/CdS/CIGS/Mo/glass. However, the quantum efficiency of this structure in the short wavelength (< 520 nm) is deteriorated due to optical absorption loss from the CdS (Eg = 2.4 eV) layer. Moreover, toxic hazards in the usage of cadmium are undesirable. These are unfavorable for both visible light detector and solar cells. For these reasons, a lot of effort has been dedicated to finding a Cd-free replacement material for the solar cells. In our previous study, we applied the Ga2O3 layer as an n-type semiconductor as Ga2O3 has n-type conductivity, a wide band gap (4.9 eV), and high transmittance in the visible light. By widening the band gap beyond that of CdS, a higher short wavelength quantum efficiency is expected in CIGS films. The dark current of CIGS applied the Ga2O3 layer was very low because the Ga2O3 thin layer functioned as a hole-blocking layer for CIGS films and suppressed an injection of holes from the electrode. However, CIGS with pure-Ga2O3 had sensitivity only in the ultraviolet light region. The carrier density of the pure-Ga2O3 layer was much lower than that of the CIGS layer, so its depletion region was almost completely spread in the CIGS layer. In this study, we doped tin to the gallium oxide layer to increase carrier density of the Ga2O3 one. Tin doping to these layers was done by pulsed laser deposition (PLD) using a Ga2O3:Sn (5 wt%) target. As a result, this structure acquired sensitivity in visible light due to the shift of the depletion region from a Ga2O3 to a CIGS layer. Moreover, quantum efficiencies was improved due to optimization in the fabrication of Ga2O3:Sn. The pulse repetition rate and substrate temperature during PLD played an important role in improving the electrical properties of the Ga2O3:Sn/CIGS hetero-junction photoconductor.
12:30 PM - T7.10
Photoluminescence of Single Crystal Thin Film CuGaSe2:Zn Grown on GaAs(001)
Atsushi Kawaharazuka 1 Miki Fujita 1 Yoshiji Horikoshi 1 Sathiabama Thiru 1
1Waseda University Tokyo Japan
Show Abstract1-3-(6)2 chalcopyrite CuGaSe2 (CGS) is useful for solar cell application with a bandgap of 1.68eV (room temperature) and 1.73 (absolute zero). Even though its bandgap is higher than that of the optimal bandgap (Shockley-Queisser limit) of an absorber layer which is 1.4eV, its effective electron mass of 0.14m0 and excitonic binding energy of 20meV make it a higher absorption coefficient material even compared to CuInSe2 (CIS). Fabrication of n and p-type of a semiconductor may lead to preparation of homojunctions which have the advantage of not presenting a lattice mismatch at the interface unlike heterojunctions. CGS compound (wider-gap) always exhibits p-type conductivity. As for narrow gap CIS, n-type material is very difficult to grow by epitaxial methods. We are concentrating in fabrication of n-CGS thin films using migration-enhanced epitaxy. We have grown CGS, doped with several dopants such as Sn, Mg and Si which may become donors in CGS. However, we are unsuccessful in fabricating n-CGS till now.
This is a report on the photoluminescence (PL) characteristics of undoped and Zn-doped CGS. Our growth was performed by MEE using MBE equipment on semi-insulating GaAs (001) substrate. First, we reconfirmed the optimal growth condition with proper vapor pressure of Cu, Ga and Se. Optimized substrate temperature, Ts was fixed at 580°C and Zn cell temperature were varied between 220 - 250°C. Optimal recipe of undoped CGS was established when we obtained hole concentration of 1.0×1017/cm3. Utilizing the recipe, we have doped with Zn as a donor impurity, but we found an increase in hole concentration to 2.0×1017/cm3 instead of decreasing. This anomalous phenomenon upon doping (extrinsic semiconductor) can be explained as number of holes increasing at a higher ratio compared to electrons. It can be attributed to an increase of Cu-vacancy along with hole compensation by Zn donors. Another possibility is for Zn to enter Ga-site. Zn incorporation was confirmed using Secondary Ion Mass Spectrometry (SIMS).
We have measured and analyzed the PL of undoped and Zn doped CGS which has different doping magnitude. Measurements were carried out using a 488nm line of Ar ion laser as the excitation source and samples were cooled at 4.2K. A strong edge emission peaked at 1.715eV, which is attributed to the free and bound exciton recombination. At lower energy region, peaks appear at 1.62, 1.584 and 1.555eV. As doping magnitude increases, distinguishable reduction of PL intensity of excitonic emission is observed, indicating the degrading of crystal quality. Whilst, lower energy peaks are probably caused by donor-acceptor pairs (DAP) emissions as confirmed by power dependence of PL spectrum. As the power excitation increases recombination probabilities of distant DAP saturates which causes closer DAP to dominate. This is the factor that makes PL peak energy to increase or to blue shift. These DAP emissions are probably due to Zn-donors and Cu-vacancy.
12:45 PM - T7.11
Gate Voltage Tunable Surface to Bulk Coherent Coupling in Calcium Doped Bi2Se3 Single Crystals
Bushra Irfan 1 Mandar Deshmukh 2 Ratnamala Chatterjee 1
1Indian Institute of Technology New Delhi India2Tata Institute of Fundamental Research Mumbai India
Show AbstractTopological insulators are the new state of matter characterized by an insulating bulk and conducting surface states. Among the various categories of topological insulators Bi2Se3 is predicted to have the largest band gap ~ 0.3eV. However, due to large a number of selenium vacancies Bi2Se3 exhibit an n-type metallic behavior. Angled Resolved photoemission spectroscopy (ARPES) studies have shown that the Fermi level can be moved to bulk band gap, by introducing do-pants such as Calcium. We present our results on growth of single crystal Bi2-xCaxSe3 (x =0.005) confirmed by Laue diffraction and characterized at low temperature. The Hall measurements performed on bulk crystal of Bi1:995Ca0:005Se3 show p-type behavior with carrier density ~ (2×10^18 /cm3). However, nano-device prepared from the mechanically exfoliated thin flakes of the same crystal revealed an n-type behavior, evident from both gate response and Hall measurement. The carrier concentration of device was ~ 8×10^13/cm2. The tuning of Fermi level from valence band to conduction band is due to the normal device fabrication process which results in high electron density. The effect of weak antilocalization arising from the topological surface states was also observed. The weak antilocalization correction to conductance is shown sensitive to the number of coherently coupled channels, which in topological insulators are the top and bottom surfaces and bulk carriers. These are separated into coherently independent channels by the application of gate voltages.