Symposium Organizers
Steve Durbin State University of New York at Buffalo
Jamie Phillips University of Michigan
Tim Veal University of Warwick
Marius Grundmann Universitaet Leipzig
M1: ZnO and Related Materials: Defects and Doping I
Session Chairs
Martin Allen
Steven Durbin
Monday PM, November 28, 2011
Ballroom C (Hynes)
9:30 AM - **M1.1
Interplay of Native Point Defects with ZnO Schottky Barriers and Doping.
Leonard Brillson 1 2 , Yufeng Dong 1 , Filip Tuomisto 3 , Bengt Svensson 4 , Andrej Kuznetsov 4 , Daniel Doutt 2 , H. Mosbacker 2 , Gene Cantwell 5 , Jizhi Zhang 5 , Jin Soo Song 5 , Zhaoqiang Fang 6 , David Look 7 6
1 Electrical & Computer Engineering, The Ohio State University, Columbus, Ohio, United States, 2 Physics, The Ohio State University, Columbus, Ohio, United States, 3 Applied Physics, Aalto University, Aalto Finland, 4 Physics, University of Oslo, Oslo Norway, 5 , ZN Technology, Inc., Brea, California, United States, 6 Semiconductor Research Center, Wright State University, Dayton, Ohio, United States, 7 Sensors Directorate, Air Force Research Laboratory, WPAFB, Dayton, Ohio, United States
Show AbstractA combination of depth-resolved electronic and structural techniques now reveals that native point defects can play a major role in ZnO Schottky barrier formation and free carrier doping. Previous work has ignored these lattice defects at metal-ZnO interfaces due to the relatively low point defect densities in the bulk. Similarly, efforts to control doping type and density usually treat native defects as passive, compensating donors or acceptors. Recent advances provide a deeper understanding of the interplay between native point defects and electronic properties at ZnO surfaces, interfaces, and epitaxial films. Key to ZnO Schottky barrier formation is a massive redistribution of native point defects near its surfaces and interfaces. It is now possible to measure the energies, densities and in many cases the type of point defects below the semiconductor free surface and its metal interface with nanoscale precision. Using depth-resolved cathodoluminescence spectroscopy (DRCLS) of deep level emissions calibrated with electrical techniques, we find that native point defects can (i) increase by orders-of-magnitude in densities within tens of nanometers of the semiconductor surface, (ii) alter free carrier concentrations and band profiles within the surface space charge region, (iii) dominate the Schottky barrier formation for metal contacts to ZnO, and (iv) play an active role in semiconductor doping. Among major roadblocks to ZnO optoelectronics have been the difficulty of both n- and p-type doping. Oxygen vacancies (VO), VO complexes, Zn interstitial-related complexes, and residual impurities such as H and Al are all believed to be shallow donors in ZnO, while Zn vacancies (VZn) and their complexes are acceptors. While their impact on free carrier compensation and recombination is recognized, the physical nature of the donors and acceptors dominating carrier densities in ZnO and their effect of carrier injection at contacts is unresolved. Furthermore, how these defects impact ZnO optoelectronics at the nanoscale is only now being explored. We address these issues using a combination of depth-resolved and scanned probe techniques to clearly identify the optical transitions and energies of VZn and VZn clusters, Li on Zn sites, Ga on Zn site donors, the effects of different annealing methods on their spatial distributions in ion-implanted as well as Ga grown-in ZnO, and how VZn, VZn clusters, and VO complexes contribute to near- and sub-surface carrier density. Defects also couple to nanostructures, which form spontaneously on ZnO polar surfaces and create sub-surface VZn locally with Zn diffusion that feeds the growth. These results reveal the interplay between ZnO electronic defects, dopants, polarity, and surface nanostructure, and they highlight new ways to control ZnO Schottky barriers and doping.
10:00 AM - M1.2
Anomalous Diffusion of Intrinsic Defects in Ion Implanted ZnO Using Li as a Tracer.
Lasse Vines 1 , Pekka Neuvonen 1 , Andrej Kuznetsov 1 , Jennifer Wong-Leung 2 , Chennupati Jagadish 2 , Bengt Svensson 1
1 Department of Physics/Centre for Materials Science and Nanotechnology, University of Oslo, Oslo Norway, 2 Department of Electronic Materials Engineering, Research School of Physics and Engineering, The Australian National University, Canberra, Australian Capital Territory, Australia
Show AbstractZinc oxide (ZnO) is a wide band gap semiconductor (Eg ~ 3.4 eV ) that has received considerable attention the last few years due to its potential applications in light emitting devices and photovoltaics. However, the technological advances of ZnO have been hindered by the difficulty in controlling and understanding the electrical behavior of intrinsic and impurity related defects. In particular, controlling charge carrier profiles by ion implantation has proved more difficult compared to, e.g., Si technologies, and remains a major challenge for development of of ZnO based devices. This includes both dopant activation in the desired atomic configuration and control of ion induced damage.We have recently shown [Neuvonen et al, in preparation] that Li can be used as a tracer element to study the migration of intrinsic elements, in particular zinc interstitials, where dramatic differences in both Li and resistivity profiles are observed after Zn implants. Specifically, Zn implants result in Li depletion behind the implantation peak (scaling with dose) after annealing at ≥ 700 °C, creating a low resistive region (1-10µm) in otherwise highly resistive HT-ZnO. The Li depletion is not observed when implanting inert elements or elements preferring the O sublattice, and the effect can thus be ascribed to zinc interstitials. Similar depletion of Li behind the implantation peak is also observed in other implantations with elements considered to occupy sites on the Zn sublattice, for example Potassium.Here we show that the intrinsic migration and the following Li removal, behave athermally, similar to the transient enhanced diffusion of boron in silicon. Zinc and potassium have been implanted in hydrothermally grown ZnO to doses 5.3 × 1015 and 1 × 10^15 cm-2, respectively, followed by isochronal annealing using regular tube furnaces (30min) and rapid thermal processing (30s) on separate samples. For temperatures below 700oC, only a minor redistribution of Li is observed behind the projected range. Between 700 and 750oC, however, both annealing treatments show a wide region behind the implantation peak depleted of Li. The results show that Zn interstitials are released in a burst, followed by rapid migration, replacement of Li on Zn site through the kick-out mechanism, and migration of Li away from the active region. The anomalous diffusion process of both Zn and K implants will be discussed in detail.
10:15 AM - M1.3
Electrical Properties of ZnCo2O4 Thin Films and Heterostructure Devices.
Friedrich Schein 1 , Tammo Boentgen 1 , Holger von Wenckstern 1 , Michael Lorenz 1 , Marius Grundmann 1
1 Institute for Experimental Physics II, Universität Leipzig, Leipzig Germany
Show AbstractConsidering that virtually all transparent oxide semiconductors (TOSs) are unipolar and most of them are
n-type it is of great interest to investigate the few
p-type TOSs enabling transparent bipolar devices. A promising class of such materials are the zinc spinels ZnM
2O
4 (M = Co, Rh, Ir) [1]. Their
p-type conductivity is preserved even for room temperature (rt) fabricated amorphous films.
We present electrical properties of ZnCo2O4 thin films grown by pulsed-laser deposition (PLD) as well as heterostructure devices using ZnO as n-type material. The influence of the temperature and the oxygen partial pressure p(O2) during growth on the electrical, morphological and structural properties of ZnCo2O4 was investigated for temperatures ranging from rt to 720°C and for p(O2) between 0.001 mbar and 0.25 mbar.
We obtain highest conductivity of σ = 20.2 S/cm for samples grown at 280°C and p(O2) of 0.03 mbar being similar to the highest conductivity of 21.8 S/cm reported so far for ZnCo2O4 [2]. However, conductivities in the order of 20 S/cm are remarkable for p-type oxides usually having σ < 1 S/cm with rare exceptions like CuCrO2:Mg (220 S/cm) or NiCo2O4 (330 S/cm) [3].
Temperature dependent conductivity measurements reveal linear behavior in a σ vs. T−1/4 plot, which is typical for a hopping conduction mechanism, therefore the hints for p-type conduction from Hall-effect measurements have to be interpreted carefully [4].However, for all samples presented here p-type nature has been ascertained by qualitative Seebeck effect measurements and scanning capacitance microscopy.
In addition, to prove the charge carrier type heterostructures of n-ZnO and ZnCo2O4 have been fabricated at 520°C. For a diode structure ohmic Au contacts were dc-sputtered onto both oxides in an Ar atmosphere. Furthermore, a ZnO:Al layer between ZnO and Au improves carrier injection. Current-voltage characteristics of the heterostructures show clearly rectifying behavior and current on/off ratios up to 4×104 at ±2 V were achieved. This exceeds values of similar structures like a n-InGaZnO/p-ZnCo2O4 diode (on/off = 1×102 at ±7 V [2]) and a n-InGaZnO/p-ZnRh2O4 diode (on/off = 1×103 at ±4 V [5]).
The heterostructure was also used for top-gate junction field-effect transistors. A ZnCo2O4 gate contact including dc-sputtered Au capping was deposited at rt onto a ZnO channel layer (PLD, 680°C). The promising figures of merit channel mobility µch = 6.6 cm2/Vs, Ion/off = 3×107 and a subthreshold slope of 98 mV/decade are among the best values of similar oxide FETs [6].
[1] M. Dekkers et al., Appl. Phys. Lett. 90, 021903 (2007)
[2] S. Kim et al., J. Appl. Phys. 107, 103538 (2010)
[3] A.N. Banerjee and K.K.~Chattopadhyay, Prog. Chryst. Growth. Ch. 50, 52 (2005)
[4] T. Kamiya et al., Appl. Phys. Lett. 96, 122103 (2010)
[5] T. Kamyia et al., Adv. Funct. Mater. 15, 968 (2005)
[6] M. Grundmann et al., phys. status solidi a, 207, 1437 (2010)
10:30 AM - M1.4
Effects of Degenerate Doping on the Band Shape and Optical Properties of Transparent Conducting Oxides.
Daniel Steiauf 1 , Anderson Janotti 1 , Chris Van de Walle 1
1 Materials, UCSB, Santa Barbara, California, United States
Show AbstractZinc oxide is a prototypical transparent conducting oxide with high technological importance. It is a wide-band-gap semiconductor, can be deposited in large areas using standard techniques, supports high carrier concentrations yet remains highly transparent to visible light. Group-III impurities on the Zn site act as shallow donors and can be easily incorporated in concentrations up to a few percent. Such degenerate doping levels have strongly affects the electronic and optical properties of the host material: in optical experiments, the states below the Fermi level are no longer available for interband transitions due to the band filling, and the interactions between the carriers may significantly change the shape and position of the conduction band through the so called effects of band gap renormalization (BGR). Experimentally, conduction-band filling effects and BGR can be observed in optical absorption measurements, however disentangling these effects is extremely difficult. Using a hybrid functional within the density functional theory we study the separate effects of band filling and BGR. We investigate the effects of degenerate doping on the electron effective mass, explicitly taking into account the nonparabolicity of the conduction band, and determine the Fermi level position as a function of carrier concentration. We also investigate the BGR effect due to the presence of free carriers, and discuss the consequences for optical and transport properties of ZnO, comparing with available experimental results.
10:45 AM - M1.5
Cation Site Occupancy in Spinel Oxide: Anomalous X-Ray Diffraction.
Yezhou Shi 1 2 , Joanna Bettinger 2 , Nicola Perry 3 , Arpun Nagaraja 3 , Thomas Mason 3 , Paul Ndione 4 , Andriy Zatukayev 4 , John Perkins 4 , David Ginley 4 , Tula Paudel 4 , Stephan Lany 4 , Alex Zunger 4 , Michael Toney 2
1 Materials Science and Engineering, Stanford University, Stanford, California, United States, 2 , SLAC National Accelerator Laboratory , Menlo Park, California, United States, 3 , Northwestern University, Evanston, Illinois, United States, 4 , National Renewable Energy Laboratory, Golden, Colorado, United States
Show AbstractZnCo2O4, a spinel with transition metal cations, is a candidate for transparent p-type conductors. ZnCo2O4 is a normal spinel: the Co3+ cations occupy the octahedral interstices of oxygen fcc lattice whereas Zn2+ occupy the tetrahedral sites. From a conductivity standpoint, inversion can take place in spinels where the divalent cation and trivalent cation interchange their positions. This results in (Zn1-νCoν)Tet[ZnνCo2-ν]OctO4 where ν is the degree of inversion. Inversion introduces self-doping of cations on the “anti-sites”. Thus, knowledge of cation distribution is important for understanding conductivity in this class of materials. But this is difficult with standard diffraction since Co and Zn have nearly identical atomic numbers and are not easily distinguished. We have performed anomalous x-ray diffraction (AXRD) to determine the cation site occupancy in ZnCo2O4. The symmetry of spinel structure dictates that diffraction from (222) planes offers information of cations on octahedral sites whereas diffraction from (422) planes probes tetrahedral sites. Specifically, we have carried out AXRD at energies below, through, and above the K absorption edge of Co and Zn, for both (222) and (422) peaks. By modeling these data, we can determine ν. We have examined powder and epitaxially grown thin film ZnCo2O4. The powder samples have Zn-deficient compositions and the fitted ν values are below 3%, indicating very low degrees of inversion. And anti-site concentration of Zn (Zn occupying octahedral sites) is below 1%. This is in agreement with the fact these samples have fairly low conductivities on the order of 0.01 S/cm. In fact, our calculations have shown that the anti-site concentration can be well below 0.1%, a number actually smaller than the sensitivity limit of AXRD. In contrast, the as-deposited epi film samples are stoichiometric and show a very large degree inversion of approximately 85%. A higher conductivity of 40 to 50 S/cm is also observed in these highly inversed samples, which we attribute to the large anti-site concentration of cations.
11:30 AM - M1.6
Experimental Evidence for Nitrogen as a Deep Acceptor in ZnO.
M. Tarun 1 , M. Iqbal 2 , M. McCluskey 1 , J. Huso 3 , L. Bergman 3
1 , Washington State University, Pullman, Washington, United States, 2 , COMSATS Institute of Information Technology, Islamabad Pakistan, 3 , University of Idaho, Moscow, Idaho, United States
Show AbstractWhile zinc oxide is a promising material for blue and UV solid-state lighting devices, the lack of p-type doping has prevented ZnO from becoming a dominant material for optoelectronic applications. Over the past decade, numerous reports have claimed that nitrogen is a viable p-type dopant in ZnO. However, recent calculations by Lyons, Janotti, and Van de Walle [Appl. Phys. Lett. 95, 252105 (2009)] suggest that nitrogen is a deep acceptor. In our work, we performed photoluminescence (PL) measurements on bulk, single crystal ZnO grown by chemical vapor transport. Nitrogen doping was achieved by growing in ammonia. At room temperature, we observed a broad PL band at ~1.7 eV, with an excitation threshold of ~2.2 eV, consistent with the calculated configuration-coordinate diagram. At liquid-helium temperatures, the PL emission increases in intensity and red-shifts by ~0.1 eV. A peak is observed at 3.267 eV, which we tentatively attribute to an exciton bound to a nitrogen acceptor. Our experimental results indicate that nitrogen is indeed a deep acceptor and cannot be used to produce p-type ZnO. Possible alternative routes to p-type conductivity will be discussed.
11:45 AM - M1.7
Donor Behavior of Sb in ZnO.
Huiyong Liu 1 , Vitaliy Avrutin 1 , Natalia Izyumskaya 1 , Uemit Oezguer 1 , Andrew Yankovich 2 , Alex Kvit 2 , Paul Voyles 2 , Hadis Morkoc 1
1 Electrical and Computer Enginnering, Virginia Commonwealth University, Richmond, Virginia, United States, 2 Materials Science & Engineering, University Wisconsin-Madison, Madison, Wisconsin, United States
Show AbstractWe report on the electrical and structural properties of Sb-doped ZnO (ZnO:Sb) layers grown by plasma-enhanced molecular-beam epitaxy on a-plane sapphire substrates with different Sb fluxes and oxygen pressures PO2. In contrast to multiple published reports on p-type conduction in Sb-doped ZnO, all the ZnO:Sb layers obtained in this work are found to be of n-type, although both electron concentration and mobility values vary over a wide range depending on Sb flux and PO2 values. The Sb concentration in the layers was controlled by Sb cell temperatures, TSb. As TSb (i.e. Sb flux) increases from 400 to 460 oC, the electron concentration in the layers increases to upper 1019 cm-3 range. For the ZnO:Sb layers with electron concentration of ~4.53×1019 cm-3, very high mobility values of 110 cm2/V-s at 300K and 145 cm2/V-s at 15K were achieved. The temperature dependence of mobility for these samples can be explained in terms of ionized impurity scattering with very low compensation and polar optical phone scattering, indicating that the majority of Sb atoms reside Zn sites, where it acts as a donor, instead of O sites. As TSb increases from 480 to 550 oC, the electron concentration and mobility rapidly drop. To shed light on the Sb behaviour in ZnO lattice, the layers were studied by scanning transmission electron microscopy, in-situ reflection high energy electron diffraction, and X-ray diffraction. These studies have revealed that structural perfection of the ZnO:Sb layers degrade as TSb increases above 480 oC. This finding suggest that extended defects and/or point defect complexes related to Sb incorporation into the layers act as compensating acceptors and are responsible for decreasing mobility and electron concentration. Effects of substrate temperature and oxygen pressure during the growth are also discussed.
12:00 PM - M1.8
Cathodoluminescence Microanalysis of Hydrogen-Doped ZnO Nanoparticles and Single Crystals.
Cuong Ton-That 1 , Leigh Weston 1 , Laurent Lee Cheong Lem 1 , Matthew Phillips 1
1 School of Physics and Advanced Materials, University of Technology Sydney, Broadway, New South Wales, Australia
Show AbstractHighly crystalline ZnO nanoparticles (20 nm) and single crystals (hydrothermally grown by the MTI and melt grown by Cermet Inc) have been investigated by cathodoluminescence (CL) imaging and spectroscopy following hydrogen incorporation. The incorporation was achieved by hydrogen plasma treatment at 200oC for up to 5 minutes. CL spectra of undoped ZnO at 80 K consist of the near-band-edge (NBE) emission at 3.27 eV as well as two unstructured, broad defect-related bands centred at 2.15 eV (yellow, YL) and 2.42 eV (green, GL). The GL in both specimens is almost completely quenched by sufficient concentrations of incorporated hydrogen, while the YL is unaffected. The NBE is gradually enhanced with plasma time as hydrogen incorporation at interstitial sites gives rise to shallow donor states. The impact of electron irradiation on electromigration and diffusion of hydrogen and native defects was investigated using CL kinetics and imaging. During intense electron beam irradiation, an electric field is generated within the primary beam interaction volume. We observed electromigration of interstitial hydrogen from the near-surface region, leading to a gradual reduction of the NBE, formation of deeper non-radiative complexes and passivation of GL centres. These observations suggest that the GL can be attributed to bulk zinc vacancy defects. The migration of hydrogen has no significant effects on the YL of hydrothermally grown ZnO, indicating that this emission originates from a recombination involving neutral Li acceptors. These results will be discussed in context of recent theoretical studies of hydrogen in ZnO.
12:15 PM - M1.9
Identification of Shallow Acceptor States in K-Doped ZnO Thin Films Grown by Solution Method.
Chuan Beng Tay 1 , Jie Tang 1 , Xuan Sang Nguyen 3 , Soo Jin Chua 1 2 3 , Thirumalai Venky Venkatesan 1
1 NUS Nanoscience and Nanotechnology Initiative, National University of Singapore, Singapore Singapore, 3 , Singapore-MIT Alliance, Singapore Singapore, 2 Department of Electrical and Computer Engineering, National University of Singapore, Singapore Singapore
Show AbstractZnO is a promising material for optoelectronics applications. Reliable and high p-type conductivity ZnO films are needed to realize many devices. Elements from Group I and V are potential candidates fulfilling acceptor roles. While experimental and theoretical work on Li and Na from Group I, as well as N and As from Group V, have been widely reported, very few reports exists on K from Group I. Substitutional K has been calculated to have an acceptor energy level of 0.32 eV, slightly lower than that of N which has been reported to be 0.35 eV. While both K and N are considered as a deep acceptors based on their substitutional acceptor energy level, the formation of complexes, either with various intrinsic defects or hydrogen, allow shallow acceptor energy levels to be achieved. In the case of K-doped ZnO films grown in aqueous solution at low temperatures of 90°C, our recent temperature-dependent Hall effect measurements demonstrated dominant hole contribution in the order of 1017 to 1018 cm-3 from shallow acceptors located about 180 meV above the valence band, significantly lower than the expected KZn energy level, thus suggesting dominant contribution from a K-based complex. Understanding the energy levels of the these complexes as well as their thermal stability is crucial in achieving a stable and reproducible p-type doping in ZnO. Here we will present a study of the acceptor states due to the substitutional K as well as its various complexes using low temperature photoluminescence, Hall effect measurements as well as X-ray photoelectron spectroscopy.
12:30 PM - M1.10
Diffusion of Ion Implanted Indium and Silver in ZnO Crystals.
Faisal Yaqoob 1 , Mengbing Huang 2
1 Physics, University at Albany, SUNY, Albany, New York, United States, 2 , College of Nanoscale Science and Engineering (CNSE), Albany, New York, United States
Show AbstractWe report on diffusion behavior for ion implanted indium and silver atoms in ZnO crystals. Both In and Ag ions were implanted at room temperature at 7-10° relative to c-axis to avoid channeling effects during implantation. In ions were implanted at four different energies (40, 100, 200, and 350 keV, respectively) and doses (8.0×10^13, 1.2×10^14, 1.6×10^14 and 6.5×10^14 /cm^2, respectively), resulting in a total dose of 1 ×10^15 /cm^2. For another set of ZnO samples, Ag ions were implanted at energies 30, 75, 150, and 350 keV at doses 3.3×10^13, 4.2×10^13, 8.3×10^13 and 3.4×10^14 /cm^2, respectively, to reach a total dose of 5×10^14 /cm2. Both In and Ag implants resulted in a uniform concentration profile of the implanted dopants from surface to depth ~ 150 nm. The samples were annealed for 30 minutes at temperatures between 700-1050 °C in an oxygen gas flow. The distributions of In and Ag atoms, either aligned or nonaligned along the crystalline directions, were measured by Rutherford backscattering combined with ion channeling. The diffusivities for nonaligned (interstitial) and aligned (substitutional) dopants atoms were determined to vary with annealing temperature via the Arrhenius relationship. The diffusion activation energies (Ea) along the <1010> direction for substitutional impurity atoms were lower than those for interstitial dopants atoms e.g., in the case of In, Ea ~ 0.78 eV for <1010> aligned In atoms and Ea ~ 1.58 eV for interstitial In atoms between <1010> atomic rows and in the case of Ag, Ea ~ 1.61 eV for the interstitial Ag atoms between the <1010> atomic rows and 1.38 eV for <1010> aligned Ag atoms. The diffusion activation energies showed a different trend for the two dopants as measured along the <0001> crystalline direction. For Ag implanted in ZnO, the activation energies (Ea ~ 1 eV) for the <0001> direction were found to be similar for both substitutional and interstitial Ag atoms, whereas in the case of In along the <0001> direction, the interstitial In was found to migrate with a higher activation energy (Ea ~ 1.76 eV) than the substitutional In (Ea ~1.25 eV). These results, combined with data acquired with deep-level transient spectroscopy, will be compared with first-principle calculations for understanding energetics of defect formation and migration in both n- and p-type doping cases.
12:45 PM - M1.11
Route to Bandgap Engineering in Doped ZnMnO.
Yi Ke 2 , Joseph Berry 1 , Philip Parilla 1 , Andriy Zakutayev 1 , Ryan O'Hayre 2 , David Ginley 1
2 Metallurgical and Materials Engineering, Colorado School of Mines, Golden, Colorado, United States, 1 National Center for Photovoltaics, National Renewable Energy Laboratory, Golden, Colorado, United States
Show AbstractWe discuss our work on transparent conductive Zn1-xMgxO based films epitaxially grown via Pulsed Laser Deposition (PLD) on sapphire. We explore the electronic properties and doping of these materials for values of x up to 0.3 using Ga as a dopant. By optimizing the substrate temperature and other parameters of deposition, Zn0.68Mg0.31Ga0.01O/sapphire films with Hall mobility (μ) in excess of ~11 cm2V−1s−1 and a free electron density (n) of more than ~2.0x1020 cm-3 are obtained, yielding relatively high electrical conductivity values in excess of 300 S/cm. We also demonstrate that reducing x brings commensurate improvements in the electronic properties accompanied by the expected reduction in the band gap. We contrast these results with polycrystalline Zn0.90Mg0.09Ga0.01O/a-SiO2 samples deposited under the identical conditions on amorphous silica substrate, which displayed inferior transport properties (μ = 2.5 ± 0.2 m2V−1s−1, n = 2.04 x 1020 ± 0.20 x 1020 cm-3, σ = 80 ± 8 S/cm) compared to their epitaxial counterparts. We also present our work on the use of novel dopants, other than group II materials including Sc and Ti. The doping efficiency and its relationship to defect formation for these dopant materials is compared to Ga in these wide-gap semiconducting materials. Finally we examine the application and potential uses of these wide gap materials in photovoltaics technologies.
M2: ZnO and Related Materials: Optical Properties
Session Chairs
Rueben Mendelsberg
Roger Reeves
Monday PM, November 28, 2011
Ballroom C (Hynes)
2:30 PM - **M2.1
Measurement of Lateral Excitonic Transport by Spatial and Time Resolved Cathodoluminescence Spectroscopy.
Jurgen Christen 1 , Frank Bertram 1
1 Inst. of Experimental Physics, Otto-von-Guericke-University Magdeburg, Magdeburg Germany
Show AbstractWe will present optical investigations of the lateral excitonic transport in GaN and ZnO material using highly spatially and ps-time resolved cathodoluminescence spectroscopy. In spatial and time-resolved cathodoluminescence experiments the initial exciton lifetime τFX, as well as its diffusion length λFX or its diffusion constant DFX are determined independently as afunction of temperature. The characteristic temperature dependence of the free exciton mobility μFX(T) as calculated from τFX(T) and λFX(T) or alternatively from DFX(T) gives information about the underlying scattering processes. As excitons are electrical neutral particles, scattering by ionized impurities – the dominant scattering mechanism for all charge carriers at low temperatures – is not effective.Our measurement setup allows two different types of experiments to investigate the exciton transport. The first one uses Ti-masks of rectangular shape and appropriate thickness to allow CL excitation with electrons through this mask while completely absorbing the emerging luminescence. The second one consists of a lightproof mask having circular openings with the diameters in the range of the exciton diffusion length. Here the decay timefor the luminescence generated in the center of such a hole is strongly affected by the excitonlifetime plus the diffusion under the gold mask. The difference of the decay time of transientsfrom the hole and an undisturbed area leads directly to the diffusion constant DFX. For both methods the one- or the two-dimensional diffusion equation is analytically solved,respectively, assuming a Gaussian distributed generation volume. Using the rectangular Ti-masks the free exciton diffusion length λFX is obtained by fitting the solution of the 1d diffusion equation to the shape of the FX-CL-Intensity of a CL-Linescan recorded perpendicular to the mask’s edge. In a separate, time resolved measurement the initially exponential FX time-decay independently yields τFX from CL transients captured far away from the Ti-masks.A prove of concept of this techniques is given by detailed analysis and pioneering results for GaN samples. First experimental results for bulk ZnO and ZnO epitaxial layers as well as polar MgZnO/ZnO quantum well structures are presented.
3:00 PM - M2.2
Excitons, Defects, and Phonon Dynamics in ZnO.
Axel Hoffmann 1 , Markus Wagner 1
1 Institute of solid state physics, Technische Universitaet Berlin, Berlin Germany
Show AbstractThe optical transitions and dynamics of excitons, phonons, and defects in ZnO are reviewed. A comparative study of different ZnO single crystals as well as doped and undoped ZnO films reveals pronounced differences in the free and bound exciton luminescence which can be related to different impurity centers and strain levels. The properties of the shallow impurity bound excitons (I-lines) are compared to structural defect related deeply bound excitons (Y-lines). In contrast to the shallow donors in ZnO, the deeply bound exciton complexes exhibit a large discrepancy between the thermal activation energy and localization energy of the excitons and cannot be described by an effective mass approach. The different properties between the shallow and deeply bound excitons are also reflected by an exceptionally small coupling of the deep centers to the lattice phonons and a small splitting between their two electron satellite (TES) transitions. A defect model is presented which explains all Y- lines as radiative recombinations of excitons bound to extended structural defect complexes. These defect complexes introduce additional donor states in ZnO. Furthermore, the spatially localized character of the defect centers is visualized in contrast to the homogeneous distribution of shallow impurity centers by monochromatic cathodoluminescence (CL) imaging. A possible relation between the defect bound excitons and the green luminescence band in ZnO is discussed.In addition, we investigate the influence of resonant and non-resonant excitation on the decay dynamics of phonons and excitons in ZnO. A strong resonance enhancement of the second order LO Raman modes is observed for excitation energies in resonance with the dominating bound exciton states. This enhancement is caused by the wave vector dependent Fröhlich interaction which leads to a pronounced coupling of excitons with LO phonons. Time resolved energy dispersive luminescence and Raman measurements enable the differentiation between the resonantly enhanced coherent Raman process and the non-coherent luminescence process. It is shown that the 2LO phonon lifetime greatly depends on the excitation energy and is mainly governed by the lifetime of the real excitonic state under resonant excitation reaching lifetimes of up to 200ps. In addition, temperature dependent time resolved measurements demonstrate that the dissociation of bound excitons at elevated temperatures correlates with a decrease of the resonantly enhanced lifetime of the 2LO Raman modes.
3:15 PM - M2.3
Optical Properties of Dislocations in Wurtzite ZnO Introduced at Elevated Temperatures.
Yutaka Ohno 1 , Yuki Tokumoto 1 , Ichiro Yonenaga 1 , Katsushi Fujii 2 , Takafumi Yao 3
1 Institute for Materials Research, Tohoku University, Sendai Japan, 2 Graduate School of Environmental Studies, Tohoku University, Sendai Japan, 3 Center for Interdisciplinary Research, Tohoku University, Sendai Japan
Show AbstractDislocations with the Burgers vector of a/3<11-20> on {1-100} prismatic planes (corresponding to threading dislocations in epilayers) and those on (0001) basal planes (corresponding to misfit dislocations) were freshly introduced in ZnO bulk single crystals at elevated temperatures 923–1123 K, and the optical properties were systematically investigated by photoluminescence spectroscopy combined with transmission electron microscopy. Edge dislocations on {1-100} induced a donor level of about 0.07 eV depth and acceptor levels of about 0.9 and 1.2 eV depth acting as radiative recombination centers, and they exhibited donor-acceptor pair emissions with photon energies of 2.5 and 2.2 eV. Mixed dislocations on (0001) induced a donor level of about 0.05 eV depth and a level of about 0.3 eV depth acting as radiative recombination centers [1], and they exhibited excitonic emissions with photon energies of 3.345 and 3.1 eV [2]. Those dislocations acted as non-radiative recombination centers when they were introduced at room temperature, suggesting that they would react with point defects forming complexes that act as radiative recombination centers, via the migration of point defects at elevated temperatures. Edge and screw dislocations on (0001) introduced at elevated temperatures, as well as those introduced at room temperature, acted as non-radiative recombination centers [3]. However, the former dislocations had a small recombination activity in comparison with the latter dislocations. The intensities of the emission bands existing pre-dislocated crystals were not influenced by the former dislocations, while all the intensities were decreased by the latter dislocations.[1] Y. Ohno, H. Koizumi, T. Taishi, I. Yonenaga, K. Fujii, H. Goto, and T. Yao, J. Appl. Phys. 104 (2008) 073515.[2] Y. Ohno, H. Koizumi, T. Taishi, I. Yonenaga, K. Fujii, H. Goto, and T. Yao, Appl. Phys. Lett. 92 (2008) 011922.[3] Y. Ohno, T. Taishi, and I. Yonenaga, phys. stat. sol (a) 206 (2009) 1904.
3:30 PM - M2.4
Near-Surface Optical Defects in ZnO:Al Transparent Conducting Thin Films Studied by STM Cathodoluminescence.
Rafael Jaramillo 1 , Edward Likovich 1 , Kasey Russell 1 , Shriram Ramanathan 1 , Venkatesh Narayanamurti 1
1 , Harvard University, Cambridge, Massachusetts, United States
Show AbstractWe characterize the near-surface optically active defects in transparent, conducting ZnO:Al thin films using scanning tunneling microscope cathodoluminescence (STM-CL). In contrast to photoluminescence, STM-CL spectra are characterized by narrow emission peaks that we associate with individual oxygen vacancies. The dominant emission is in the green, near 480 nm, and the defect coverage is approximately 1010 cm-2. The increase in luminescence quantum efficiency with hole injection bias suggests a model of phonon-assisted hole de-trapping, which can be understood in terms of the theory of hole capture at oxygen vacancies in ZnO.
3:45 PM - M2.5
Photoluminescence Due to Group IV Impurities in ZnO.
Joseph Cullen 1 , Karl Johnston 2 3 , Martin Henry 1 , Enda McGlynn 1
1 School of Physical Sciences, Dublin City University, Dublin Ireland, 2 ISOLDE Collaboration, CERN, Geneva Switzerland, 3 Technische Physik, University of Saarlandes, Saarbrucken Germany
Show AbstractSteady progress has been made in recent years in unambiguously identifying the principal band edge bound exciton (In) lines in the photoluminescence (PL) spectra of ZnO, and the majority of these lines have now been assigned to bound exciton recombination at either neutral or ionised Group III donor impurities on the Zn site [1]. In addition, hydrogen donors are believed to be the origin of the I4 line [2], and PL lines originating in excited states of the bound excitons are also observed. Nevertheless, several of the dominant I lines remain to be positively identified, in addition to some new lines recently reported in the PL spectra at energies in the region of 3.32 – 3.33 eV, well below the usual bound exciton region. These lines are sharp, with full width at half maximum values similar to those of the In lines. In contrast to the In lines, however, their thermal binding energy is much lower than their spectral binding energy. In earlier work we reported the involvement of Ge impurities in one of these new lines [3, 4] and in this study we explore more generally the properties of the group IV impurities in ZnO. Among these impurities, Si and Ge have been theoretically predicted to occupy the Zn site and to act as donors [5]. Theoretical studies suggest that C occupies the O site [6] while infra-red studies of heavily doped ZnO:C have not produced any evidence of isolated substitutional C in the material [7]. Samples of ZnO doped with the group IV impurities have been prepared by both implantation and diffusion, in some cases involving radioactive isotopes that decay to (or through) the group IV element of interest. The involvement of Ge in the line at 3.3225 eV is confirmed, and we provide some evidence that the line at 3.333 eV, originally labelled DD and attributed to structural defects [1, 8], may also involve Si impurities. On the other hand, we report evidence that Sn on the Zn site does not produce any bound exciton luminescence. We will report detailed studies of the polarisation of the observed lines, and of the behaviour of the lines under perturbation by uniaxial stress and magnetic fields.References[1] Meyer, B. K. et al, Phys. Stat. Solidi (b) 241 (2004) 231[2] Strzhemechny Y. M. et al, Appl. Phys. Lett. 84 (2004) 2545[3] Johnston K. et al, Phys. Rev. B 73 (2006) 165212[4] Johnston K. et al, Phys. Rev. B 83 (2011) 125205 [5] Lyons J. L. et al, Phys. Rev. B 80 (2009) 205113 [6] Pan, J. B. et al, Phys. Rev. Lett. 99 (2007) 127201 [7] Huang H. et al, Semicond. Science and Technol. 25 (2010) 045023 [8] Schildknecht A et al, Physica B 340–342 (2003) 205
4:30 PM - **M2.6
Electronic Transport and Optical Transitions in Zn1-xMgxO/ZnO 2DEG Grown by MOVPE.
Jiandong Ye 1 , Sze Ter Lim 2 , Kie Leong Teo 2 , Shulin Gu 3 , Hoe Hark Tan 1 , Chennupati Jagadish 1
1 Electronic Materials Engineering, The Australia National University, Canberra, Australian Capital Territory, Australia, 2 Department of Electrical and Computer Engineering, National University of Singapore, Singapore Singapore, 3 School of Electronics Science and Engineering, Nanjing University, Nanjing China
Show AbstractOwing to the richness of their intrinsic properties, oxide materials can bring novel functionalities to modern electronics. Recent technological innovation in the fabrication of atomically abrupt oxide interface enables the formation of high mobility two-dimensional electron gases (2DEG) and triggers the intense research of unusual electronic properties in deliberately designed oxide structures. So far, the formation of 2DEG at the Zn1-xMgxO/ZnO interface has been observed only by a few groups using either molecular beam epitaxy or pulse laser deposition techniques. On the other hand, metal-organic vapor phase epitaxy (MOVPE) is well known to be one of the most important deposition processes for making high-quality epilayers and well-defined heterostructures with homogeneous properties over a large deposition area. In this work, we present the formation of two-dimensional electron gas (2DEG) at the Zn1-xMgxO/ZnO interface grown by MOVPE technique on sapphire substrates. ZnMgO layers on ZnO exhibit clear steps and terraces with a small surface roughness (rms value) of 0.42nm, which indicates that the epitaxy undergoes a two-dimensional growth mode. Reciprocal space mapping of (105) plane indicates that ZnMgO layers are coherently strained on ZnO. The electronic transport and optical transitions of 2DEG have been characterized and analysed in detail. The existence of the 2DEG is confirmed by the observation of Shubnikov-de Hass (SdH) oscillations and the integer quantum Hall effect. In particular, the Zn0.83Mg0.17O/ZnO heterostructure shows a high Hall mobility of 2982 cm2/Vs with a carrier sheet density of 2.43×1012 cm-2 at 1.4 K. The magneto-transport study suggested that the 2DEG in this work is naturally spin-polarized and it has an anomalous huge spin-splitting energy over 10meV at zero magnetic field. The weak localization and scattering mechanism of 2DEG has also been investigated. The dependence of carrier sheet density of 2DEG on ZnMgO layer thickness and Mg composition (x) have also been investigated, which show a good agreement with the one-dimensional self-consistent calculation of Schrodinger and Poisson equations. The results are discussed based on a simple charge control model that utilizes the effect of polarization- induced charge density, and the donor-like surface states are identified as the main contribution of the electrons in 2DEG. Moreover, the photoluminescence emission related to a two-dimensional electron gas confined at ZnMgO/ZnO heterointerface has been observed around 3.371eV, between the optical transitions of free exciton (FX) and donor-bound excitons (DBE) in ZnO. The recombination mechanism has been discussed with the systematic analysis by means of photoluminescence, time-resolved photoluminescence (TRPL) and photoluminescence excitation spectroscopies (PLE). The emission is related to the recombination of electrons confined at the interface potential and photo-excited holes localized in valence band of ZnO.
5:00 PM - M2.7
ZnO Microwire Quantum Well Heterostructures.
Christof Dietrich 1 , Martin Lange 1 , Marko Stoelzel 1 , Helena Franke 1 , Marius Grundmann 1
1 Institut fur Experimentelle Physik II, Universitaet Leipzig, Leipzig Germany
Show AbstractWe report the fabrication of ZnO microwires with radial quantum well heterostructures that can be utilized as photonic emitter due to their distinct cavity characteristics. For this purpose we benefit from the unique properties of carbothermally grown ZnO microwires with respect to their excellent crystal quality and optical features such as formation of standing waves by total internal reflection – so-called whispering gallery modes (WGM) – representing high-quality naturally built microcavities.Quantum well (QW) heterostructures were fabricated by pulsed-laser deposition (PLD) in radial direction on non-polar side facets of bare ZnO microwires using an off-angle PLD plasma plume. QWs that spectrally emit above or below bulk ZnO were achieved by embedding a thin ZnO or CdZnO layer between MgZnO barriers, respectively. In both cases, recombination has been analysed by means of time-integrated and time-resolved photoluminescence experiments and reveals clear QW properties. Further, all fabricated microwire heterostructures exhibit photonic eigenmodes covering the entire wire emission. These modes were unambiguously assigned to WGM passing the microwire.We discuss the absorption mechanisms in ZnO wires caused by either the green or UV emission. On the one hand, QW luminescence energetically below ZnO (which is the case for CdZnO QWs between ZnO barriers) is subject to strong light absorption by the green defect band of ZnO. This can be suppressed by alloying the barrier with Mg atoms and therein reducing the intensity of the green band by saturating the intrinsic defects. On the other hand, optical eigenmodes in MgZnO/ZnO/MgZnO hetero-structures are absorbed by the ZnO core. This can only be overcome by increasing the overall shell thickness to the point that WGM can completely travel inside the wire surrounding. During the growth process, we observe a continuously transition from m-plane orientation to a-plane orientation of the wire side facets.Nowadays, the prerequisites for highly efficient lasing are integration of low-dimensional active regions (such as QWs in heterostructures) and optimal light confinement (such as WGM in microwires or disks). With this work, we demonstrate the successful combination of QWs with optical eigenmodes and a first step to strong light-matter interaction and high-power lasing.
5:15 PM - M2.8
ZnCdO Thin Films and ZnCdO/ZnO Multiple Quantum Well Structures Grown by Pulsed Laser Deposition.
Martin Lange 1 , Christof Dietrich 1 , Marko Stoelzel 1 , Michael Lorenz 1 , Marius Grundmann 1
1 , Universitaet Leipzig, Leipzig, Saxony, Germany
Show AbstractThe rising complexity of efficient and modern optoelectronic devices is based on semiconductor heterostructures, which makes band gap engineering indispensable. For the II-IV semiconductor ZnO a reduction of the fundamental bandgap down to 1.8 eV is possible when Cd is incorporated at Zn site making a wide spectral range accessible.[1] Recently, using pulsed laser deposition (PLD) Cd-contents of up to 9%, corresponding to an emission energy of 2.94 eV, were reported.[2] Higher Cd-contents were only possible using remote-plasma enhanced metal organic vapor deposition or molecular beam epitaxy.[3,4] Here, we present an ansatz allowing the incorporation of up to 25% Cd in ZnO without any phase separation. To achieve this, we deposited ZnCdO thin films at low temperatures of about 300°C by PLD. The thin films exhibit wurtzite crystal structure with the c-axis parallel to the growth direction. The highest Cd incorporation of 25% results in (i) a large red-shift of the near band edge luminescence down to approximately 2.45 eV and (ii) a red-shift of the absorption edge. In order to take advantage of the properties of heterostructures ZnCdO/ZnO multiple quantum well structures were fabricated using the ZnCdO with the highest Cd-content of 25%. The quantum well related luminescence of the samples was tuned between 2.5 eV and 3.15 eV making use of the quantum confinement effect. In X-ray diffraction 2Θ-ω measurements superlattice satellites of the multilayer structure were observed, showing the high interface quality. Additionally, the superlattice satellites allowed a precise determination of the QW-thickness from the period of the multilayer structure. As the growth direction of the samples was equal to the polar c-axis for all samples a pronounced quantum-confined Stark effect (QCSE) is expected due to a strong built-in electric field in the QW. Besides the difference in the spontaneous polarization of ZnO and ZnCdO a large contribution to the overall polarization is expected to be given by the piezoelectric polarization due to the large lattice mismatch. To reduce the influence of the QCSE, samples with QW-thicknesses up to 2 nm were in focus of the study. Already up to this QW-thickness the occurrence of the QCSE could be proven by (i) a significant increase of the average decay time by three orders of magnitude with increasing QW-thickness measured in time resolved luminescence measurements and (ii) by a blue-shift of over 40 meV of the QW-luminescence with increasing excitation intensity. [1] K. Yamamoto et al., J. Cryst. Growth. 312, 1703 (2010)[2] W. F. Yang et al., Appl. Phys. Lett. 97, 061911 (2010)[3] J. Ishihara et al., Appl. Surf. Sci. 244, 381 (2005)[4] S. Sadofev et al., Appl. Phys. Lett. 89, 201907 (2006)
5:30 PM - M2.9
Carrier Confinement in Homo-and Heteroepitaxial ZnO/ZnMgO Single Quantum Wells.
Bernhard Laumer 1 2 , Fabian Schuster 2 , Alexej Chernikov 3 , Thomas Wassner 2 , Pascal Becker 1 , Sangam Chatterjee 3 , Detlev Hofmann 1 , Martin Stutzmann 2 , Martin Eickhoff 1
1 I. Physikalisches Institut, Justus-Liebig-Universitaet Giessen, Giessen Germany, 2 Walter Schottky Institut, Technische Universitaet Muenchen, Munich Germany, 3 Faculty of Physics and Materials Science Center,, Philipps-Universitaet Marburg, Marburg Germany
Show AbstractHetero- and homoepitaxial ZnO/Zn1-xMgxO single quantum wells (SQWs) with different quantum well widths d and barrier compositions x up to 0.22 were grown by plasma-assisted molecular beam epitaxy on a-plane and c-plane sapphire as well as homoepitaxially on (000-1)- and (11-20)- ZnO substrates. In order to inhibit phase separation of the barrier for high Mg-concentrations, two different approaches were pursued: First, graded Zn1-xMgxO barriers with a maximum Mg content x = 0.20 were realized by a step-wise increase of the Mg concentration x on c-plane sapphire. In the second approach, a low substrate temperature of TS = 270 °C and metal-rich conditions were applied to achieve Zn1-xMgxO barriers with a Mg content of x = 0.22 on a-plane sapphire. High resolution X-ray diffraction analysis reveals partial relaxation of the graded Zn1-xMgxO barriers on c-plane sapphire while full relaxation is observed for low growth temperatures on a-plane sapphire. The optical properties were investigated by photoluminescence spectroscopy. For x < 0.1 we find that homoepitaxial SQWs show a lower density of structural defects and a significantly better carrier confinement than heteroepitaxal SQWs. For d = 0.8 nm and x = 0.22 a maximum blue-shift of 280 meV of the SQW emission with respect to bulk ZnO is observed at 4.2 K. Temperature-dependent measurements reveal the highest temperature stability of the SQW emission for d = 1.2 nm with an activation energy of (95 ± 5) meV for thermal decay. For polar samples with x ≥ 0.13 and sufficiently broad wells the SQW emission drops below that of bulk ZnO, evidencing the influence of the quantum confined Stark effect. Numerical simulations reveal an internal electric field of approximately 700 kV/cm for x = 0.22. In contrast, in non-polar SQWs grown homoepitaxially on (11-20)-oriented ZnO substrates no internal electric fields are present.
5:45 PM - M2.10
Excitonic Transport in a ZnMgO/ZnO Quantum Well: Suppression of Ionized Impurity Scattering.
Martin Noltemeyer 1 , Thomas Hempel 1 , Juergen Christen 1 , Matthias Brandt 2 , Michael Lorenz 2 , Marius Grundmann 2 , Andrey Polyakov 3 , Mikhail Stepovich 3
1 Institute of Experimental Physics, University Magdeburg, Magdeburg Germany, 2 Institut fuer Experimentelle Physik II, University Leipzig, Leipzig Germany, 3 , Tsiolkovsky Kaluga State University, Kaluga Russian Federation
Show AbstractUsing highly spectrally and ps-time resolved cathodoluminescence (CL) the excitonic transport in a c-oriented on sapphire substrate PLD grown ZnMgO/ZnO tapered quantum well (QW) of about b = 4 – 5.4 nm thickness is optically measured as a function of temperature (T = 5 K – 180 K). The sample shows strong Quantum Confined Stark Effect (QCSE) leading to a red shifted QW emission (EQW(5 K) = 3.22 eV) as compared to bulk ZnO and a blue shift of 29 meV/(decade kW/cm2) with increasing excitation density. The sample surface is partially covered by a completely light absorbing Ti-mask (thickness: 160nm), patterned with circular aperture openings of various diameters. In a first step, the initial exciton lifetime τ of the QW is measured by time resolved CL on the uncovered sample area. It decreases by more than one order of magnitude from τ(5 K) = 3.75 ns to τ(180 K) = 0.38 ns. The high value of the initial lifetime is caused by the reduced transition probability due to the QCSE whilst the decreasing with increasing temperature is due to an increasing of non-radiative recombination. In a second step, the sample is excited by the pulsed e-beam in the center of a circular aperture (d = 1.45 µm). The analytic solution of the two-dimensional diffusion equation for this geometry is fitted to the initial decay of the CL with the previously measured undisturbed parameter τ(T) and the given d. This directly results in the diffusion constant D and its temperature dependence D(T). D(T) increases from D(5 K) = 0.25 cm2/s to D(180 K) = 1.4 cm2/s. Using the Einstein-Relation, one can define an excitonic mobility that has a plateau around μ = 600 cm2/Vs at low T (5 K – 12 K) which can be explained with smooth interfaces. At higher temperature the exciton mobility decreases following µ ∝ exp(−θ/T) which could be related to scattering via Fröhlich-Interaction. Most dominant and expected, the low temperature drop of mobility caused by scattering at ionized impurities - characteristic for charge carriers - is not observable for the investigated electrically neutral excitons.
M3: Poster Session: Oxide Based Devices
Session Chairs
Steven Durbin
Marius Grundmann
Jamie Phillips
Timothy Veal
Tuesday AM, November 29, 2011
Exhibition Hall C (Hynes)
9:00 PM - M3.1
Multi Compound Systems in Solution Processed Oxide Field Effect Transistors.
Marlis Ortel 1 , Marko Marinkovic 1 , Gesa Helms 1 , Veit Wagner 1
1 , Jacobs University Bremen, Bremen Germany
Show AbstractRecently significant progress was made in the field of solution processed amorphous oxide semiconductors (AOS). Even though these materials are amorphous they show high mobility values of up to 100cm2/Vs. Furthermore metal oxide semiconductors are transparent due to their large band gap. The combination of these two properties and the opportunity to apply the materials from solution makes the materials suitable for applications such as transparent electronics and low cost process technologies like printing.AOS-based devices often show stress-related threshold voltage shift, which is not acceptable in most applications. It is reported for sputtered systems that substances which form strong chemical bonds with oxygen increase the stability of the transistor due to the suppression of charge carrier generation from oxygen vacancies1.In this work the influence of additives on the transistor performance of solution processed semiconducting indium oxide is investigated. Since the solution process of multi compound materials is very complex, different behavior from sputtered systems is observed. This is due to different film building properties in regard to sputtered systems. Therefore atomic force microscopy was udes to correlate the electrical results with morphological properties of the semiconductor. Aluminumethoxide and tetraethylorthosilicate (Evonik Industries) were added to the precursor solution of the semiconductor, respectively. The influence was systematically investigated by varying the concentration of the additive from 2, 5, 15 to 30mol%. The mixtures were applied by spin-coating. After fabrication of bottom-gate bottom-contact field effect transistors IV-characteristics were taken. Out of the measurement data the mobility, the off-current and the subthreshold-voltage swing were analyzed for Al and Si additions for reduction of oxygen vacancies, i.e. less residual doping. The sample which contained 2mol% of Al showed a remarkably high mobility of 12cm2/Vs. The mobility decreased by increasing amount of additive. Furthermore, the reduction of the off-current by two orders of magnitude with increasing concentration seems explainable regarding the model that the density of free charge carriers gets reduced by less oxygen vacancies. The samples which contained silicon showed very poor mobility but a very low off-current. This phenomenon was explained by the help of AFM images. Semiconductor layer which contained Si showed inhomogeneous film building. Films which contained Al were homogenous and showed a moderate maximum roughness of 5.1nm. Hence aluminum seems to be a suitable material to improve transistor performance. 1 K. Numora, et al., Nature 432, 488-492 (2004)
9:00 PM - M3.10
Fabrication and Characterization of Flexible One Transistor-One Resistor Transition Metal Oxide ReRAM.
Seungjun Kim 1 , Hu Young Jeong 1 , Sung-Yool Choi 2 , Keon Jae Lee 1
1 Department of Materials Science and Engineering, Korea Advanced Institute of Science and Technology, Daejeon Korea (the Republic of), 2 , Electronics and Telecommunications Research Institute (ETRI) , Deajeon Korea (the Republic of)
Show AbstractFlexible electronics has been attract attention because of its merit such as low cost, light weight, environmentally friendly low temperature processing. Although fast progress of logic integrated circuits using thin-film transistors on flexible substrate has been demonstrated, the lack of high performance non-volatile memory becomes one fundamental challenge for flexible electronics. Resistive random access memory (ReRAM), one type of nonvolatile memories using the resistance change property of thin film materials, has attracted attention as an alternative to flash memory due to its high switching speed, low power consumption, high packaging density, and simple structure. Several researchers including our group have reported the flexible resistance change memory with simple cross-point-type array based on various oxide or organic materials such as TiO2, Al2O3, ZnO, GeO/HfON, PI:PCBM, and graphene oxide. The devices with cross-point structure, however, confront unavoidable cross-talk interference during memory access operation. The cross-talk interference between neighboring memory cells happen due to leakage current paths through adjacent low resistance state cells and induce not only unnecessary power consumption but also misreading problem that is a fatal obstacle in memory operation. To fabricate a fully functional flexible memory and prevent these unwanted effects, each memory cell must be integrated with a switching component such as transistor. Unfortunately, most transistors built on plastic substrates (e.g. organic/oxide transistor) have insufficient effective mobility to drive conventional memory, compared to that of present silicon transistor. Herein we describes on the first development of 8 x 8 matrix one transistor-one resistor (1T-1R) ReRAM on flexible substrates. Using microstructured semiconductor (μs-Sc) technology, the high performance flexible single crystal silicon transistors are integrated with aluminum/amorphous TiO2/aluminum (Al/α-TiO2/Al) to control logic state of memory. For the active operation of the memory cell, the 1T-1R ReRAM unit cells are interconnected through word, bit, and source lines in 8 x 8 NOR type array to control each memory unit cell independently. From the results, we demonstrate the ReRAM on flexible substrates that has reliable memory performance in terms of retention and endurance.
9:00 PM - M3.11
Transparent NiO/Al-ZnO Ohmic Contact on P-GaN.
S. Hamad 1 2 , D. Norman 1 2 , Y. Choi 3 , B. Yang 3 , M. Kang 3 , D. Chae 3 , S. Chang 4 , W. Weng 4 , Q. Chen 5 , G. Huang 5 , F. Keles 1 2 , T. Chen 1 , H. Seo 1
1 Dept. of Physics and Astronomy, University of Arkansas at Little Rock, Little Rock, Arkansas, United States, 2 Dept. of Applied Science, Unversity of Arkansas at Little Rock, Little Rcok, Arkansas, United States, 3 Emerging Technology Laboratory, LG Advanced Research Institute, Seoul Korea (the Republic of), 4 Department of Electrical Engineering, National Cheng-Kung University, Tainan Taiwan, 5 Department of Physics and Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University, Kaohsiung Taiwan
Show AbstractTransparent conducting oxides, NiOx/Al-ZnO (AZO), for ohmic contacts to p-GaN were studied. NiOx /AZO films with different oxygen partial pressures and temperatures were grown on p-GaN template by pulsed laser deposition technique. We also varied the surface treatment methods of p-GaN prior to the growth of NiOx /AZO films. X-ray diffraction and transmittance measurements were conducted to investigate the crystallographic and optical properties of NiOx/AZO. To characterize the contact resistivity, Transmission line method was used. We found the surface termination layer of p-GaN can be controlled by the cleaning chemical solvent, which greatly affects the contact resistivity between NiOx/ AZO and p-GaN. Furthermore, we observed that as-deposited AZO contact on p-GaN shows nonlinear current–voltage characteristics and, after inserting NiOx thin films between AZO and p-GaN, the ohmic characteristics is improved. As the oxygen partial pressure during the NiOx growth decreases further, contact conductivity increases prominently. The detail experimental data and their analysis will be presented. This work is supported in part by NSF (NSF-EPS-1003970) and NASA (NNX09AW22A) and in part by LG.
9:00 PM - M3.12
Room Temperature Detection of DMMP (Stimulant of Sarin) by ZnO SAW Sensor.
V Bhasker Raj 1 , Yashoda Parmar 3 , Monika Tomar 2 , A. Theodore Nimal 3 , Manoj Sharma 3 , Vinay Gupta 1
1 Department of Physics and Astrophysics, university of Delhi, Delhi, Delhi, India, 3 SAW Division, Solid State Physics Laboratory, Delhi India, 2 Physics Department, Miranda House, University of Delhi, Delhi India
Show AbstractSurface Acoustic Wave (SAW) devices are highly sensitive to surface perturbations. Their advantage includes speed, reliability, accuracy, low cost, real time measurement and wireless sensing in inaccessible areas. But sensing layer used for imparting sensitivity and selectivity is often an issue of concern. Polymers are widely used as sensitive coating but metal oxides are preferred for long term stability. ZnO oxide (ZnO) is one of the most widely used semi conducting material for sensing applications. Sarin is an extremely toxic warfare gas whose exposure may lead to coma, convulsions, loss of consciousness, loss of memory, paralysis, uncontrollable trembling, vision problems and death.In the present work, ZnO coated SAW sensors are exploited for the enhanced room temperature detection of DMMP which is a stimulant of sarin. Quartz based SAW devices are used because of their low temperature coefficient of delay. Then 40 nm thin ZnO films are deposited on the SAW device surface by RF Magnetron Sputtering at different sputtering pressures (10-40 mT) with fixed argon to oxygen ratio (1:1). XRD results showed that ZnO films are highly oriented with c-axis normal to the substrate. The crystallite size of the films is in the range 9-17 nm. All the films are in a state of stress and is minimum for the films grown at 20 mT sputtering pressure. SEM images showed that good quality ZnO films were grown with fine microstructures. The UV-Visible spectra shows that ZnO thin films are highly transparent (> 80%) in the visible region. The devices were placed as frequency determining element in Colpitt oscillator configuration. Difference frequency of SAW oscillator (one reference and one coated with ZnO film) is measured to minimize the effect of various environment fluctuations. When the headspace vapors of DMMP are passed over to the sensor surface, difference frequency decreased. Maximum shift of 31 KHz is obtained for ZnO film deposited at 30 mT sputtering pressure and can be attributed to the rough and porous microstructures. In order to check the effect of interferants, various VOCs (methanol, ethanol, acetone, benzene and xylene), gases (N2O, H2 and NH3) and water vapors are passed over to the sensor surface. The sensor is found to be very less sensitive to those interferants. Mass loading acoustoelectric effect and change in elasticity of the film are the major SAW perturbation mechanisms. Resistance measurements proved that acoustoelectric interaction is not pronounced in the observed sensing response. Furthermore mass loading should not lead to the observed decrease in the difference frequency. Hence change in the elasticity of the ZnO thin films with exposure to the target analytes is expected to be the dominant sensing mechanism. The results suggest the possibility of utilizing the ZnO coated SAW sensor for the efficient detection of DMMP vapors.
9:00 PM - M3.13
Persistent Photo-Conduction in a SnO2 Nanowire FET.
Emilson Viana 1 , Juan Carlos Perez 1 , Alfredo de Oliveira 1 , Geraldo Ribeiro 1
1 Physics Department, Universidade Federal de Minas Gerais, Belo Horizonte, MG, Brazil
Show AbstractNanostructures with unique properties have made significant contributions in scientific research in recent years. One of the important research is about the electrical transport through this 1D systems. Fundamental properties of the conduction mechanisms are well known for some materials, such as ZnO and CuO nanowires, but those mechanisms still remain unclear and challenging for others. In this work, thin undoped SnO2 nanowires, with diameters of about 50-200 nm, were synthesized via chemical-vapor-deposition (CVD) for different atmospheres conditions andgrowth temperatures. Electric properties were investigated by connecting a single SnO2 nanowire in field-effect transistor configuration (NWFET), by photolithography. The transport mechanisms in NWFET were studied for a wide range of temperatures (4K to 400K), and analyzed with gate modulation and UV irradiation. Photoconduction properties of those NWFET were also studied. Light modulation for the conductance, by UV-LED of 380 nm, was observed. After turning off the UV irradiation, a Persistent Photoconductance (PPC) was observed, and the PPC effect increased with a decreasing in temperature. The photogeneration of electron-hole pairs and doping by UV induced surface desorption also contribute to this PPC conductivity.Nanowires growth by CVD are very simple to be made and of low-cost, having great potential to be used in large scale production, opening up possibilities for applications in nanoscaled electronic and optoelectronic devices, catalysts and gas sensors.The authors thanks the financial support of CNPq, CAPES and FAPEMIG.
9:00 PM - M3.15
Integrated Optical Resonators in Titanium Dioxide Thin Films for the Visible Wavelengths.
Jennifer Choy 1 , Jonathan Bradley 1 , Ian Burgess 1 , Parag Deotare 1 , Christopher Evans 1 , Eric Mazur 1 , Marko Loncar 1
1 School of Engineering and Applied Sciences, Harvard University-SEAS, Cambridge, Massachusetts, United States
Show AbstractOptical resonators such as photonic crystal nanocavities and whispering-gallery-mode (WGM) resonators are ideal platforms for studying light-matter interactions since they provide strong light confinement and can be easily integrated on chip. In materials such as silicon and gallium arsenide, for which fabrication techniques are well-known, these elements have become critical components in various light sources, modulators, and switches for the telecom wavelengths. Meanwhile, there is present need for developing a photonics platform that operates in the visible regime, due in part to the existence of visible emitters with high quantum efficiencies, which include color centers in diamond, colloidal quantum dots, and fluorescence dye molecules. Therefore, integrated optical devices in the visible regime could be beneficial to applications such as classical and nonclassical light sources, fluorescence-based on-chip sensing, and solar cells.While materials such as gallium phosphide, silicon nitride, silicon dioxide, and diamond have demonstrated to be suitable platforms, high quality optical devices in the visible are generally more challenging to realize than their telecom counterparts. In this work, we propose and demonstrate the use of amorphous titanium dioxide (TiO2) thin films as an alternative planar platform for scalable, integrated optical cavities for the visible regime. TiO2 is a wide-bandgap (3.2 eV) material with a moderately high index (n = 2.4), which provides sufficient index contrast for the design of a high quality factor (Q) photonic crystal suspended nanobeam cavity with a theoretical Q of over 10^6 and mode volume V~0.4(λ/n)^3.TiO2 thin films of thickness ~170nm have been sputtered on silica substrates. Prism coupling experiments indicated that propagation losses in the deposited films are as low as 2dB/cm at 633nm. As first demonstration, we have fabricated and characterized waveguide-coupled racetrack resonators in these films. These cavities have been designed such that the Q’s would be limited only by intrinsic and coupling losses, as well as structural imperfections. The devices were realized by conventional top-down fabrication techniques which include electron beam lithography, electron beam evaporation, metal liftoff, and reactive ion etching. The resulting resonators were characterized by transmission measurements using a tunable red laser (634.4-639.6nm). Transmission spectra indicated the appearances of critically-coupled TE and TM modes in some devices, with Q’s around 10^4 (TE) and 5x10^3 (TM).
9:00 PM - M3.16
Electrical Characteristics of Ag Contact on Sol-Gel ZnO Film.
Wen Chang Huang 1 , Meng-Hua Tsai 1 , Jin Chang Cheng 2 , Chia-Tsung Horng 1 , Tsung-Hsien Tu 1
1 Department of Electro-Optical Engineering, Kun Shan University, Tainan Taiwan, 2 Department of Accounting and Information System, Chang Jung Christian University, Tainan Taiwan
Show AbstractHigh barrier height with low leakage current of a metal/n-ZnO contact is essential need in the application of ultraviolet Schottky barrier photodetectors and metal–semiconductor-metal (MSM) photodetectors.In this paper, we present a high barrier height Ag/n-ZnO Schottky diode. ZnO film was prepared by sol-gel method. Electrical characteristics of the Schottky diode and both structural and optical properties of the sol-gel ZnO films were discussed in the paper. The contact shows rectifying behavior with a potential barrier formed at the contact interface. The diode showed a barrier height of 0.84 eV with an ideality factor value of 1.74 and a reverse current of 2.3×10 -8 A at -3V after it was annealed at 350°C for 30 sec. It also showed a series resistance of 7550K Ω which was evaluated by Norde’s model. The value of barrier height is very high compared with that of other works, such as 0.84 eV at Ir/n-ZnO Schottky diodes, 0.8 eV at Pt/ZnO diode, 0.5 eV at Pd/ZnO diode, and 0.59 eV at Au/ZnO diode.ZnO films were prepared by the sol–gel method using Zn(CH3COO)2-2H2O as a starting precursor and 2-methoxyethanol and monoethanolamine (MEA) as solvent and stabilizer. After deposition by spin-coating, the films were dried at 120°C for 60 mins on a hotplate to evaporate the solvent and remove organic residuals. The procedures from coating to drying were repeated six times. The films were then inserted into a rapid thermal annealing system and annealed in oxygen at 800°C for 30 sec. The sol-gel ZnO film showed a preferential c-axial ZnO (002) growth. For the grain of ZnO (002), its grain size is 24.99nm, space distance is 2.60Å. The ZnO showed an average transmittance of 80% in visible region and a bandgap energy of 3.7eV.
9:00 PM - M3.17
Microstructural Investigation of Multi-Level Resistive Switching Characteristics in Titanium Oxide.
Dong-Su Ko 1 , Seong-Il Kim 1 , Tae-Young Ahn 1 , Sung-Dae Kim 1 , Young-Woon Kim 1
1 Department of Materials Science and Engineering, Seoul National University, Seoul Korea (the Republic of)
Show Abstract Resistive switching (RS) behavior of transition metal oxides have been attracted remarkable attention for applications of the next generation of nonvolatile memory devices. One of the active discussions is to build 3-dimensional devices and the stack of metal-transition metal oxide-metal (M-O-M) structures which can be implemented to attain high density integration devices. Titanium oxide has been reported to show both unipolar and bipolar RS behaviors with multi-level RS characteristics. In this paper, we present the unipolar multi-level RS characteristics of Pt/TiOx/Pt structure, where the multi-level resistance ratio of more than 10 was obtained with fixed Ti and O ratio. A 45-nm-thick TiOx thin film as RS material was deposited by reactive DC magnetron sputtering onto the e-beam-evaporated 200-nm-thick Pt as bottom electrode. On the top of the TiOx, 100-nm-thck Pt top electrodes of 100-um-diameter were formed by e-beam evaporation followed by a lift-off photolithography process. The microstructure of Pt/TiOx/Pt device was observed by cross-sectional transmission electron microscopy (XTEM). Three distinctive resistance states were obtained using voltage sweeping and pulse-voltage bias mode at room temperature. I-V curves of multi-level RS behavior showed double current drop by changing of resistance state. Intermediate resistance state between double current drops was confirmed by controlled voltage sweeping. Repeatability of multi-level RS characteristics was verified using pulsed voltage bias. Measured resistance states of low resistance state (LRS), intermediate resistance state (IRS), and high resistance state (HRS) were 10 Ω, 300~1000 Ω, and 20 ~200 kΩ, respectively. Resistance ratio between LRS and IRS was about 90 while the ratio between LRS and HRS was 7800. XTEM revealed that the film was consisted of two-layered structure, amorphous TiOx on the top of poly-crystalline Ti4O7, which showed nearly same Ti:O ratio. Two different conduction paths from each layer in the stacked configuration made it possible to exhibit the multi-level switching. The multi-level resistive switching operations by forming layers with different crystal structure can provide a simple way to build multi-bit device in non-volatile storage systems. This research was supported by an appointment to Mid-career Researcher Program (2009-0080290) at the National Research Foundation of Korea (NRF) funded by the Ministry of Education, Science and Technology.
9:00 PM - M3.18
UV Annealing Effects in Oxide TFTs.
Chi-Sun Hwang 1 , Sang-Hee Ko Park 1 , Himchan Oh 1 , Shinhyuk Yang 1 , Min Ki Ryu 1
1 , ETRI, Daejeon Korea (the Republic of)
Show AbstractAchieving high stability under light and negative gate bias (NBIS ; negative bias illumination stress) remains unsolved problem in oxide TFTs. There have been many debates on the origin of the instability under NBIS conditions. In general, the parallel shift of characteristics of TFTs , which occurs in oxide TFT under NBIS condition, means the instability originated from the charge trapping near interface of gate insulator and active layer. But the nature of oxide semiconductor, doped with intrinsic dopants, makes it possible that explanation of the instability with the change of doping concentration in the “bulk” of oxide semiconductor thin films. UV light with high intensity was illuminated on to transparent top gate ZnO TFTs. After that, the TFTs were annealed at 200oC for a sufficient time (longer than 2hrs) to restore the original characteristics of oxide TFTs. The stabilities under NBIS condition were examined before and after UV illumination & annealing. The changes of turn-on voltages under NBIS condition were well fitted with stretched exponential functions for both cases. But UV annealed (UV illumination + annealing) TFTs showed longer characteristic time(1.28 times) and smaller saturation coefficient (0.83 times) than un-treated TFTs. These results revealed that the instability under NBIS condition could be improved with post-process treatments. Another implication of these results are that the instability might originated from the “bulk” of oxide semiconductor thin films, for the absorption of UV light occurred in the “bulk” of oxide semiconductor thin films.More results applied to other oxide semiconductor (such as IGZO) TFTs will be represented.
9:00 PM - M3.19
Transparent TiO2-x Channel Based Thin Film Transistors Using an Oxygen Deficient TiO2-x Target.
Han-Ki Kim 1 , Kwang-Hyuk Choi 1 , Seong Jun Kang 1
1 Dept. of Advanced Materials Engineering for Information and Electronics, Kyung Hee University, Yongin, Gyeonggi, Korea (the Republic of)
Show AbstractAmorphous oxide semiconductors, such as In-Ga-Zn-O (IGZO), In-Zn-O, In-Zn-Sn-O, and In-Sn-O systems, are primarily employed as channel layers in academic and industrial researches due to their high mobility and easy production via a sputtering process. However, the high-cost and scarcity of indium and gallium elements are critical problems for generating cost-efficient OxTFTs. For these reasons, indium-free or gallium-free titanium dioxide (TiO2) semiconductors have recently emerged as promising cost-efficient channel materials for OxTFTs because of their low cost, the abundance of Ti element, their high transparency and their easy processability. In this work, we report fabrication of an amorphous TiO2-x (a-TiO2-x) channel based oxide thin film transistor (OxTFT) by direct-current magnetron sputtering using an oxygen-deficient TiO2-x target. By rapid thermal annealing of a sputtered TiO2-x channel layer in nitrogen ambient, we obtained a-TiO2-x-based OxTFTs with a performance of μFE of 0.69 cm2/Vs, Ion/off of ~107, SS of 2.45 V/decade and VT of 10.45 V. X-ray photoelectron spectroscopy showed that the a-TiO2-x-based OxTFT performance could be attributed to the oxygen-deficient TiO2-x channel layer, which has a multiplicity of Ti oxidation states such as Ti2+, Ti3+ and Ti4+ unlike stoichiometric TiO2 film. This indicated that the sputtered a-TiO2-x channel layer is a promising indium-free or gallium free oxide channel layer that could substitute for high-cost indium or gallium oxide based channel layers to generate cost-efficient OxTFTs.
9:00 PM - M3.2
Simulations on the Electrical Properties of Amorphous Oxide Semiconductor Thin Film Transistors Considering Localized Trap Distribution Based on the Pao-Sah Model.
Sang Ho Rha 1 2 , Jisim Jung 2 , Yoon Soo Jung 2 , Un Ki Kim 2 , Yoon Jang Chung 2 , Cheol Seong Hwang 2
1 Advanced Module Technology Development Project, Samsung Electronics Co. Ltd., Yongin, Gyeonggido, Korea (the Republic of), 2 WCU Hybrid Materials Program, Department of Materials Science and Engineering and Inter-university Semiconductor Research Center, Seoul National University, Kwanakku, Seoul, Korea (the Republic of)
Show AbstractThe Amorphous oxide semiconductors (AOSs) have been widely researched for thin-film transistors (TFTs) in display applications due to its transparency and superior carrier transport properties. Since the AOSs are composed of post-transition-metal cations which have a large wave function overlap with neighboring atoms in the s-orbitals, the electrical properties are not significantly altered even when in the amorphous structure. As a result, a high carrier density and mobility can be simultaneously obtained. Recently, it was reported that AOSs can be potentially utilized in low-voltage logic devices as well as memory application.However, the device physics and the operation principles of AOS devices are not clearly understood, and there are limited reports on the roles of localized traps within the band gap as well as its influence on device operation. In this work, we demonstrated a numerical simulation for AOS devices based on the Pao-Sah model with the consideration of various localized states in the band gap. The localized states were considered to have donor-like states near the valance band edge and acceptor-like states near the conduction band edge were. The Gaussian distributions of the donor-like states were also incorporated into the simulation model. The position of the Fermi level was calculated using the charge neutrality conditions for the distribution of the localized traps. Based on the quasi-equilibrium approximation, potential distributions were obtained by Poisson’s equation and the mobile charges were numerically calculated by integrating the electron concentration in the channel region. Following the Pao-Sah current formulation, the operation current was calculated by integrating the potential between the source and the drain. In order to examine the simulation model, transfer curves were simulated and the gate bias dependency of the effective mobility was evaluated under various conditions. It was found from these calculations that in AOSs, the field effect mobility was dependent on the induced charge, while the density and energy level of localized traps influenced the threshold voltage and sub-threshold swing characteristics.The results of the simulation model were verified using experimental data. The experimentally measured electrical characteristics were consistent with the simulation in all the operation regions (accumulation and depletion). Using this simulation model, the correlation between localized states and field effect mobility and sub-threshold swing characteristics were understood in detail.
9:00 PM - M3.20
Comparison between Aluminum and Gold Metals as Source-Drain Electrodes on Zinc Oxide Thin Film Transistors Performance.
Maria Medina-Montes 1 , Leonardo Baldenegro-Perez 2 , Manuel Quevedo-Lopez 2 , Rafael Ramirez-Bon 1
1 Materials Science, CINVESTAV Unidad Queretaro, Queretaro, Queretaro, Mexico, 2 Material Sciences and Engineering, The University of Texas at Dallas, Dallas, Texas, United States
Show AbstractZinc oxide (ZnO) films were deposited by radio frequency magnetron sputtering at room temperature. Characterization by Scanning Electron Microscopy and X-Ray Diffraction revealed a columnar growth of polycrystalline films with a (002) preferred crystalline orientation and with crystallites of ~20 nm size. Measurements with Scanning Kelvin Probe Microscopy provided both surface potential and roughness of the ZnO films. Work function calculated from surface potential was ~4.55 eV and the RMS roughness was ~0.8 nm.ZnO thin film transistors (ZnO-TFTs) with bottom-back common gate configuration, were fabricated with aluminum (Al) and gold (Au) metals as source and drain electrodes. It has been found that the drain current in the off-state is higher in the ZnO-TFTs with Au electrodes (10-6 A) than that in the devices with Al electrodes (10-9 A). The devices have current ratios of 103 with Au and 106 with Al electrodes. Contact resistance results extracted from current versus voltage are ~106 Ω for Al/ZnO and ~104 Ω for Au/ZnO. Although is well known that Al has an ohmic contact with ZnO, our results indicate the formation of a higher barrier bewteen Al and ZnO than that formed between Au and ZnO.Field effect mobility (µFE) and threshold voltage (VTH) were determinated in the maximum point of the saturation region for 10, 20, 40 and 80µm channel lengths. In the case of Au/ZnO-TFTs, µFE ranged from 2 -5 cm2/Vs and VTH≈0V. On the other hand, for Al/ZnO-TFTs, µFE≈ 2 cm2/Vs and VTH≈14V were obtained. Furthermore, field effect mobility and threshold voltage were extracted at several points in the saturation region for 10µm channel length. In the case of Au/ZnO-TFTs, positive and negative values of VTH close to 0V were obtained whereas for Al/ZnO-TFTs, it was kept constant to ~13V. Finally, field effect mobility increased from ~1 to ~2 cm2/Vs upon the increase of source to drain voltage in the case of both metals.
9:00 PM - M3.21
Wavelength Selective Metal-Semiconductor-Metal Photodetectors Based on (Mg,Zn)O-Heterostructures.
Zhipeng Zhang 1 , Holger von Wenckstern 1 , Matthias Schmidt 1 , Marius Grundmann 1
1 Institut für Experimentelle Physik II, Fakultät für Physik und Geowissenschaften, Universität Leipzig, Leipzig, Sachsen, Germany
Show AbstractUltraviolet (UV) photodetectors based on wide bandgap semiconductors have been developed and utilized for various applications [1]. The ternary (Mg,Zn)O system is well suited for realization of visible-blind (in wurtzite modification) or solar-blind (in cubic modification) UV-photodetectors and the absorption edge of such devices is given by the Mg-content in their active part. Within the wurtzite modification the bandgap can be tuned between 3.3 - 4.8 eV.
We report on small bandwidth UV-photodetectors based on MgyZn1-yO/MgxZn1-xO heterostructures (0<y<x<0.5) allowing to design wavelength selective detectors. The MgxZn1-xO layer acts as an integrated optical edge filter blocking high energy radiation, and the MgyZn1-yO layer is the active layer of the devices. Therefore, only light in a defined photon energy range of Eg(y)<Eph<Eg(x) contributes to the photo response. The spectral bandwidth of the devices is given by the bandgap difference of the two (Mg,Zn)O layers, and the spectral center of photo response can be tuned by using different y:x-combinations.
The (Mg,Zn)O layers were grown heteroepitaxially by pulsed-laser deposition (PLD). Structural properties of the (Mg,Zn)O layers were characterized by X-ray diffraction (XRD). All samples are crystalline, c-axis oriented and have wurtzite structure. The interdigital metal-semiconductor-metal (MSM) electrodes were fabricated by photolithography and reactive dc-sputtering of palladium (Pd) [2] with an additional metallic Pd-capping layer [3]. Both electrodes of the MSM-photodetector show similar current-voltage (IV) characteristics with similar effective Schottky barrier heights (ΦBn ≈ 0.8 V) and low ideality factors (n ≈ 1.6).
The spectral bandwidth of the MSM-photodetector, including the peak position and FWHM can be optimized for UV light detection by changing the alloy composition of the filter and/or active layer and film thickness. A FWHM of only 7 nm was achieved for a photodetector operating around 3.4 eV and corresponds to Mg-content difference only 1%. The center of band (370 - 325 nm) was shifted by different combinations of y and x. A maximum spectral photo response of about 1.8 A/W was achieved in the visible-blind range. The responsivity of the MSM-photodetectors was higher than the theoretical maximum indicating that the detectors show internal gain. Therefore, the corresponding effective Schottky barrier heights of the MSM-electrodes under illumination were investigated, and the results indicated that the internal gain mechanism can be explained by trapping of minority carrier (holes) at PdOz/(Mg,Zn)O interface [4].
[1] M. Razeghi and A. Rogalski: J. Appl. Phys. 79, 7433 (1996)
[2] A. Lajn et al.: J. Vac. Sci. Technol. B 27, 1769 (2009)
[3] H. von Wenckstern et al.: Mater. Res. Soc. Symp. Proc. 1201, H04-02 (2010)
[4] O. Katz et al.: Appl. Phys. Lett. 84, 4092 (2004)
9:00 PM - M3.22
Persistent Photoconductivity and Surface Passivation of ZnO Schottky Photodiodes.
Hyung Kim 1 , Jim Partridge 1 , Steven Durbin 2 , Martin Allen 1
1 MacDiarmid Institute for Advanced Materials and Nanotechnology, University of Canterbury, Christchurch, Canterbury, New Zealand, 2 Department of Electrical Engineering and Department of Physics, University at Buffalo, Buffalo, New York, United States
Show AbstractSignificant progress in the fabrication of low ideality factor, high barrier height Schottky contacts to ZnO bulk crystals and thin films has been made in recent years, using intentionally oxidized noble metals such as AgOx, IrOx, and PtOx [1]. One important application of these high quality rectifying contacts is in the production of visible-blind UVA Schottky photodiodes. However ZnO suffers from a well-known persistent photoconductivity effect in which photogenerated carriers can have lifetimes as long as several hours. While the origin of this effect is the subject of much debate concerning the relative importance of surface and bulk defect states and the role of oxygen adsorption/desorption, it is clear that persistent photoconductivity needs to be effectively controlled in UV detection applications.In this paper we study the effects of surface passivation and surface polarity on the persistent photoconductivity of UVA Schottky photodiodes fabricated on bulk ZnO single crystals using oxidized iridium anodes deposited via reactive eclipse pulsed laser deposition [1]. These photodiodes have excellent rectification ratios (>108), low dark currents (< 1 pA), high UVA (365 nm) sensitivity (> 105) but also significant persistent photoconductivity. We compare the reduction in persistent photoconductivity on surface passivation using SU-8 epoxy-based polymers with other surface passivation methods [2,3]. SU-8 surface passivation shows considerable promise as an effective method of persistent photoconductivity control in ZnO devices.[1] Allen et al., Appl. Phys. Lett. 94, 103508 (2009)[2] Olziersky et al., J. Appl. Phys. 108, 064505 (2010)[3] von Wenckstern et al., J. Electron. Mater. 39, 559 (2010).
9:00 PM - M3.23
Research on MgxZn1-xO Deep Ultraviolet Photo-Detectors.
Y. Hou 1 , Z. Mei 1 , H. Liang 1 , Z. Liu 1 , D. Ye 1 , S. Liang 1 , X. Du 1
1 , Institute of Physics, Chinese Academy of Sciences, Beijing China
Show AbstractWide band gap semiconductor ultraviolet (UV) detectors, especially working in solar-blind region, have great potential applications both in civil and military areas. [1] Wurtzite MgxZn1-xO is recognized as the most prospective candidate for deep UV detectors because of its distinguished advantages, such as large exciton binding energy and low growth temperature. [2] However, some big challenges, mainly the preparation of high-quality MgxZn1-xO films with high Mg content, restricted the development of W-MgxZn1-xO deep UV detectors. [3] By using unique interface engineering technique, our group has successfully synthesized high-Mg-content W-MgxZn1-xO components adaptable for deep UV detection. [4, 5]A Schottky type metal-semiconductor-metal (MSM) structure was adopted to fabricate a solar-blind Mg0.55Zn0.45O UV detector on sapphire substrate. [6] The detector shows a superior photoresponse performance, such as a 22 mA/W peak response, a steep cutoff at 270 nm in the solar-blind region, as well as a fast response speed less than 500 ns. A large internal gain with applied bias was observed and interpreted by using a reduced-SBH model, which fits well with our experimental data.To investigate the influence of device structure on device performance, a comparative study of n-Mg0.4Zn0.6O/p-Si UV-B photodetector was carried out with vertical p-n heterojuntion and MSM Schottky diode structures. [7] The experimental results demonstrate superior photoresponse characteristics of the p-n heterojunction detector against the MSM counterpart. The role of built-in field and low interface scattering in p-n heterojunction is explored, and the energy band diagram of n-MgZnO/p-Si is employed to interpret the efficient suppression of visible light photoresponse from Si substrate.A p-n heterojunction solar-blind UV detector was further constructed on n-Mg0.4Zn0.56O/p-Si. [5] The cutoff wavelength was discerned at 280 nm with a UV/visible rejection ratio of more than 2 orders of magnitude, indicating a prototype solar-blind detector was successfully fabricated on Si substrate.1.A. Ohtomo, M. Kavasaki, I. Ohkubo, H. Koinuma, T. Ysuda, and Y. Segawa, Appl. Phys. Lett. 75, 980 (1999)2.A. K. Sharma, J. Narayan, J. F. Muth, C. W. Teng, C. Jin, A. Kvit, R. M. Kolbas, and O. W. Holland, Appl. Phys. Lett. 75, 3327 (1999)3.X. L. Du, Z. X. Mei, Z. L. Liu, Y. Guo, T. C. Zhang, Y. N. Hou, Z. Zhang, Q. K. Xue, and A. Yu. Kuznetsov, Adv. Mater. 21, 4625 (2009)4.Z. L. Liu, Z. X. Mei, T. C. Zhang, Y. P. Liu, Y. Guo, X. L. Du, A. Hallen, J. J. Zhu, and A.Yu. Kuznetsov, J. Crys. Growth 311, 4356 (2009)5.H. L. Liang, Z. X. Mei, Q.H. Zhang, L. Gu,S. Liang, Y. N. Hou, D. Q. Ye, C. Z. Gu, and X. L. Du, Appl. Phys. Lett. 98, 221902 (2011)6.Y. N. Hou, Z. X. Mei, Z. L. Liu, T. C. Zhang, and X. L. Du, Appl. Phys. Lett. 98, 103506 (2011)7.Y. N. Hou, Z. X. Mei, H. L. Liang, D. Q. Ye, S. Liang, C. Z. Gu, and X. L. Du, Appl. Phys. Lett. 98, xxxxxx (2011)
9:00 PM - M3.24
High-Performance Unipolar Resistive Switching in Au/Cr/Mg0.84Zn0.16O2-δ/p+-Si Memristors.
Jing Qi 1 2 , Jingjian Ren 1 , Mario Olmedo 1 , Jianlin Liu 1
1 Department of Electrical Engineering, University of California, Riverside, Riverside, California, United States, 2 Department of Physics, Lanzhou University, Lanzhou, Gansu, China
Show AbstractThe electrical resistance of transition metal oxides, perovskite oxides, and organic materials can be reversibly changed between high and low resistive values under electrical stimulus, thus enabling the operation of resistive memory (RM). Among these RM materials, some have shown repeatedly unipolar switching, i.e., resistance transition under the same voltage polarity, such as NiO, SiO2, Ba0.7Sr0.3TiO3, etc. Unipolar RM (URM) is considered as a good candidate for vertical 3-D stacking and high-density nonvolatile random access memory.A continuous Mg0.84Zn0.16O2-δ thin film (30nm) was deposited on a pre-cleaned p+-Si (100) substrate at 550 oC using a few atomic layers of MgO as buffer in a radio frequency (RF) plasma-assisted molecular beam epitaxy (MBE) system. Cr(50nm)/Au(50nm) square-shaped metal patterns, which act as top electrodes, were deposited on Mg0.84Zn0.16O2-δ by electron beam evaporation after photolithography, followed by standard lift-off process. Al was evaporated onto p+-Si (100) by an electron-beam evaporator as back contact. Glancing incidence angle x-ray diffraction (GIAXRD) was utilized to check the structure of the Mg0.84Zn0.16O2-δ film. X-ray photoelectron spectroscopy (XPS) was employed to determine the chemical composition of the thin film, yielding an Mg mole fraction of 0.84 (Mg0.84Zn0.16O2-δ).Electrical measurements showed large memory window and memory window margin of 107 and 104, respectively. Furthermore, wide switching voltage distribution gap of 3.6 V between switching-ON and -OFF processes was obtained for different sweeping cycles. Gas bubbles at four different stages were observed on top electrodes after electrical stimulus, indicating that conducting filaments consisting of oxygen vacancies are responsible for the resistive switching characteristics. Conductive atomic force microscopy results show that the highly conductive areas are along the edge of the gas bubble or the edge of device. This phenomenon suggests the potential of scaling down the device area to lower than 32nm.
9:00 PM - M3.25
Excellent Reproducible Resistive Switching Characteristics of Solution-Processed Polycrystalline NiO Thin-Films.
Doo Hyun Yoon 1 , Si Joon Kim 1 , Joohye Jung 1 , Hyun Jae Kim 1
1 , Yonsei University, Seoul Korea (the Republic of)
Show AbstractSolution-processed polycrystalline nickel oxide (NiO) thin-films were fabricated for the active layer of resistive random access memory (ReRAM). To get high quality of NiO film which could result in efficient formation of conduction filament and rupture process, 2.0 M of precursor solution was prepared using nickel chloride hydrate [NiClx(H2O)] in 2-methoxyethonol solvent. High pressure annealing (HPA) treatments with air, O2, and N2 atmospheres were investigated to enhance the density of the NiO thin-films with lowering the processing temperature under 350oC. Pt/Ti/SiO2/Si and Pt were used as bottom and top layer of MIM structure respectively. The ReRAM with 350oC, O2 HPA treated shows the optimized performances with stable switching behavior over 1000 cycles and long retention time over 104 seconds. On- and off resistance ratio was over ~105 and this result is 2~3 order of magnitude improved from conventional vacuum processed NiO based ReRAM. Fabricated ReRAM also shows relatively low reset voltage of 0.75 V and set voltage of 1.82 V.
9:00 PM - M3.26
Oxygen Drift Induced Resistive Switching in TiOx-Based Triple Layers for the Nonvolatile Memory Applications.
Yoon Cheol Bae 1 2 , Ah Rahm Lee 1 2 , Jea Gun Park 1 3 , Jin Pyo Hong 1 2
1 Division of Nano-Scale Semiconductor Engineering, Hanyang University, Seoul Korea (the Republic of), 2 Novel Functional Materials and Devices Lab., Department of physics, Hanyang University, Seoul Korea (the Republic of), 3 Advanced Semiconductor Material and Device Development Lab., Dept. of Electrical and Computer Engineering, Hanyang University, Seoul Korea (the Republic of)
Show AbstractComplementary resistive switching (CRS) behaviors, such as forming voltage, memory window, memory margin and operating voltage are investigated using a TiOx based triple layer, where each layer is designed to have different oxygen contents. The triple layer is stacked using oxygen ion rich region and oxygen ion poor region. The framework basically consists of two bipolar home junctions of TiOx/TiOy and TiOy/TiOx antiserially without using any additionally middle electrode. Various CRS phenomena were clearly observed. The nature of the CRS behaviors may be associated with the oxygen ion drift induced oxidation and redox reactions at the interfaces of TiOy middle layers.
9:00 PM - M3.27
A Development of Transparent Resistive Random Access Memory Based on Zinc Series Oxide Materials.
Sangik Lee 1 , Inrok Hwang 1 , Gwangtaek Oh 1 , Sungtaek Oh 1 , Jinsik Choi 1 , Jin-Soo Kim 1 , Sahwan Hong 1 , Keundong Lee 1 , Yoonseung Nam 1 , Baeho Park 1
1 department of physics, Konkuk University, Seoul Korea (the Republic of)
Show AbstractRecently, Resistance Random Access Memory (ReRAM) has attracted transparent electronics devices due to the simple structure and stable memory switching characteristics in Transition Metal Oxide (TMO) thin films. Also the switching demonstrates high potential for applications in high operation speed and high-density of next-generation nonvolatile memory devices. The generally accepted that Zinc Oxide thin film is a strong candidate for Transparent Conductive Oxide (TCO) and n-type semiconductor oxide electronics. Moreover, the ZnO and doped-ZnO with simple binary composition as well known or popular as ReRAM materials. In this study, We fabricated the fully transparent ReRAM (T-ReRAM) device composed of Al:ZnO electrode on glass substrate using by RF reactive and DC magnetron sputtering method. The analysis of crystal structures and film thicknesses in ZnO memory layer and TCO layers was measured by High Resolution X-ray Diffraction (HR-XRD) and Scanning Electron Microscopy (SEM), respectively. In addition, the optical transmittance and electrical properties of the T-ReRAM device were measured by UV spectrometer and Agilent 4156B semiconductor parameter analyzer at room temperature. The Al:ZnO/ZnO/Al:ZnO homo-junction structure expected that The T-ReRAM will bring forth rapid progress to transparent electronics. Furthermore, the T-ReRAM contributes to analysis of ReRAM switching mechanism.
9:00 PM - M3.28
Effect of Oxygen Vacancy Migration on ‘off’ State of Unipolar Resistive Switching in Mn Doped ZnO Thin Films.
Yoonseung Nam 1 , Insong Yoon 1 , Jihoon Jeon 1 , Gwangtaek Oh 1 , Sahwan Hong 1 , Inrok Hwang 1 , Jinsoo Kim 1 , Baeho Park 1
1 , konkuk university, Seoul Korea (the Republic of)
Show AbstractTypically used memory devices are dynamic random access memory (DRAM) and flash memory. DRAM is very fast, but it has a serious disadvantage which is volatile. And well-known nonvolatile flash memory based on charge storage requires long write time (>1us). A lot of Researchers have been making up these disadvantages, and investigating next-generation memory devices which have advantages only. The memory devices are magnetic random access memory (MRAM) and ferroelectric random access memory (FRAM), both of them have several problems in scaling. We have researched resistive random access memory (RRAM) as next-generation memory. RRAM is very fast, nonvolatile, and operated by relatively low voltage. Resistive switching in RRAM is explained by the hypotheses which are unipolar and bipolar resistive switching (URS and BRS). When it comes to URS, resistive switching property is affected by formation and rupture of filament path in oxide thin films. BRS property depends on migration of oxygen vacancy by applying a voltage. Other researches show that MZO have both of URS and BRS properties depending on the bottom electrode. In this research, we are interested in resistive switching property of MZO and we will investigate relationship between formed filament and migration of oxygen vacancy at the same time. . URS has ‘off’ and ‘on’ states as filament is broken and formation. As migration of oxygen vacancy form a barrier at interface between MZO and Pt, it has effects on resistive switching property in BRS. We want to know influence of the barrier between broken filament and interface in ‘off’ state. To start this research, we prepare a sample of RRAM device. Mn doped ZnO (MZO) was deposited on Pt substrate by pulsed laser deposition (PLD). We investigate the crystallinity of MZO using high-resolution X-ray diffraction (HRXRD). Thickness of MZO is analyzed by scanning electron microscope (SEM). Then, we deposit Pt on MZO by method of photo lithography as top electrodes. This research help not only understand mechanism of resistive switching but also overcome problems of RRAM. Besides, RRAM is beneficial to application of memory devices.
9:00 PM - M3.29
Improvement of Switching Characteristic Depend on Filament Formations in Nickel Oxide Thin Films.
Sung-taek Oh 1 , Inrok Hwang 1 , Sahwan Hong 1 , Keundong Lee 1 , Sang-ik Lee 1 , Eunkyu Jung 1 , Baeho Park 1
1 Physics, Konkuk University, Seoul Korea (the Republic of)
Show AbstractResistive switching of transition metal oxide films has attracted significant attention as promising application for the next generation nonvolatile memories. A new concept called resistance random access memory (ReRAM), in which resistance can be repeatedly switched between a high and a low value by an applied electric field. In such a resistance concept memory, high-density data bit cells with a fast operation speed and a low power consumption are expected to be performed on basis of highly scalable cross-point and 3-dimensional multi-stack in memory structures. However, the possibility of an oxide based memory has not been demonstrated yet mainly due to difficult realization of stability in memory element. In this research, according to conduction filament model, the stability of switching characteristic and wide voltage distribution will be improved by reducing the thickness of oxide thin film. We Different deposited various thickness NiO thin films by sputtering method on Pt/Ti/SiO2/Si substrate. The thickness of NiO films were measured by Scanning Electron Microscopy (SEM). Also, the electrical properties such as forming voltage, set voltage and reset voltage were measured by Agilent 4156B equipment at room temperature. Moreover, we analyzed formation of conducting filaments during switching operation using by random circuit breaker model (RCB model), in which percolation theory in semiconductor devices.
9:00 PM - M3.3
The Effects of Deposition Conditions and Annealing Temperature on Gallium Tin Zinc Oxide Thin Film Transistors.
Tanina Bradley 1 , Robert Alston 1 , Jay Lewis 2 , Garry Cunningham 2 , Shanthi Iyer 1
1 ECE, NC A&T SU, Greensboro, North Carolina, United States, 2 , RTI International, Research Triangle Park, North Carolina, United States
Show AbstractBottom gate transparent thin film transistors (TTFTs) with gallium tin zinc oxide (GSZO) active layers were fabricated by radio frequency sputter deposition using a single GSZO target on SiO2/Si wafers. The effects of deposition conditions such as oxygen flow and substrate temperature, along with post-deposition annealing were investigated. The influence of these conditions was identified through film properties and transistor performance. XRD, XPS, and transmission were conducted to identify film characteristics. Transistor performance was analyzed in stressed/non-stressed conditions and under photo-excitation. Devices illustrated the presence of states/traps dependent on deposition and annealing parameters.
9:00 PM - M3.30
Electric-Field-Induced Resistive Switches Based on Mixed Ionic-Electronic Conductive Perovskite for RRAMS.
Jennifer Rupp 1 2 , Patrick Reinhard 1 , Daniele Pergolesi 1 , Enrico Traversa 1 , Harry Tuller 2
1 , National Institute for Material Science (NIMS), Tsukuba, Ibaraki Japan, 2 Material Science and Engineering Department, Massachusettes Institute of Technologies, Cambridge, Massachusetts, United States
Show AbstractRecently, bipolar resistance random access memories (RRAMs) were proposed as a new class of non-volatile switches capable of reading, writing and erasing memory information by switching non-linearly between low- and high-resistance values by applying mV voltage pulses in the ns range. Even though the first RRAMs were reported for metal-oxide-metal structures such as Pt-TiO2-x-Pt, mechanistic reasons for the non-linear resistive switching and the materials structural and electric requirements remained unclear. A plausible working hypothesis is that the non-linear resistive switching characteristics result from shifts in the Schottky barrier height at the metal-metal oxide interface due to changed mass and charge transport. This hypothesis is being closely investigated through microfabrication of resistive switches with metals of variable work functions towards mixed conducting perovskites of the La1-xSrxCo1-yFeyO3-x (LSCF) series. Through extrinsic and intrinsic doping the types of charge carriers (holes and ions) and their concentrations were systematically varied and switching performance of the devices studied. The mixed ionic-electronic conducting (MIEC) perovskite (La, Sr)(Co, Fe)O3-d is reported to show the full range of characteristics from ohmic to non-linear I-V characteristics. The non-linear I-V switching of the oxide films requires a minimum local electric field strength of more than 15 kV/m and a work function difference of at least Δ0.3 eV between the perovskite and the metal, to induce the formation of space charges and Schottky barriers. The I-V hysteresis is systematically increased with an increase in the work function difference at the oxide-metal interface. The temperature-dependent measurements indicate a predominant hole and minor ionic conduction mechanism active during I-V switching under bias. Finally, the best suited micro-processing and operation conditions of RRAM switches, based on the material system (La, Sr)(Co, Fe)O3-d, are discussed.
9:00 PM - M3.31
Improved Resistive Switching Properties in HfO2-Based ReRAMs by Hf/Au Doping.
Xiaoli He 1 , Wei Wang 1 , Natalya Tokranova 1
1 College of Nanoscale Science and Engineering, SUNY-Albany, Albany, New York, United States
Show AbstractAs highly scaled Si-based flash nonvolatile memories (NVM) have reached the technical and physical limits, emerging NVM devices have been extensively studied aiming to replace flash memories. Recently, resistive-random-access-memory (ReRAM) devices in the form of metal-insulator-metal (MIM) structures have attracted much attention due to their excellent properties, such as high density, low power, low voltage, and high speed. Many transition metal oxides have been found to have resistive switching properties, such as ZrO2, HfO2, NiO, TiO2, and others. As HfO2 is now being introduced for advanced complementary metal oxide semiconductor (CMOS) gates, it is advantageous over other transition metal oxides from the technological point of view of CMOS process compatibility. In this work, we use HfO2 with Pt top electrode deposited by e-beam evaporation on an n+-Si substrate. By doping HfO2 with Hf or Au, improved resistive switching properties have been found in terms of more endurance cycles and lower switching voltages for SET and RESET. The improvements were attributed to doping-induced oxygen vacancies. In addition, with Cu-doped HfO2 devices, multilevel resistive switching can be achieved. Sample characterization was performed by XPS, AES and TEM.
9:00 PM - M3.32
Novel Gas Sensor Structure for the Detection of Trace Level NO2 Gas at Low Operating Temperature.
Anjali Sharma 1 , Monika Tomar 2 , Vinay Gupta 1
1 Department of Physics and Astrophysics, University of Delhi, Delhi, Delhi, India, 2 Department of Physics, Miranda House ,University of Delhi, Delhi, Delhi, India
Show AbstractNitrogen dioxide (NO2) is a noxious gas to the environment and human health. It is a precursor of both acid precipitation and ozone. NO2 gas is a deep lung irritant which produces pulmonary edema and fatality if inhaled at high concentrations. Precise detection of trace level of NO2 is becoming important now a days. Hence, it is necessary to develop small size, highly sensitive and inexpensive NO2 gas sensors to detect low concentration of NO2 gas at lower operating temperature. SnO2 has been extensively characterized in literature as gas sensing material showing good stability and gas-sensing properties towards reducing as well as oxidizing gases. Selectivity and sensing response characteristics of the sensor structure for a particular gas can be modified by properly choosing a metal or metal oxide catalyst. It is reported in literature that TeO2 is sensitive to the fast detection of low concentrations of oxidising gases like O3 and NO2 at room temperature but with poor response. Thus, in the present work, a novel TeO2-SnO2 bilayer gas sensor structure has been proposed for trace level detection of NO2 gas which can be operated at low temperature (80 oC). SnO2 thin film was deposited over Pt inter digital electrodes by RF sputtering technique under 30% oxygen and 70% argon in the reactive (Ar+O2) gas mixture using a metallic tin (Sn) target at growth pressure of 16 mTorr. Bare SnO2 thin film based gas sensor showed a very high sensitivity of ~1.4x104 towards 10 ppm of NO2 gas at an operating temperature of 100 oC, but with very slow response and recovery times of ~4 min. and ~33 min. respectively. The structural property and morphology of SnO2 films were carried out using X-ray diffraction, Atomic force microscopy and Scanning electron microscopy. Small grain size of ~ 8nm and a packing density of ~0.91 for SnO2 film support the fact that highly porous and nanostructured SnO2 films are needed to achieve the good sensing characteristics of the sensor. To improve the response and recovery times of the sensor catalyst in the form of TeO2 nanoclusters with varying thickness from 6 to 22 nm were loaded over SnO 2 surface using RF sputtering technique. TeO2 clusters of 18 nm thickness over SnO2 surface were found to yield a high response (~2.1 x 104) for 10 ppm of NO2 gas at 80oC with a fast response and recovery times of ~61 sec. and ~14.8 min. respectively. Such a great improvement in sensing response, response time, recovery time and operating temperature could be attributed to the spill over mechanism of target gas molecules by TeO2 clusters on SnO2 surface. The sensing mechanism is investigated in detail.
9:00 PM - M3.33
Amorphous Tantalum-Indium-Zinc Oxide Semiconductor Thin Film Transistor.
Hyun-woo Park 1 , Boo-Kyoung Kim 1 , Jin-Seong Park 2 , Kwun-Bum Chung 1
1 Department of Physics, Dankook University, Cheonan Korea (the Republic of), 2 Materials Science and Engineering, Dankook University, Cheonan Korea (the Republic of)
Show AbstractOxide semiconductors have recently attracted attention as channel layer materials in various electronic device applications including flexible and transparent electronics. Compared with conventional amorphous/polysilicon thin film transistors (TFTs), oxide TFTs have several advantages, namely their low temperature and low cost process, transparency (wide band gap), and good electrical properties (field-effect mobility, etc.). ZnO-based semiconductors have a polycrystalline structure with columnar grains, even those deposited at room temperature. They suffer from the problems associated with the grain boundaries, such as the non-uniformity of the electrical performance in large area, instability to the atmosphere due to the gas adsorption/desorption, and the roughness of the film surface. Thus, we developed amorphous tantalum-indium-zinc oxide (TIZO) thin films as oxide semiconductors and investigated the films electrically and physically. The TIZO layers were deposited by radio frequency (RF) sputtering of a sintered powder target of TIZO (3.3% Ta doping in InZnO), under an O2/Ar gas mixture (5~10%). The x-ray diffraction (XRD) and transmission electron microscopy of TIZO films indicate the amorphous phase films even up to 400oC annealing temperature. The optical band gap of TIZO is around 3.3eV, which is similar with other amorphous oxide semiconductor (InGaZnO and HfInZnO etc.). The TFTs with amorphous TIZO active channel exhibit good electrical properties with field effect mobility of around 5 cm2/V.s, subthrehsold swing voltage of 0.24 v/decade, and high Ion/off ratio of over 108, enough to operate the next electronic devices. In this paper, we will present the device instability depending on the content of Ta doping in TIZO active layer. As the Ta content increases in TIZO active layer, the field effect mobility of the TFTs decreases slightly from 5cm2/V.s to 1cm2/V.s. But the instability of the TFT with higher Ta doping is improved under constant gate bias stress. The Ta ions may play a key role to improve the instability of TFTs due to high oxygen bonding ability. Therefore, the amorphous TIZO semiconductor will be a prominent candidate as an operation device for large area electronic applications.
9:00 PM - M3.35
The Influence of Hydrolysis Rate on Sol-Gel Zinc Tin Oxide Thin Film Transistor.
Keunho Lee 1 , Hong Koo Baik 1
1 , yonsei university, Seoul Korea (the Republic of)
Show AbstractThe effect of preparation temperature of a sol-gel solution on electrical characteristics of zinc tin oxide(ZTO) thin film transistor(TFT) was investigated. Because temperature is an important factor affected on hydrolysis rate of metal cation in the sol-gel solutions, to determine this effect, we prepared two solutions at different solutions at temperature 4'C and 25'C, and fabricated ZTO TFT on thermally grown 200nm SiO2 layer by spin coating these solutions, respectively. Each device’s electrical transfer performance were characterized as mobility of 2.3cm2 V-1 s-1 at 4'C and 0.62cm2 V-1 s-1 at 25'C.
9:00 PM - M3.36
ZTO Thin-Film Transistors by Plasma Enhanced Atomic Layer Deposition.
Sun Sook Lee 1 , Byung Kook Lee 1 , Tack-Mo Chung 1 , Chang Gyoun Kim 1 , Jin-Ha Hwang 2 , Ki-Seok An 1
1 , Korea Research Institute of Chemical Technology, Daejeon Korea (the Republic of), 2 , Hongik University, Seoul Korea (the Republic of)
Show AbstractRecently, transparent metal oxide semiconductor, such as ZnO, In2O3-ZnO(IZO), ZnO-SnO2(ZTO) and In2O3-Ga2O3-ZnO(IGZO), have been intensively investigated for optoelectronic application such as light emitting diodes and display technologies, e.g. thin film transistor (TFTs).Among the various kinds of transparent oxide semiconductor, amorphous ZTO has been widely studied as the channel materials of TFTs due to its wide band gap of 3.3-3.9 eV, high optical transparency in the visible light range, and good chemical stability.In this study, TFTs are fabricated amorphous ZTO films as the channel layer using plasma enhanced layer deposition (PEALD). The ZTO films have been characterized by atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), and X-ray diffraction. As a measurement of TFTs, the field-effect mobility of 20 cm2/V s and the threshold voltage of 4.86V are obtained for as-fabricated devices. Ion/off ratio is 1x108. Especially, the low temperature process makes it possible for a flexible substrate to give flexible display applications.
9:00 PM - M3.37
Investigation of TiN/Cu Electrode for In-Ga-Zn-O Thin Film Transistors.
Youngbin Ko 1 , Seokhwan Bang 1 , Seungjun Lee 1 , Joohyun Park 1 , Hagyoung Choi 1 , Seokyoon Shin 1 , Kiyeol Ham 1 , Jihoon Kim 1 , Hyeongtag Jeon 1
1 , Hanyang University, Seoul Korea (the Republic of)
Show AbstractRecently, oxide semiconductors such as Zinc-Oxide (ZnO), Hafnium-Indium-Zinc-Oxide (HIZO), and Indium-Gallium-Zinc-Oxide (IGZO) have attracted considerable attention due to their applicable potentials for thin film transistors (TFTs). Their excellent electrical properties such as high mobility and on/off current ratio suggest these oxide-based transistors be promising alternatives to conventional TFTs as switching elements for active matrix liquid crystal display (AMLCD). With the size of LCD panels increasing, it becomes more important to sort the electrode material in the TFT process. For applying to fast frame rates (>240 Hz) and large area (>70 in.), using of low resistivity material such as copper (Cu) should facilitate to minimize the signal delay over large area. However, since Cu is very diffusive material and does not adhere well to oxides, it is also necessary to deposit the buffer layer such as Titanium nitride (TiN). TiN is primarily used as a buffer layer to prevent diffusion of Cu in silicon based industrial because of its high melting point, good thermal and chemical stability, high hardness and low diffusivity. In this study, in order to investigate a role of TiN/Cu electrode in oxide semiconductor, we fabricated IGZO TFTs with various thicknesses of TiN/Cu electrodes. With decreasing the thickness of TiN from 20 nm to 5 nm, the electrical characteristics of mobility (2.71 cm2/Vs to 0.87 cm2/Vs), subthreshold swing (0.34 V/dec to 0.83 V/dec) and current on/off ratio (5.89 x 107 to 7.08 x 107) were changed. To verify these phenomenons, chemical analysis was performed by Auger electron spectroscopy (AES). The more detailed chemical and electrical results will be discussed and presented.
9:00 PM - M3.38
Investigation of Different Charge Trapping Mechanisms of SiNx and SiO2 Gate Insulators in a-IGZO TFTs.
Young Wook Lee 1 , Seung-Hwan Cho 1 , Seung-Hee Kook 1 , Jeong-Soo Lee 1 , Moon-Kyu Song 1 , Min-Koo Han 1
1 , Seoul National University, Seoul Korea (the Republic of)
Show AbstractAmorphous Oxide Semiconductor Thin Film Transistors (AOS-TFTs) have attracted considerable attentions for applications in next generation displays due to their high field-effect mobility, and high on/off current ratio.However, AOS-TFTs under electrical bias stress are not stable and exhibit threshold voltage (Vth) shift. For the passivated AOS-TFTs, previous studies have reported that charge trapping in the gate insulator (GI) is a dominant mechanism for Vth shift. In order to choose optimum GI for AOS-TFTs, investigation of Vth shift in TFTs with various GIs is very important. SiO2 and SiNx are widely used in conventional Si-based TFT manufacturing, hence, especially interesting candidates. Previous papers reported that bias stability of SiO2 GI was superior to that of SiNx GI, however they did not explain what makes the difference of bias stability, or suggested a conceptual presumption without an analytical proof. The purpose of our work is to investigate the charge trapping mechanism of SiNx and SiO2 gate insulators in oxide TFTs.We measured Vth shifts of a-IGZO TFTs which employed either SiO2 or SiNx for the GI, and investigate the dependence on stress time and temperature. In order to investigate stress time dependence, we measured Vth variation under positive gate bias stresses for the 3600 seconds at 60°C, dark. The gate bias was 20V and 30V, respectively. For the case of the SiO2, Vth shifts exhibited stretch-exponential time dependence, it means the trapped electrons can be spatially redistributed in SiO2 dielectrics. However, in case of the SiNx, they showed logarithmic time dependence, it suggests that further redistribution of trapped electrons is restricted in SiNx dielectrics.For the temperature experiment, we measured Vth variation under gate bias at 30V for 3600 seconds, and the test temperature was 30°C, 60°C, and 90°C. Strong temperature dependence (Vth shift change: 0.11V, 0.68V, 2.07V) was observed in the SiO2. Whereas, SiNx case exhibited weak temperature dependence (Vth shift change: 1.39V, 1.50V, 2.01V).These phenomena imply that dominant mechanism for the Vth shift of the SiO2 is related to hopping which is thermally activated tunneling, and SiNx is not. The cross-section TEM analysis revealed that there was an inter-layer formed between the IGZO and the SiNx. Because the inter-layer is very thin, the tunneling of electron from the channel will be occurred easily. Direct tunneling is not depend on temperature, and obeys logarithmic time model of charge trapping. Therefore, Vth shift of a-IGZO TFT with SiNx GI exhibits different dependence on both time and temperature compared to the case of SiO2 GI. The existence of inter-layer between SiNx and IGZO might be an origin of inferior bias stability to the oxide TFTs with SiO2 GI by accelerating direct charge injection from the channel.
9:00 PM - M3.39
N-Type Zinc Oxide via Native Point Defect Cluster: Zinc Interstitial and Oxygen Vacancy.
Dae-Hee Kim 1 , Ga-Won Lee 2 , Yeong-Cheol Kim 1
1 School of Energy, Materials & Chemical Engineering, Korea University of Technology and Education, Cheonan, Chungnam, Korea (the Republic of), 2 Department of Electronics Engineering, Chungnam National University, Daejeon, Chungnam, Korea (the Republic of)
Show AbstractZinc oxide has been studied as antireflective coatings and transparent conducting electrodes for solar cell applications. It has been known that the pure zinc oxide is natively n-type due to an oxygen vacancy or zinc interstitial. Though the oxygen vacancy showed the lowest formation energy among various point defects in n-type condition, it was found to be a deep donor. Zinc interstitial was present at a low concentration in n-type condition due to its high formation energy, though its donor level was in conduction band. In the present study, defect formation energies of the zinc interstitial with the oxygen vacancy in zinc oxide were thermodynamically investigated using density functional theory with hybrid functionals. The calculated band gap of a zinc oxide super cell was 3.36 eV that was quite close to the experimentally measured one. When the zinc interstitial was located near the oxygen vacancy to form a cluster, its defect formation energy decreased slightly near conduction band, and its donor level was located 0.03 eV below the conduction band edge. Therefore, the zinc interstitial was thermodynamically not stable even in the zinc interstitial and oxygen vacancy cluster. Energy barriers for zinc interstitial migration were calculated to consider its existence from kinetic aspect. The zinc interstitial migrated easily through kick-out process with energy barriers in the range of 0.49-0.52 eV, when it was located further away from the oxygen vacancy. However, high energy barriers in the range of 1.32-1.35 eV were required for the zinc interstitial migration near the oxygen vacancy. The zinc interstitial and oxygen vacancy clusters can be generated during the non-equilibrium thin-film deposition process. Therefore, the bound zinc interstitial near the oxygen vacancy may suppress its annihilation, and can supply electrons for native n-type zinc oxide.
9:00 PM - M3.4
Chemical Solution-Derived In2O3/ZnO Hybrid Thin Films Using Low-Temperature Co-Firing Process for Metal-Oxide Thin Film Transistors.
Seung-Hyun Kim 1 , Eric Greenstein 1 , Cheng-Lun Chen 1 , Wenyan Jiang 1 , Chang Young Koo 2 , Jooho Moon 3 , Angus Kingon 1
1 School of Engineering, Brown University, Providence, Rhode Island, United States, 2 R&D Center, INOSTEK Inc., Ansan, Gyeonggi, Korea (the Republic of), 3 Materials Science and Engineering, Yonsei University, Seoul Korea (the Republic of)
Show AbstractAmorphous zinc oxide (ZnO)-based thin films as an active channel layer have drawn significant attention for oxide semiconductor thin film transistor (TFT) applications due to their wide energy band gap and optical transparency. For high quality transistor performance, it is necessary to control the carrier concentration by reducing the defect density of the ZnO-based thin films, and to make the clean interfaces between the electrodes and the channel layer, and as well as between the channel layer and the gate dielectrics. Recently, amorphous In2O3 and ZnO binary systems have been investigated for their high mobility and good TFT characteristics. However, in spite of reasonably good device performances, the fabrication methods of ZnO-related metal oxide thin films have limited to high-cost vacuum processes such as a sputtering and a pulsed laser deposition (PLD). In order to have a mass-producible and cost-effective manufacturing process, it is desirable to develop low-cost solution-based processing techniques such as chemical solution deposition or ink-jet printing method. Specifically, it is necessary to achieve high quality thin film transistors with high mobility and a high Ion/off ratio over 106 at a low annealing temperature (~ 300°C). However, it is difficult for metal-oxide thin film transistors derived from a conventional chemical solution method to achieve good performances at a low annealing temperature, mainly due to large residual organics originating from precursors, solvents, as well as other chemical additives.To solve these limitations, we introduce a simple process that produces high-quality amorphous In2O3/ZnO hybrid thin film-based transistors at a low process temperature, a process that we have termed the “co-firing process”. It is clearly demonstrated that the co-firing process leads to homogeneous chemical bonding structure of the In2O3/ZnO hybrid films and easy elimination of residual organics in the films. With this technique, we successfully lowered the process temperature to 300°C without any degradation of TFT performance. The chemical solution-derived thin film transistors showed clear switching behavior and output characteristics with relatively high field effect mobility (~ 1 cm2/V.s) and with a high Ion/off ratio (~107) when co-fired at 300°C. We describe the preparation and processing of these amorphous In2O3/ZnO thin films in detail, and directly compare the method to alternative approaches.
9:00 PM - M3.5
Investigation of Ga Ions as Carrier Suppressor in Amorphous Zn-Sn-O Thin Films.
Dong-Ho Kim 1 , Hye-Ri Kim 1 , Jung-Dae Kwon 1 , Jong-Joo Rha 1
1 , Korea Institute of Materials Science, Changwon Korea (the Republic of)
Show AbstractAmorphous oxide semiconductors (AOSs) are promising to be used as channel materials in TFTs for next generation display devices. At present, amorphous In-Ga-Zn-O (a-IGZO) is one of the representative AOS material systems. However, when a-IGZO applied in mass production, AOSs with a large amount of In may be confronted to the cost issue due to its limited availability. Thus, it is important to develop namely In-free oxide semiconductor materials for fabrication of cost effective TFTs. Amorphous Zn-Sn-O (a-ZTO) is considered as a prominent candidate for In-free amorphous semiconductor since it is composed of non-toxic and relatively inexpensive elements. For the application as an active channel material in TFT devices, however, it is particularly crucial to control carrier concentration because the low carrier concentration contributes to good device stability and a low off-current level which leads to a high on/off current ratio. Since Ga-O is stronger chemical bond than Zn-O and In-O, Ga has been used to reduce the charge carrier generation in IGZO systems. In this study, we investigate the effects of Ga content on the electrical properties of amorphous Ga-Zn-Sn-O (a-GZTO) films. We deposited GZTO thin films on glass substrates and thermally oxidized silicon wafers by sputtering of GZO (Ga2O3 5.7 wt.%), SnO2 and Ga2O3 targets. In order to investigate the variations in characteristic properties of GZTO films according to the Ga content, the applied powers on Ga2O3 target were varied while keeping the R.F. powers of GZO and SnO2 targets. Our GZTO films have amorphous structure regardless of the gallium content and exhibit an average transmittance over 75% in the visible region. Hall effect measurements revealed that carrier concentration was decreased by two orders of magnitude with the incorporation of 3 at.% of Ga in GZTO. X-ray photoelectron spectroscopy revealed that ratios of the higher oxidation state for each cation were increased and that of oxygen ions in the oxygen deficient region decreased along with the addition of Ga. Consequently, we achieved a good controllability of carrier concentration and large on/off ratio due to small off currents by controlling Ga contents in GZTO films. This work demonstrates that GZTO may be a good candidate material for indium free oxide TFTs.
9:00 PM - M3.6
Studies on Ga Doped ZnO (GZO) by Low Temperature Atomic Layer Deposition.
Taewook Nam 1 , Won-Seon Lee 1 , Hyungjun Kim 1
1 Electrical and electronic engineering, Yonsei University, Seoul Korea (the Republic of)
Show AbstractAtomic layer deposition (ALD) has great benefits over other deposition techniques since its growth mechanism controlled by a self-limited surface reaction exhibits excellent conformality, large area uniformity, and atomic scale thickness controllability even at low growth temperature. In particular, ALD becomes increasingly more promising thin film deposition method for future flexible electronics. Recently, there have been many research efforts on the investigation of doped ZnO for transparent conducting oxides (TCOs) due to their higher mobility than that of undoped ZnO. Ga doped ZnO (GZO) is one of the promising material for substitution of ZnO. As a representative TCO for applications to the transparent thin film transistor (TTFT) or flexible electronic, GZO thin films by PVD or CVD have been extensively studied. Nevertheless studies on GZO films grown by ALD at low temperature condition which can be applied to flexible devices were still not carried out as far as we know in spite of its potential importance. Hence, for this study, we investigated the growth characteristics and film properties of low temperature ALD (LT-ALD) GZO films by varying deposition method. Field emission scanning electron microscopy (FE-SEM) observation of the GZO films deposited on 5:1 via patterns showed that the film has excellent conformality with over 95 % coverage even at room temperature growth. Additionally, the chemical and microstructural analysis was studied by various analytical techniques including X-ray photoelectron spectroscopy (XPS), X-ray diffraction (XRD) and atomic force microscope (AFM). The resistivity and Hall mobility were estimated by the four-point probe method and Hall-effect measurement system. Also spectrophotometer was used to measure a transmittance of the film and showed high transmittance that could be applicable to transparent devices.
9:00 PM - M3.7
Effect of Acetic Acid on the Performance of Solution-Processed Gallium Doped Indium Oxide Thin Film Transistors.
Jeeho Park 1 , HongKoo Baik 1
1 , Yonsei University, Seoul Korea (the Republic of)
Show AbstractWe investigated role of acetic acid for solution processed gallium doped indium oxide (GIO) thin film transistors (TFTs). By adding acetic acid instead of commonly used ethanolamine (MEA), electrical performance of GIO TFTs is enhanced significantly. We demonstrated that acetic acid plays a role in enhancing crystallinity, lowering decomposition temperature and reducing hydroxyl groups in the film. These effects were verified by TGA, XRD and XPS. The GIO TFTs formed from acetic acid solution operated depletion mode and have electron mobility of 12.68cm2/Vs, threshold voltage of -7.4V, on/off current ratio of 1.07 108 and subthreshold slope of 0.78 V/decade.
9:00 PM - M3.8
Impedance Spectroscopy of Oxide-Semiconductor-Related Solar Cells for Evaluation pn-Interface.
Yuiko Hirose 1 , Nafisah Hamidon 1 , Takuya Morohoshi 1 , Yamazaki Chizuru 1 , Itagaki Masayuki 1 , Sugiyama Mutsumi 1
1 Faculty of Science & Technology, Tokyo University of Science, Noda Japan
Show AbstractAnalytic theory of Impedance Spectroscopy (IS) is popular for chemical-material research and has been used valuably in electrochemical studies on materials and devices such as fuel cells, rechargeable batteries, and corrosive substances. At present, IS is also commonly used in the characterization of dye-sensitized solar cells during design and degradation processes. However, to date, there are only a few reports on IS for solid state semiconductor devices. In this presentation, we will propose to use IS for revealing pn-interface properties of semiconductor devices. Oxide-related solar cells consist of elements that are relatively abundant, economical, and safe to handle. These properties make oxide-related absorber as a promising candidate for light absorption in the development of next-generation solar cells such as Cu2O and (Ag,Cu)2O or transparency conducting oxide (TCO) films such as ZnO and SnO2 by sputtering method in our group. However, the reported conversion efficiency of these cells is very low. Some of the reasons for this low efficiency were considered to be clarified properties of oxide-semiconductors and mismatches in the band alignment. Using IS of oxide-related solar cells makes analysis pn-interface easier and promises development of oxide-semiconductor-related solar cells.The impedance measurements were carried out with the frequencies ranging from 10 Hz to 1MHz. For all measurements, a 10mV AC signal and DC bias less than VOC was applied to the test device. Measurements were taken under dark and light (under AM1.5 light irradiation) conditions at room temperature. We will be propose a new equivalent circuit of solar cell which is very sensitive about width of depletion layer and defect properties around pn-interface. Using this method, we able to be judge the oxide-semiconductor-related solar cell properties, easily.
9:00 PM - M3.9
A Combinatorial Approach to Oxide Semiconductor of InaGabZncOd.
Tien-Heng Huang 1 , Kuo-Chuang Chiu 2
1 , Industrial Technology Research Institute, Hsinchu Taiwan, 2 , Industrial Technology Research Institute, Hsinchu Taiwan
Show AbstractThe oxide semiconductor of InaGabZncOd was studied with a combinatorial continuous-compositional-spread method in this research. A custom-built radio-frequency (RF) sputtering system was used to synthesize InaGabZncOd material libraries at room temperature. Different sputtering conditions were studied. The electrical resistance phase diagrams of InaGabZncOd compounds were obtained. The compositions and crystal structures were identified by X-ray diffraction method and Energy-dispersive X-ray spectroscopy (EDS). XRD patterns indicate that the structural characteristics of InaGabZncOd compounds were amorphous in material libraries. The oxide semiconductor composition with highest electrical mobility was identified as In3.67GaZn2.73O9.74. The electrical mobility of In3.67GaZn2.73O9.74 film was higher than 25 cm2/V-s and the optical transmittance greater than 85%.
M4: Poster Session: Properties of Doped and Undoped Semiconducting Oxides
Session Chairs
Steven Durbin
Marius Grundmann
Jamie Phillips
Timothy Veal
Tuesday AM, November 29, 2011
Exhibition Hall C (Hynes)
9:00 PM - M4.1
Surface Plasmon Polaritons on Oxide Semiconductors and Their Applications.
Hiroaki Matsui 1 2 , Wasanthamala Badalawa 1 , Akifumi Ikehata 3 , Hitoshi Tabata 1 2
1 Electronic Enginnering, the University of Tokyo, Tokyo Japan, 2 Bioengneering, the University of Tokyo, Tokyo Japan, 3 Analytical Science Division Nondestructive Evaluation Laboratory, National Food Research Institute, Ibaraki, Tokyo, Japan
Show AbstractThe incorporation of extrinsic impurities into oxide semiconductors produces interesting functionalities such as carrier polarities by donor and acceptor dopants, and there are reports of 3d transition metal activated ferromagnetic ordering 1, 2. In this work, we introduce the surface plasmon (SP) as a new source of insight into transparent oxide semiconductors (TOSs). In particular, the metallic state of TOSs plays an important role in exciting an SP at a metal-dielectric interface because of the coherent oscillation of free electrons. In particular, TOSs are candidates for plasmonic materials in the near-infrared (NIR) region, which has been proposed by Boltasseva et al. Science 331, 290 (2011). Our research group is aiming at clarifying SPP phenomena on TOSs from different viewpoints, and creates optical applications in the NIR region. In this presentation, we report on the SP mode guided by ZnO:Ga (In2O3:Sn) layers bounded by dielectrics, i.e., a tri-layer with a dielectric-TOS-dielectric structure. The origin of the SP modes of TOSs was investigated utilizing theoretical and experimental approaches. As a first step, we evaluated activity as surface sensing on an In2O3:Sn layer. Recently, we found that the SP mode was very sensitive to a change in the small refractive index (~0.1%) of a dielectric layer on In2O3:Sn layers. Tuning of the refractive index of a dielectric layer was carried out using an organic solution consisting of water and glucose (bio-molecule). We determined that the SPP modes of TOSs operated functionally as a plasmonic biosensor. As a second step, we evaluated activity as a plasmonic waveguide consisting of a ZnO:Ga layer bounded by the same dielectrics. We estimated long light propagation of several micron meters along the layer surface of ZnO:Ga on the basis of the long-range SP mode because of the coupling of SP modes excited at both ZnO/dielectric interfaces. Finally, we discuss future possibilities and the practical use of oxide plasmonics. Ref. ) 1. J. Appl. Phys. 95 (2004) 5882. 2. Phys. Rev. B. 75 (2007) 014438. 3) Appl. Phys. Lett. (in press 2011)
9:00 PM - M4.10
Effect of Low Power Deposition and Low Oxidation Temperature on the Interfacial and Structural Properties of Sputtered HfO2 Gate Dielectrics.
Auxence Minko 1 , Sergei Rudenja 2 , Gustavo Belo 1 , Douglas Buchanan 1
1 Electrical Engineering, University of Manitoba, Winnipeg, Manitoba, Canada, 2 Chemistry, University of Manitoba, Winnipeg, Manitoba, Canada
Show AbstractThe continuous scaling of the SiO2 layer for advanced CMOS technologies has reached its limits by leading to excessive gate leakage current and reliability issues. To address this problem, high-k materials have been investigated as potential SiO2 substitutes. Hafnium dioxide (HfO2) is being considered as one the most promising candidate, due to superior properties such as high permittivity, band offsets with Si greater than 1, suitable bandgap and good thermal stability. However, the deposition of HfO2 using atomic layer deposition (ALD), chemical vapor deposition (CVD) and sputtering techniques lead to the formation of an interfacial layer between HfO2 and silicon. This interlayer creates an additional contribution to EOT, and negates the effect of using a high-k dielectric. Many and various efforts have so far been made to overcome this degradation. In this work, a method to deposit a high quality film of HfO2, while reducing the interface layer thickness, is investigated using a simple technique such as sputtering. A thin metallic film of hafnium was sputtered, with low power, on n-type Si (100) substrate. The deposited film was subsequently oxidized in a furnace at low temperature. The characterization of the resulting gate stack (hafnium dioxide and interface layer) and their properties have been investigated using high resolution TEM, X-ray photoelectron spectroscopy, ellipsometry and electrical measurements.The TEM images reveal a shrunk interface layer while the XPS depth profile data, analyzed through XPS MultiQuant program, divulge that the gate stack is actually composed by a complex three layer structure including a stoichiometric HfO2 layer, a thin interfacial layer of HfSiO4 and a thin layer of SiO2 at the silicon interface. Optical properties such as refractive and absorption indexes lead to a suitable bandgap of 5.2 eV and the capacitance voltage measurement shows a high relative permittivity and no significant frequency dispersion in the accumulation region.
9:00 PM - M4.11
Electrical Properties of HfO2/La2O3 Gate Dielectrics on Germanium with Ultrathin Nitride Interlayer Formed by In Situ N2/H2 Plasma Pretreatment.
Ming Ho Lin 1 , Chun Kai Lan 1 , Chih Chiao Chen 1 , Jyun Yi Wu 1 , Su Jien Lin 1
1 , National Tsing Hua University, Hsinchu Taiwan
Show AbstractIn situ N2/H2 plasma surface-nitridation treatment on both p- and n- type Ge (100) MOS device were investigated. Nitridation treatment on substrate is generally considered to have good characteristics. Therefore, we fabricate the nitride interfacial layer by remote rf plasma processing on Ge (100) substrate before high-k deposition. Then, the HfO2/La2O3 films were grown on Ge (100) substrate by radical-assisted atomic layer deposition (RPALD). Electrical properties of the films, including C-V and I-V relations, were measured by Keithley 4200. The sample with an ultrathin nitride interlayer shows good electrical characteristics, including larger k value, smaller equivalent oxide thickness, leakage current density, and hysteresis loop than that without. The involved mechanism lies in that the LaGeON interlayer can effectively block the inter-diffusion of Ge, thus improving the high-k films/ Ge interface quality. Beside, the LaGeON formation and inter-diffusion of Ge were also investigated by X-ray Photoelectron Spectroscopy (XPS) and transmission electron microscopy (TEM).
9:00 PM - M4.12
Understanding the Role of Native Defects in Hafnia and Zirconia.
John Lyons 1 , Anderson Janotti 1 , Chris Van de Walle 1
1 Materials, University of California-Santa Barbara, Santa Barbara, California, United States
Show AbstractHafnia and zirconia have applications in metal-oxide semiconductor (MOS) devices, solid-oxide fuel cells, thermal barrier coatings, and gas sensors. In each of these technologies, native point defects in the oxide layers play an important role in determining the performance of the devices. As such, understanding the electronic and structural properties of point defects is required for further development of these materials. First-principles calculations are valuable tools for studying defects and impurities in solids, as they allow for analyzing the electronic, structural, and transport properties of isolated defects. Theoretical studies of hafnia and zirconia based on density functional theory within the local density approximation (DFT-LDA) or its semi-local extensions, severely underestimate the band gaps and thereby cause large errors in defect formation energies and transition levels. In this work, we investigate native defects in these oxides using the hybrid functional of Heyd, Scuseria, and Ernzerhof (HSE), which overcome the problems associated with the band-gap error in conventional DFT calculations. The HSE allows for quantitative predictions of transition levels and formation energies of defects. Using this method, we have investigated the properties of native point defects in zirconia and hafnia, as well as their interactions with hydrogen impurities. We discuss the stability of these defects for various growth and doping conditions, and their impact on devices.
9:00 PM - M4.13
Redox-Based Mechanism for Bipolar Resistance Switching of HfO2 Films Induced by Migration of Oxygen Ions.
Jonggi Kim 1 , Sunghoon Lee 1 , Heedo Na 1 , Kyumin Lee 1 , Hyunchul Sohn 1
1 Department of Materials Science & Engineering, Yonsei University, Seoul Korea (the Republic of)
Show AbstractRedox-based mechanism for bipolar resistance switching of HfO2 films induced by migration of oxygen ions was studied. HfO2 on Pt bottom electrode exhibited bipolar switching behavior in top electrode having negatively high formation energy with O2 such as TiN, Ti and Al. We expected that bipolar resistance switching behavior was determined by oxidation and reduction at interface between top electrode and hafnium oxide after filamentary conductive path was formed during forming process. With negatively higher formation energy of top electrode (Al>Ti>TiN), forming voltage during forming process and threshold voltage during reset process was decreased and increased in resistive switching behavior, respectively. Also, we identified that HRS current level was increased with negatively higher formation energy of top electrode. Through high resolution transmission electron microscopy (HRTEM), electron disperse X-ray (EDX), and electron energy loss spectroscopy (EELS) mapping, we demonstrated that filamentary conductive path was formed by large amount of oxygen ions in migrated from HfO2 films to top Ti electrode during forming process and then resistance switching was induced by the redox reaction of oxygen ions at top interface between hafnium oxide layer and top electrode during set and reset process. As the results, formation energy of electrode was expected to be closely related to the determinants of forming voltage, threshold voltage and HRS current level in bipolar resistive switching behavior.
9:00 PM - M4.14
Ab Initio Model of the Effective Work Function in the Si/SiO2/HfO2/Metal Gatestack with the Incorporation of Select Dopants and Defects.
Abram Van Der Geest 1 2 , Nicolas Richard 1 , Philippe Blaise 2
1 , CEA-DIF-DAM, Arapajon France, 2 , CEA-LETI, Grenoble France
Show AbstractRecently, several changes have been made to the traditional Si based CMOS technology with the materials of both the dielectric and the metal being changed. The dielectric has been changed from SiO2 to a variety of high-Κ dielectrics, with HfO2 on a SiO2 thin film being of particular interest[1]. The metal has been changed from poly-silicon to metals such as TiN or Ni. These materials lead to new interfaces that are the focus of much computational study. Here we discuss the effect of each interface in the Si/SiO2/HfO2/TiN and Si/SiO2/HfO2/Ni gatestacks on the total effective work function Weff. For this study we have carefully constructed predictive models for all of the relavent interfaces. This analysis also includes Al, Mg, and La dopants at the SiO2/HfO2 interface, as well as a study of the O concentration in the interfaces of the gatestacks. The dopants are treated as a single dopant at the SiO2/HfO2 interface, because it has been seen that dopants only play a role in modulating the valence band offset VBO at interfaces[2]. The study of the O concentration of the gatestacks includes the study of dangling bonds at the Si/SiO2 interface, O vacancies at the SiO2/HfO2 interface, and O rich interfaces at the HfO2/Metal interfaces. The valence and conduction band offsets and the corresponding Weff are calculated first with density functional theory calculations and then the locations of the valence band and then the band gaps are corrected using G0W0 calculations[3].[1] High-Κ gate dielectrics: Current status and materials properties considerations, G. D. Wilk, R. M. Wallace, and J. M. Anthony, J. Appl. Phys. 89, 5243 (2001)[2] Atomic mechanism of electric dipole formed at high-Κ/SiO2 interface, L. Lin, and J. Robertson, J. Appl. Phys. 109, 094502 (2011)[3] Band Offsets at the Si/SiO2 Interface from Many-Body Perturbation Theory, R. Shaltaf, G. M. Rignanese, X. Gonze, F. Giustino and A. Pasquarello, Phys. Rev. Lett. 100, 186401 (2008)
9:00 PM - M4.15
Electrical and Structural Characterisation of Bi-Layer Stacks of High-k Al2O3 and HfO2 Dielectrics on Silicon and Indium Gallium Zinc Oxide (IGZO).
Ahmed Kiani 1 , Sieglinde Pfaendler 1 , Bernhard Bayer 1 , David Hasko 1 , William Milne 1 , Andrew Flewitt 1
1 Electrical Engineering, University of Cambridge, Cambridge United Kingdom
Show AbstractHigh-k dielectrics currently implemented as the dielectric in CMOS devices are now receiving attention for large-area electronics, such as thin film transistors (TFTs) for displays. It is widely acknowledged that the characteristics and performance of thin film devices, such as TFTs, are dominated by interface defects. Therefore, bi-layer dielectric stacks have recently drawn interest as a possible means to control interface defect state density independently from bulk dielectric properties.HfO2 and Al2O3 thin films are grown on <100> n-type Silicon by ALD. Single layers (10nm) and bi-layers (30nm of bulk on either 30nm or 10nm of interface layer) have been deposited. I-V, C-V, current-temperature (J-T), TEM and XRD were performed and conduction mechanisms, dielectric constants, structure and geometry extracted. In single layers, conduction in HfO2 is Schottky emission limited by the barrier at the interface with the silicon with a defect density of 2.0 × 1012 cm-2 . For Al2O3, the conduction is: Ohmic (0-3MV/cm), space-charge limited (3-5MV/cm) and Poole-Frenkel (>5MV/cm) with a 3.4 × 1012 cm-2 defect density. XRD reveals an amorphous structure of both films.In bi-layer stacks, with a 10nm of Al2O3 interface layer, state density and conduction was similar to bulk Al2O3. Devices with 30nm Al2O3 layer were dominated by HfO2 at low-fields (i.e. Al2O3/HfO2 interface limited) and exhibited Schottky emission and/or Poole-Frenkel (Al2O3 prevailing) at high fields. A 10nm HfO2 interface layer resulted in Ohmic conduction (0-3MV/cm) and Schottky emission/Poole-Frenkel >3MV/cm. A 30nm HfO2 interface layer was governed by Schottky emission/Poole-Frenkel conduction. TEM indicates phase changes with switching positions of layers in the stacked capacitors. In conclusion, control of interface state density in high-k dielectrics by interface layers impacts bulk properties of bi-layer stacks (verified by change of interface layer thickness and geometry). Data from an ongoing study to repeat this work with IGZO as the semiconductor will be presented in the meeting.
9:00 PM - M4.16
SnO-WO3 Ternary Transparent Conductive Oxides.
Robert Kykyneshi 1 , Nathan Stephon 1 , Douglas Keszler 1
1 Chemistry, Oregon State University, Corvallis, Oregon, United States
Show Abstractp-Type transparent conductive oxides (p-TCO) often suffer from low carrier mobility and a trade-off between conductivity and optical transparency. We investigate ternary SnO-WO3 compounds as p-TCO candidates. Relatively high hole mobility is expected in these compounds, due to the similarity of the electronic structure at the top of the valence band to that of SnO. Of particular interest are the high-temperature cubic β-SnWO4 with a band gap around 2.5 eV, and Sn3WO6 with a band gap of 2.6 eV. A slow onset of absorption above the band edge is typical for β-SnWO4. The electrical and optical properties of undoped and doped SnWO4 thin films prepared by PED/PLD methods are presented and use as p-TCO discussed.
9:00 PM - M4.17
Study of the Interface Barrier of Atomic Layer Deposited (ALD) Al2O3 on GaN.
Michele Esposto 1 , Ting-Hsiang Hung 1 , Sriram Krishnamoorthy 1 , Digbijoy Nath 1 , Sanyam Bajaj 1 , Siddharth Rajan 1
1 ECE Department, The Ohio State University, Columbus, Ohio, United States
Show AbstractWe report on the deposition and energy band diagram analysis of high-quality low-leakage Al2O3/GaN using atomic layer deposition. As GaN-based transistors are scaled to achieve higher frequency operation, atomic layer deposition techniques offer a promising way to achieve low leakage while scaling gate-to-channel distance. In addition, applications of GaN in power switching systems require ultra-low leakage that can be achieved using metal-insulator-semiconductor (MISHEMT) structures. In this work, we have made quantitative estimates of conduction band offsets and interface charge density.MIS structures with varying oxide thickness were fabricated on an n+/n- GaN sample grown by RF plasma MBE on low dislocation density Lumilog GaN templates. Three Al2O3 layers of nominal 6 nm, 12 nm, and 18 nm were deposited by atomic layer deposition at 300C, using trimethylaluminum (TMA) and H2O as precursors. The pre-deposition treatment of the surface consisted in a 10:1 HF-dip for 15s. All three samples were then annealed at 600C in forming gas for 1min. A new ALD deposition procedure was also developed to achieve low leakage in these structures.A quantitative analysis of the interface barrier of Ni/Al2O3/GaN capacitors was carried out to determine conduction band discontinuity, interface fixed charge and pinning effects. The I-V measurements show extremely low current density for thin dielectric films. A quantitative energy band diagram was estimated from capacitance voltage (C-V) measurements by extracting the apparent charge profiles of the MIS capacitors taking into account the spontaneous polarization in GaN and doping. The extracted flat-band voltages were 0.81 V, 0.21 V and -0.31 V for the 6 nm, 12 nm and 18 nm-thick oxide respectively. The hysteresis in the C-V profile for all capacitors pointed out a low Dit charge density. A linear relationship between the flat-band voltage and the oxide thickness was experimentally observed, indicating absence of Fermi-level pinning at the Al2O3/GaN interface. The conduction band offset at the Al2O3/GaN interface was calculated to be 2.13 eV. In addition, we estimate that a non-zero field of approximately 1 MV/cm exists in the oxide under flat band conditions in the semiconductor. This non-zero field is attributed to a fixed charge density at the Al2O3/GaN interface of 4.60x1012 cm-2.
9:00 PM - M4.18
Polarity Effects in X-Ray Photoemission of Wurtzite Semiconductors: ZnO as a Case Study.
M. Allen 1 2 , T. Veal 3 , C. McConville 3 , D. Zemlyanov 4 , G. Waterhouse 5 , J. Metson 5 2 , S. Durbin 6 2
1 Department of Electrical and Computer Engineering, University of Canterbury, Christchurch New Zealand, 2 , MacDiarmid Institute for Advanced Materials and Nanotechnology, Wellington New Zealand, 3 Department of Physics, University of Warwick, Coventry United Kingdom, 4 Birck Nanotechnology Center, Purdue University, West Lafayette, Indiana, United States, 5 Department of Chemistry, University of Auckland, Auckland New Zealand, 6 Dept. of Electrical Engineering and Dept. of Physics, University at Buffalo, The State University of New York, Buffalo, New York, United States
Show AbstractIn the case of wurtzite semiconductors such as ZnO and GaN, it is well-known that the crystal face used in processing has profound effects on growth rate, dopant incorporation, and surface roughness. It also has strong effects on devices, including Schottky barrier height and carrier recombination efficiency (through the quantum-confined Stark effect). Thus, polarity determination is important for a broad range of situations. Typically, a destructive approach is applied, for example through observable etching differences between polar faces, or more elaborate techniques such as convergent beam electron beam diffraction (CBED). Aside from being destructive, these approaches have additional drawbacks. Specifically, etching studies on somewhat imperfect surfaces can be somewhat subjective and hence ambiguous, although they are simple and relatively quick to perform. CBED, in contrast, is reliable but a much more specialized technique. Here, we present a simple, reproducible, straightforward and nondestructive alternative using x-ray photoelectron spectroscopy of the valence band. Both aluminum K-α (1486.6 eV) and synchrotron radiation (150 to 1486 eV) were employed on bulk ZnO crystals grown by either the hydrothermal technique (n ~ 1013 cm-3) or the pressurized melt technique (n ~ 1016 cm-3). No significant differences were observed between the two types of ZnO; double-side polished polar (0001) wafers as well as non-polar surfaces were measured. Alhough ZnO is used as a case study, the technique is demonstrated for other wurtzite materials including GaN, InN, CdS and CdSe as well.
9:00 PM - M4.19
Atomic Structure Determination of ZnO Polar Surfaces by Model Fitting of X-Ray Crystal Truncation Rod Data with Genetic Algorithms.
Yongsoo Yang 1 , Christian Schlepuetz 1 , Naji Husseini 1 , Martin Allen 2 3 , Steven Durbin 2 4 , Roy Clarke 1
1 Department of Physics, University of Michigan, Ann Arbor, Michigan, United States, 2 , MacDiarmid Institute for Advanced Materials and Nanotechnology, Christchurch New Zealand, 3 Department of Electrical and Computer Engineering, University of Canterbury, Christchurch New Zealand, 4 Department of Electrical Engineering and Department of Physics, State University of New York at Buffalo, Buffalo, New York, United States
Show AbstractZinc Oxide (ZnO) is gaining more attention for its various technological applications, such as catalysis, gas sensing, corrosion prevention, and optoelectronics [1]. Precise knowledge of ZnO surface and interface structures is critical to control and tailor their properties for applications. Especially, detailed information about the atomic structure of surfaces and interfaces with metal contacts play an important role in determining the electronic properties, such as Schottky barrier heights, which are directly related to the applications in Schottky Barrier Diodes (SBDs) [2-3]. Surface X-ray diffraction (SXRD) offers the capability to access the buried interface structures non-destructively, and it provides picometer accuracy of the atomic positions, making it an ideal tool for surface and interface studies. For model fitting of measured crystal truncation rod (CTR) data, we use the genetic algorithm-based fitting software GenX [4] to obtain reliable solutions and information about the correlation between fit parameters.In order to accurately model the effects of surface roughness, we have developed a new model in which the structure factors from several identical ZnO slabs with different surface heights are coherently averaged by applying corresponding weight factors, which are determined either directly by fitting or indirectly by fitting parameters of functional roughness profiles, for example, a Gaussian roughness profile with variable width and position.Our results prove the presence of a (1x1) oxygen over-layer on the Zn-polar surface without any significant roughness or atomic movement. O-polar surfaces, on the other hand, show a roughness profile, which extends over about one unit cell, but no indications for the presence of an over-layer or any atomic displacements. Both on Zn- and O-polar surfaces, hardly any difference is observed between bare and metal-coated samples. Acknowledgments:This work is supported in parts by the U.S. Department of Energy (Contract No. DE-FG02-06ER46273) and the Marsden Fund (Contract No. UOC0909). Measurements were performed at Sector 13-BMC and 33-IDD, Advanced Photon Source, Argonne National Laboratory, USA (The APS is operated under DOE contract No. DE-AC02-06CH11357), and at the X04SA beamline, Swiss Light Source, Paul Scherrer Institut, Switzerland.References:[1] C. Wöll, Progr. Surf. Sci. 82, 55 (2007).[2] B. J. Coppa et al., J. Appl. Phys. 97, 103571 (2005).[3] M. Allen et al., Appl. Phys. Lett. 94, 103508 (2009).[4] M. Björck and G. Andersson, J. Appl. Crystallogr. 40, 1174 (2007).
9:00 PM - M4.2
Quantum Size Effects and in-Plane Light Polarization in Nonpolar CdZnO/ZnO Quantum Wells.
Hiroaki Matsui 1 , Hitoshi Tabata 1
1 , The University of Tokyo, Tokyo Japan
Show Abstract Nonpolar ZnO is a promising material that allows the fabrication of efficient emitting devices without the occurrence of quantum stark effects, and polarization filters based on optical anisotropy. Recently, studies of the luminescent character of nonpolar ZnO quantum wells (QWs) have gradually increased. For m-plane wurtzite, the top valence band (VB) states consist of wave functions having nearly identical symmetries in the absence of in-plane lattice strain. However, any biaxial strain within the m-plane further changes the symmetry and significantly modifies the VB states. Furthermore, theoretical studies have revealed that nonpolar CdZnO QWs have larger optical gain than nonpolar InGaN QWs. In this work, we report highly polarized luminescence from nonpolar CdZnO QWs. The origin of optical polarizations will be elucidated by tuning in-plane anisotropic lattice strains and quantum confinements. Nonpolar CdZnO/ZnO QWs were grown homoepitaxially on ZnO substrates by pulsed laser deposition, which allowed to fabrication of samples with sharp quantum well interfaces and no stacking faults and dislocations. The polarized luminescent properties at 300 K were remarkably enhanced up to 0.76 in the case of high Cd content and narrow well width. This result was linked to modifications of the VB structure originating from the crystalline symmetry of the quantum well based on in-plane anisotropic lattice strains. The degree of optical polarization was closely related to the energy difference between the two uppermost VB states. Additionally, there was an exception to the polarization selection rules due to excitonic localization because of a mixing of the upper VB states at low temperatures. Ref. ) Appl. Phys. Lett. 87 (2005) 143109., J. Appl. Phys. 99 (2006)., J. Appl. Phys. 104 (2008) 094309., Appl. Phys. Lett. 94 (2009) 161907., J. Appl. Phys. 103 (2010) 093523., Appl. Phys. Lett. in press (2011).
9:00 PM - M4.20
Room Temperature Ferromagnetism of Fe-Doped ZnO and MgO Thin Films Prepared by Ink-Jet Printing for Devices.
Mei Fang 1 , Wolfgang Voit 1 , Adrica Kyndiah 1 , Yan Wu 2 , Lyubov Belova 1 , K. Rao 1
1 Materials Science and Engineering, The Royal Institute of Technology, Stockholm Sweden, 2 Faculty of Mat. Sci and Chemical Engg, China Univ. of Geosciences, Wuhan China
Show AbstractInk-jet printing of complex oxide structures is especially attractive to develop components for transparent electronics. We present studies on both undoped and doped magnetic ZnO and MgO films fabricated by inkjet printing. Robust room temperature ferromagnetism (RTFM), at and well above room temperature, has been recently reported in sputter deposited pristine MgO thin films and attributed to arise from cation vacancies.[1] Such d0–like magnetism also observed in pure ZnO films[2,3] emphasizes the fact that the observed magnetism is not due to any partially filled d-orbitals but arises from moments induced in the p-orbitals of the oxygen band. In order to understand the consequence of doping these oxides with 3d elements we have investigated the magnetic properties of thin films of Fe-doped ZnO and MgO respectively produced by ink jet printing ensuring that there are no other unwanted impurity phases in them. We observe RTFM in both the systems with a significant enhancement of magnetization arising from hybridization between the 2p states of O with the unpaired 3d electrons of Fe.We present results from a study of the magnetic properties of mono and multilayer (n = 1~5) printed thin films of both pristine, and doped ZnO and MgO with up to 10 at.% Fe. These thin films were prepared by ink-jet printing from their 0.25M acetate-precursor ink. X-ray diffraction studies using Siemens D5000, show the absence of any intensity peaks that can be attributed to pure Fe or traces of other phases, and confirms the expected hexagonal wurtzite structure on Fe-substitution for Zn in ZnO, and the cubic structure for Fe-substituted Mg in MgO respectively. Magnetic measurements using SQUID show both undoped and Fe-doped thin films to be ferromagnetic at room temperature. The saturated magnetization Ms-value of a 45 nm Fe-doped ZnO thin film is found to be 4.44emu/g, which corresponds to a moment of 0.83 μB/Fe atom. In contrast for a single layer Fe-doped MgO 30nm thin film, the moment is found to be 0.13 μB/Fe atom. On substitution with Fe, we find that the magnetic moment (Ms) is enhanced by an order of magnitude in both the systems. Furthermore, the film thickness dependence of Ms exhibits a clear maximum as reported before. Thus, our studies indicate that the respective mechanisms for the origin of magnetism in undoped MgO/ZnO, and their doped forms are similar. Studies of band gap engineered heterostructures of these two industrially important oxides will also be presented. *This project has been supported by a grant from the Swedish Agency VINNOVA, Mei Fang acknowledges the Chinese Scholarship Council for her PhD study. 1 C. Moyses Araujo et al., Appl. Phys. Lett.96, 232505 (2010)2 Mukes Kapilashrami et al., Appl. Phys. Lett. 95 033104 (2009)3 Yan Wu et al. IEEE Trans. Magnetics 46, 2152 (2010)
9:00 PM - M4.21
Surface and Bulk Electronic Properties of Si-Doped ZnO Thin Films Grown by Pulsed Laser Deposition.
Nessa Fereshteh Saniee 1 , T. Veal 1 , C. McConville 1 , T. Jackson 2 , S. Abell 3
1 Department of Physics, University of Warwick, Coventry, West Midlands, United Kingdom, 2 Electrical and Computer Engineering, University of Birmingham, Birmingham, West Midlands, United Kingdom, 3 Metallurgy and Materials Engineering, University of Birmingham, Birmingham, West Midlands, United Kingdom
Show AbstractThe identification of appropriate dopants for ZnO is important for all potential applications. While p-type doping continues to attract considerable attention, n-type dopants beyond the obvious group III elements have been little studied. Further improvements to stable n-type conductivity with maximal electron density and mobility are highly desirable for transparent electrical contact applications. Here, Si doping of ZnO has been investigated following promising initial experimental studies [1-3] and theoretical predictions that Si is a shallow double donor with low formation energy [4, 5].Thin films of Si-doped ZnO, grown by pulsed laser deposition, have been studied by high-resolution X-ray photoemission spectroscopy (XPS), optical absorption and reflectance spectroscopy, and Hall effect measurements to determine the surface and bulk electronic properties as a function of Si doping density. Si is found to be an effective n-type dopant and the surface Fermi level in the conduction band, indicative of surface electron accumulation, is found to increase with the bulk carrier density.1.A. K. Das, et al., J. Phys. D-Appl. Phys. 42 (16) (2009).2.T. Minami, et al., Japanese Journal of Applied Physics 25, 4 (1986).3.I. Sorar, et al., Applied Surface Science 257 (16), 7343-7349 (2011).4.J. L. Lyons, et al., Physical Review B 80 (20), 205113 (2009).5.W. Koerner and C. ELsasser, Physical Review B 83 (20), 205306 (2011).
9:00 PM - M4.22
Surface Analysis of Metal Oxide Semiconductor Layers for Hybrid Photovoltaic Systems.
Robert Hewlett 1 , Natalie Stingelin 1 , Thomas Anthopoulos 2 , Martyn McLachlan 1
1 Department of Materials, Centre for Plastic Electronics, Imperial College London, London United Kingdom, 2 Department of Physics, Centre for Plastic Electronics, Imperial College London, London United Kingdom
Show AbstractAlthough there is substantial interest in hybrid photovoltaics (HPVs) based on wide band-gap inorganic semiconductors, these cells suffer from poor performance compared to organic photovoltaics (OPVs). Device characteristics have been improved through developments such as effective nanostructuring of the inorganic layer and surface modification; however, the gains in performance have not been as great as has been expected due to poor charge separation and exciton recombination at the inorganic-organic interface.Whilst it has been reported that the performance of ZnO HPV devices can be heavily dependent on the processing conditions of the inorganic layer[1], there has not been a formal study into probing the surface states of the ZnO films used in these devices. Despite the fact that ZnO possesses similar conduction and valence band levels and higher electron mobility than TiO2, the characteristics exhibited by these devices are substantially poorer. Consequently, analysis of the surface properties of these materials is warranted to determine the origin of this discrepancy in performance.Here, we report recent surface analysis using absorption spectroscopy techniques including X-ray photoelectron spectroscopy (XPS) and near-edge X-ray absorption fine spectroscopy (NEXAFS) on both planar and nanostructured solution processed ZnO films, and compare with TiO2 films prepared by a sol-gel method. Following this, poly(3-hexylthiophene) (P3HT) is applied to these layers for analysis of recombination kinetics by transient absorption spectroscopy (TAS). It is evident that the inorganic-organic interface is an important component in these devices – a greater understanding of this area will provide a platform for future work into engineering metal oxide surface properties to attain better device performances.[1].Olson, D. C.; Lee, Y. J. et al.; Effect of ZnO processing on the photovoltage of ZnO/poly(3-hexylthiophene) solar cells, Journal of Physical Chemistry C, 2008. 112(26), p. 9544.
9:00 PM - M4.23
Interfacial Silicate Formation in ALD Grown SrO/SiO2/Si(100): Chemical and Thermal Stability.
Han Wang 1 , Xiaoqiang Jiang 1 , Brian Willis 1
1 Department of Chemical, Materials & Biomolecular Engineering, University of Connecticut, Storrs, Connecticut, United States
Show AbstractHeteroepitaxial growth of complex oxides on silicon is of considerable technological importance for the integration of functional oxides and conventional semiconductor materials. In general, single crystalline materials and a sharp interface between oxides and the silicon substrate is highly desirable. The first critical step is to remove silicon dioxide from the silicon surface prior to the growth of functional oxides. Previously, a Sr-assisted SiO2 removal technique was developed for SrTiO3 growth by molecular beam epitaxy (MBE) method. Recently, this technique has been extended successfully using an atomic layer deposition (ALD) process, which may have cost advantages over MBE. In either case, the mechanism of removing SiO2 from the surface using Sr/SrO is not fully understood. Early studies show that Sr plays a role as a catalyst promoting SiO formation and passivating the newly exposed Si surface. However, the observed reactions between Sr and SiO2 and the formation of Sr silicates imply that the catalytic model might be too simplistic. Sr reaction and diffusion in SiO2 also seem important because the amount of Sr silicates is more than a monolayer. In addition, chemical and structural transformation has been observed between different Sr silicates induced by post-growth annealing. Since SrO plays a crucial role as a buffer layer in the epitaxial growth of perovskites on Si, it is imperative to have a detailed understanding of the Sr(O)/SiO2/Si interface. In this paper, we present an analysis of the interface evolution during ALD of SrO on oxide terminated Si. In-situ spectroscopic ellipsometry and in-situ XPS are used to study ALD growth and silicate formation, respectively. Ellipsometry provides an in-situ probe of growth with submonolayer sensitivity, including characteristic signatures of each half cycle, and XPS provides a sensitive probe of changes in bonding during annealing. It is found that silicates form during growth, even at the relatively low temperatures characteristic of ALD. Annealing leads to the evolution and ultimately desorption of the silicates with characteristics that are dependent on the substrate properties and ambient conditions. The implications of the data for ALD based epitaxial growth will be discussed.
9:00 PM - M4.24
Dielectric Function Modeling of ITO Nanocrystals in Solution, in Thin-Films, and Incorporated in NIR-Selective Electrochromic Half-Cell Devices.
Rueben Mendelsberg 1 2 , Guillermo Garcia 1 , Evan Runnerstrom 1 , Raffaella Buonsanti 1 , Thomas Richardson 3 , Delia Milliron 1
1 Molecular Foundry, Lawrence Berkeley National Laboratory, Berkeley, California, United States, 2 Plasma Applications Group, Lawrence Berkeley National Laboratory, Berkeley, California, United States, 3 Environmental Energy Technologies Division, Lawrence Berkeley National Laboratory, Berkeley, California, United States
Show AbstractThe Drude theory has been successfully used to model the dielectric function of many different transparent conductive oxide thin films. This theory is excellent at modeling spectroscopic ellipsometry data and it can also be used to extract meaningful physics from transmittance spectra. Transmittance is limited to samples deposited on transparent substrates but, unlike ellipsometry, transmittance measurements are not constrained to thin-film samples.In this work, tin-doped indium oxide (ITO) nanocrystals were prepared by colloidal chemistry for potential use in near-infrared (NIR) selective electrochromic devices. Transmittance spectra were collected from monodispersed ITO/trichloroethylene solutions in a cuvette as well as the resulting ITO nanocrystal thin-films which were spin cast from solution onto quartz substrates. When combined with an effective medium approximation, the Drude theory was successful in modeling the transmittance spectra in each case. From the fit parameters, it was deduced that the carrier concentration remained unchanged when processing the thin films, which included a post-deposition ligand exchange and 250°C anneal. Instead, the observed changes in NIR transmittance were attributed to the increased volume fraction and changes in the environment surrounding the nanocrystals when going from solution to the annealed films.Electrochromic half cell devices were then constructed from ITO nanocrystal films using a Li-containing electrolyte, Li reference electrode, and Li counter electrode. Transmittance spectra were taken as a function of the applied voltage and could be modeled very well using the Drude theory. Drastic changes in the NIR transmittance were observed with applied voltage and confidently attributed to reversible modulation of the carrier concentration by almost a factor of three within the ITO nanocrystals. Drude analysis of the dielectric function of these half-cell devices is not possible using ellipsometry but much care must be taken to gain confidence in the fitted parameters when modeling only transmittance. This work was supported by the U.S. Department of Energy under Contract No. DE-AC02-05CH11231.
9:00 PM - M4.25
Study of Magnetic Properties in Cr-Doped In2O3.
Anand P. S. Gaur 1 , Satyaprakash Sahoo 1 , Rajesh K. Katiyar 1 , C. Rinaldi 2 , J. Scott 1 3 , Ram S. Katiyar 1
1 Physics, University of Puerto Rico, San Juan United States, 2 Department of Chemical Engineering, University of Puerto Rico, Mayaguez United States, 3 Cavendish Lab., Department of Physics , Cambridge University , Cambridge United Kingdom
Show AbstractIn2O3 is extensively being used as a transparent conducting oxide, doping of transition metal in In2O3 could result in room temperature ferromagnetism for its novel spintronics applications. The major concern over transition metal doping is that these metals themselves or their oxides are ferromagnetic in nature. During the synthesis process if these metals or their oxides are phase-separated, then it will result ferromagnetism at room temperature. Thus, it is important to understand the origin of room temperature in these materials. For this Cr-doped (3,5 and 7% ) In2O3 have been prepared using a solid-state reaction method at two different annealing temperatures; 1000 and 1400 oC. From vibrating sample magnetometer (VSM) study ferromagnetic behaviour is observed at room-temperature for all the compositions prepared at 1000 oC. X-ray diffraction shows no secondary phase formation but from the Raman study the ferromagnetic oxide phase of CrO2 was identified. On the other hand, samples prepared at 1400 oC show no detectable secondary phases as conformed by X-ray diffraction and Raman study, but these samples do not show ferromagnetism as confirmed by SQUID study. With increase in Cr-content the paramagnetism state is merely enhanced. This is a strong indication that ferromagnetism in Cr doped In2O3 are due to a second phase, probably CrO2.
9:00 PM - M4.26
Room Temperature Ferromagnetism in Transparent Conducting Fe-Doped In2O3 Films.
Heungsoo Kim 1 , Michael Osofsky 1 , Michael Miller 1 , Syed Qadri 1 , Raymond Auyeung 1 , Alberto Pique 1
1 Materials Science and Technology Division, Naval Research Laboratory, Washington, District of Columbia, United States
Show AbstractOxide semiconductors have been widely studied as a host compound for spintronic devices since they can be doped with transition metals to realize a higher Curie temperature and can produce high n-type carriers by either doping with Group IV elements or introducing oxygen vacancies. Among various oxide semiconductors, Fe-doped In2O3 is a promising ferromagnetic semiconductor due to the high solubility of Fe-ions into the In2O3 lattice. However, in many cases the extrinsic origin of ferromagnetism in the semiconductor, such as magnetic impurity segregation, could not be eliminated. Much debate remains, as magnetization measurements alone cannot distinguish intrinsic ferromagnetism from extrinsic one, and the magnetic properties are extremely sensitive to the film growth conditions. Recently, at NRL, In2-xFexO3 thin films have been deposited on MgO, sapphire, and YSZ substrates by pulsed laser deposition. The lattice constant decreases linearly with increasing Fe-doping concentration suggesting the incorporation of Fe ions into the In2O3 lattice matrix. Magneto-transport characteristics including anomalous Hall effect along with structural analysis demonstrate that an intrinsic ferromagnetism is observed for some films grown under optimized conditions. In this talk, we will discuss our work to date on the growth of In2-xFexO3 thin films grown by pulsed laser deposition with various deposition conditions (oxygen pressure, growth temperature, and Fe-doping) and present the structural, optical, magnetic, and transport properties along with spin-polarization measurements.This work was supported by the Office of Naval Research.
9:00 PM - M4.27
Room Temperature Ferromagnetism in Nanostructured Al/ZnO.
Shijian Chen 1 , Nikhil Medhekar 1 , Jose Garitaonandia 1 2 , Kiyonori Suzuki 1
1 , Monash University, Clayton, Victoria, Australia, 2 , Euskal Herriko Unibertsitatea, Bilbao Spain
Show AbstractZinc oxide (ZnO) is a leading material of choice as a dilute magnetic semiconductor for spintronic applications. In this work, we present a combination of experimental observations and first principles density functional theory simulations to investigate magnetism in ZnO/Al system. Our measurements demonstrate that ball-milling of ZnO nanoparticles with Al leads to ferromagnetism at room-temperature. We show that the origin of this ferromagnetic behavior can be attributed to the chemical adsorption of isolated Al on ZnO surfaces. Our simulations predict that the adsorption of Al only on unique sites on O-terminated ZnO surfaces can give rise to spin-polarized charge transfer. Finally, our X-ray absorption near-edge structures (XANES) measurements further provide the evidence of charge transfer between Al and ZnO surfaces and confirm the origin of ferromagnetic behavior.
9:00 PM - M4.28
Carrier Doping into SrFeO2 Epitaxial Thin Films by Eu-Substitution.
Akira Chikamatsu 1 , Toshiya Matsuyama 1 , Yasushi Hirose 1 2 , Tomoteru Fukumura 1 , Tetsuya Hasegawa 1 2
1 Department of Chemistry, The University of Tokyo , Tokyo Japan, 2 , Kanagawa Academy of Science and Technology, Kawasaki Japan
Show AbstractRecently, SrFeO2 with infinite FeO2 layers of corner-sharing FeO4 square planes has been synthesized by a solid-phase reduction of SrFeO3-δ (0 < δ < 0.5) with CaH2. This compound is an antiferromagnetic insulator with Néel temperature of TN = 473 K, but it is isostructural with an undoped high-TC superconductor cuprate, SrCuO2. Therefore, it is expected that carrier doping into SrFeO2 by cation substitution can develop unique transport properties, such as superconductivity. However, thus far, no such substitution has been reported. In this study, we have fabricated Eu-substituted SrFeO2 (Sr1-xEuxFeO2) epitaxial thin films by pulsed laser deposition (PLD) and investigated their transport properties.Epitaxial thin films of Sr1-xEuxFeO3-δ (x = 0−0.20) were grown on SrTiO3 (001) substrates by PLD method. These precursor films were embedded with CaH2 in an evacuated Pyrex tube in an Ar-filled glove box. The tubes were sealed in vacuum and then kept at 280 °C for 24 hours. The typical thickness of the films was ~150 nm. Crystal structures were confirmed by X-ray diffraction (XRD). Transport properties were measured in the six-terminal geometry.2θ-θ XRD patterns of all the Sr1-xEuxFeO2 films showed a diffraction peak at 2θ ≈ 52° corresponding to the (002) reflection of the infinite-layer SrFeO2, proving that the infinite-layer structure with c-axis orientation was successfully obtained. The resistivity (ρ) of the Sr0.85Eu0.15FeO2 films was as low as ~0.15 Ωcm, which is approximately four orders of magnitude lower than that of the undoped SrFeO2 films (~2×103 Ωcm). In the Sr0.85Eu0.15FeO2 films, the carrier density and Hall mobility at 300 K were evaluated to be 2.5×1019 cm-3 and 0.94 cm2V-1s-1, respectively. The Hall coefficient was negative, indicating that electrons serve as carriers. The ρ versus temperature (ρ–T) curve of the undoped SrFeO2 films exhibited insulating behaviour with a steep negative dρ/dT slope. In contrast, the dρ/dT slopes of the Sr1-xEuxFeO2 films were considerably reduced, and the ρ–T curves of x = 0.10 and x = 0.15 films were almost temperature independent in the range of 50–100 K. However, the ρ increased sharply at <10 K, suggesting that electron localization becomes significant at very low temperatures. We also observed a large negative magnetoresistance of 88% at 2 K in the Sr0.85Eu0.15FeO2 film.
9:00 PM - M4.29
Hetero-Epitaxial Growth of Ferromagnetic Mn Doped ZnO Thin Films on Al2O3 (0001) Substrates with Higher Doping Concentrations Using Dual-Laser Deposition Technique.
Devajyoti Mukherjee 1 , Robert Hyde 1 , Manh-Huong Phan 1 , Nicholas Bingham 1 , Hariharan Srikanth 1 , Pritish Mukherjee 1 , Sarath Witanachchi 1
1 Department of Physics and Center for Integrated Functional Materials, University of South Florida, Tampa, Florida, United States
Show AbstractPulsed laser deposition (PLD) of Mn doped ZnO thin films gained popularity following reports that showed the solubility of Mn into ZnO could exceed the equilibrium limit of 13 %, to reach almost 35 % as a result of the non-equilibrium film growth in PLD process. The research was motivated by the predicted room temperature (RT) ferromagnetism (FM) in ZnO:Mn thin films and their potential applications as spintronic devices. However, contradictory experimental results suggested that the observed RT FM in ZnO:Mn thin films was very sensitive to sample purity, crystalline quality, residual defects and resulting carrier concentrations. Here, the authors present a detailed study of the growth and characterization of hetero-epitaxial ZnO:Mn thin films deposited on Al2O3 (0001) substrates using a novel dual-laser ablation process that combines a pulsed excimer (KrF) and a CO2 laser outputs. The optimum coupling of the laser energies produced a higher plasma plume excitation and ionization of the ablated species leading to enhanced gas phase reaction and better film morphology and crystallinity. ZnO:Mn thin films with low and high Mn concentrations of 2 and 12 at. % were deposited at RT and 600 oC under 10 mT ambient O2 pressure using both dual- and single-laser (KrF only) ablations for comparison. The structural characterization using XRD and AFM revealed that films deposited at RT were mostly amorphous with smaller grains and surface roughness of 4.04 nm while films deposited at 600 oC were highly crystalline in nature with well oriented grain growth and surface roughness of 14.68 nm. XRD scans for the low Mn doped ZnO (2 at. %) films grown at 600 oC using both single and dual-laser ablation did not show any observed peaks from secondary phase formation within the resolution limits of XRD. On the other hand, XRD scans for the highly Mn doped ZnO (12 at. %) films grown at 600 oC using single-laser ablation showed secondary Mn oxide phases when plotted in log-scale intensity. However, ZnO:Mn (12 at. %) films deposited using dual-laser ablation did not show any such impurity phases. Magnetization (M-H) hysteresis loops at 300 K and 10 K for the 2 % ZnO:Mn films revealed FM with saturation magnetization (Ms) values of 1.1 emu/cm3 and 2.3 emu/cm3, respectively. For 12 % ZnO:Mn films grown using single-laser ablation, M-H loops at 300 K did not show FM while M-H loops at 10 K showed FM with Ms values of 2.53 emu/cm3 due to presence of impurity Mn3O4 phase. In contrast, 12 % ZnO:Mn films grown using dual-laser ablation with no impurity-phase contributions exhibited RT FM. A strong correlation between effective carrier densities and the FM was observed. Finally, using both experimental data and theoretical analysis, the FM in amorphous films with high defect densities was described by a bound magnetic polaron model whereas that in highly crystalline and conducting films was consistent with a carrier mediated interaction of Mn2+ via RKKY exchange mechanism.
9:00 PM - M4.3
Electronic Structure of Zn1-xMgxO Alloys.
Marcel Giar 1 , Markus Heinemann 1 , Christian Franz 1 , Christian Heiliger 1
1 I. Physikalisches Institut, Justus-Liebig-University Giessen, Giessen, Hessen, Germany
Show AbstractWe investigate the electronic structure of the ternary alloy Zn1-xMgxO by first principles. We combine several approaches for the solution of the DFT Kohn-Sham equations including the LDA-PAW/Pseudopotential-, the KKR-, and the GW-method to compute the electronic properties. The calculations feature bandstructures, effective masses, Bloch-spectral functions and k.p-parameters. We employ a supercell approach thereby obtaining substitutional alloys. We further use the Coherent Potential Approximation (CPA) within the KKR-scheme to explicitly account for disordered alloys. Results from both approximations to alloy structures are compared. The electronic computations are based on relaxed lattice structures from supercell calculations conducted in advance.
9:00 PM - M4.30
Influence of Stress and Point Defects on the Metal Insulator Transition Characteristics in SmNiO3 Thin Films.
Viswanath Balakrishnan 1 , Sieu Ha 1 , Shriram Ramanathan 1
1 School of Engineering and Applied Sciences, Harvard University, Cambridge, Massachusetts, United States
Show AbstractCorrelated oxide semiconductors are gaining interest as components in electron devices exploiting collective phenomena. The role of synthesis conditions, particularly those that affect stress states and point defect concentrations are of significant relevance to controlling functional properties including metal-insulator transition characteristics. In this presentation, we focus on SmNiO3, a rare earth nickelate that undergoes metal-insulator transition above 120oC that makes it a rather interesting candidate for integration with CMOS electronics. Structural distortion and associated strain relaxation across the metal-insulator transition in epitaxial and polycrystalline SmNiO3 thin films grown on LaAlO3 (100) and Si (100) single crystals have been investigated in-situ. The effect of epitaxial film thickness on the metal-insulator transition characteristics such as transition temperature is studied systematically. In-situ electron diffraction along with HREM imaging of SmNiO3/LaAlO3 interfaces, wafer curvature studies and electrical transport measurements spanning metal insulator transition will be presented to establish direct structure-property relation in SmNiO3 thin films.
9:00 PM - M4.31
The Formation of Alkaline Earth Template Layers for Oxide Heteroepitaxy on Semiconductor (100) Surfaces.
Boris Lukanov 1 2 , Kevin Garrity 2 3 , James Reiner 2 3 , Fred Walker 2 3 , Sohrab Ismail-Beigi 2 3 , Eric Altman 2 4
1 Mechanical Engineering and Materials Science, Yale University, New Haven, Connecticut, United States, 2 Center for Research on Interface Structures and Phenomena, Yale University, New Haven, Connecticut, United States, 3 Applied Physics, Yale University, New Haven, Connecticut, United States, 4 Chemical and Environmental Engineering, Yale University, New Haven, Connecticut, United States
Show AbstractThe ability to epitaxially grow alkaline earth titanates on Si and Ge(100) with atomically abrupt titanate/semiconductor interfaces has spurred research into exploiting these heterostructures for high-k gate dielectrics, and for integrating new functionality into traditional semiconductor devices. Attempts to epitaxially grow other oxides with similarly abrupt interfaces have thus far failed. It has been suggested that the initial interfacial layer formed by the reaction between the alkaline earths and Si and Ge is key to the success achieved with the alkaline earth titanates; therefore, we have been studying the interaction of Sr and Ba with Ge(100) and Si(100) using scanning tunneling microscopy (STM) in conjunction with electron diffraction and density functional theory (DFT). At elevated temperatures, both Sr and Ba cause massive restructuring of the Ge surface. On the atomic scale, a series of phase transitions are seen with STM as the coverage is increased, including transitions between structures characterized by (3×4), (3×2), (9×1) and (6×1) periodicities. The images of the (3×4) and (3×2) structures vary dramatically with bias voltage, which allowed structural models of the surfaces to be deduced based on comparison of the images with DFT predictions. On a larger scale, each phase transition is accompanied by drastic changes in the surface morphology that can only be explained by mass transfer induced by the formation of an alloy surface. In addition, Sr and Ba induced self-organization of ordered arrays of islands and trenches on the Ge (100) surface. In these structures, double height steps prevent orthogonal domain formation, resulting in unidirectional self-organization extending thousands of Ångstroms. This striking long-range ordering can be associated with strain relief of the Sr-Ge and Ba-Ge surface alloys.
9:00 PM - M4.32
Comparative Study of Vanadium Dioxide Thin Films Grown on c-, r-, and m-Plane Sapphire Substrates.
Yong Zhao 1 , Changhong Chen 1 2 , Joon Hwan Lee 3 , M. Nazari 4 , A. Bernussi 1 , Haiyan Wang 3 , Mark Holtz 4 , Zhaoyang Fan 1
1 Electrical Engineering, Texas Tech University, Lubbock, Texas, United States, 2 , Huazhong University of Science and Technology, Wuhan China, 3 Electrical Engineering, Texas A&M University, College Station, Texas, United States, 4 Physics, Texas Tech University, Lubbock, Texas, United States
Show AbstractVanadium dioxide (VO2) thin films were deposited on c-cut (0001), r-cut (1-102) and m-cut (10-10) sapphire substrates by reactive magnetron sputtering. Their structural and metal-insulator transition (MIT) properties were compared based on X-ray diffraction, scanning electron microscopy, transmission electron microscopy, Raman spectroscopy, and electrical characterization. On c-sapphire, the films are determined to be epitaxial films, with epitaxial relationships as VO2 (010) ∥ Al2O3(0001) and VO2 [-202] ∥ Al2O3[11-20]; on r-sapphire, grain structure with two orientations, (200) and (-211), was observed. For (200) oriented grains, the epitaxial relationships are VO2(200) ∥ Al2O3(-1102) and VO2[011] ∥ Al2O3[2-201], while for (-211) oriented grains, they are VO2(-211) ∥ Al2O3(-1102) and VO2[011] ∥ Al2O3[2-201]; on m-cut sapphire, samples are poly-crystalline with high surface roughness which is obviously different from the ones on c- and r- sapphire. The MIT temperature TC, hysteresis window TH and resistivity change amplitude Δρ were carefully analyzed. Among the tested samples, the one on r-sapphire underwent the largest resistivity change by ~ 5 orders with the narrowest hysteresis window (TH≈3.9°C), and the one on c-sapphire has the highest transition temperature (TC=346.8°C), while the m-cut sample shows the lowest TC (339.1 °C), which is close to that of bulk VO2. The special morphology of m-cut sample could help release the intrinsic stress and strain in thin films, and therefore lower the TC. Our findings could benefit applications such as electrical switching and optical modulations based on MIT of VO2 thin films grown on sapphire substrates.
9:00 PM - M4.33
Thickness Dependence of Surface Diffusion in Epitaxial LaAlO3 and EuTiO3 on SrTiO3.
Timur Babakol 1 3 , John Ferguson 2 3 , Yongsam Kim 1 3 , Arthur Woll 4 , Joel Brock 1 3
1 Applied Physics, Cornell University, Ithaca, New York, United States, 3 Cornell Center for Materials Research, Cornell University, Ithaca, New York, United States, 2 Material Science and Engineering, Cornell University, Ithaca, New York, United States, 4 Cornell High Energy Synchrotron Source, Cornell University, Ithaca, New York, United States
Show AbstractThe heteroepitaxial LaAlO3/SrTiO3 and EuTiO3/SrTiO3 thin film material systems were studied using in-situ, simultaneous x-ray diffuse scattering and specular reflectivity during pulsed laser deposition. Using this method, we are able to measure the time dependence of the characteristic surface length scale and the characteristic time for both inter- and intra-layer diffusion. These data allow for the determination of the activation energy for various diffusion processes as a function of film (LaAlO3, EuTiO3) thickness. We show that the diffusion barrier is the largest for the intra-layer transport of LaAlO3 on the SrTiO3 substrate. Additionally, we show that the diffusion behavior for the inter-layer transport of the first monolayer is distinctly different than that of subsequent layers. These results are directly compared to previous experimental observations seen during homoepitaxial SrTiO3; in particular, EuTiO3 shows similar diffusivity as the SrTiO3 film.¬
9:00 PM - M4.34
Finite Size and Doping Effects on the Phase Diagram and Metal-insulator Transitions of Tungsten- and Molybdenum-Doped Vanadium(IV) Oxide Nanostructures.
Luisa Whittaker 1 , Tailung Wu 2 , Christopher Patridge 1 , Ganapathy Sambandamurthy 2 , Sarbajit Banerjee 1
1 Chemistry, University at Buffalo, Buffalo, New York, United States, 2 Physics, University at Buffalo, Buffalo, New York, United States
Show AbstractThe peculiarities in the electronic structure of the seemingly simple binary vanadium oxide VO2, as manifested in a pronounced metal—insulator phase transition in proximity to room temperature, enjoys near-iconic status in physical chemistry and condensed matter physics as one of the original (and still most cherished!) examples of the consequences of coupled orbital and lattice instabilities mediated by strong electronic correlations. The macroscopic manifestations of electronic and lattice instabilities in VO2 are truly breathtaking—discontinuous jumps in electrical conductivity and optical transmittance that can span 5 orders of magnitude at temperatures in reasonable proximity to room temperature (67 °C in the bulk). Less-pronounced but equally discontinuous alterations are noted at the phase-transition temperature for other physical properties such as the magnetic susceptibility, specific heat, and the Seebeck coefficient. Such properties make VO2 a suitable material for Mott field-effect transistors, optical switching devices, thermochromic coatings, and electronic devices exhibiting sharp thresholdlike variation of electrical and optical properties in response to external stimuli such as temperature and voltage. Geometric confinement, substrate interactions, and varying defect densities of VO2 nanostructures gives rise to an electronic and structural phase diagram that is substantially altered from the bulk. Herein, we report two distinct hydrothermal approaches for the synthesis of 1D single-crystalline VO2 nanostructures exhibiting a substantial diminution in the metal-insulator phase transition temperature based on a) the hydrothermal hydration, exfoliation, and recrystallization of bulk V2O4 and b) the hydrothermal reduction and doping of V2O5 using small-molecule reducing agents and tungsten and molybdenum precursors. We note here some distinctive finite size effects on the relative phase stabilities of insulating (monoclinic) and metallic (tetragonal) phases of solid-solution WxV1−xO2. Ensemble differential scanning calorimetry and individual nanobelt electrical transport measurements suggest a pronounced hysteresis between metal → insulator and insulator → metal phase transformations. Notably, the depression in phase transition temperature saturates at a relatively low dopant concentration in the nanobelts, thought to be associated with the specific sites occupied by the tungsten substitutional dopants in these structures. The marked deviations from bulk behavior are rationalized in terms of a percolative model of the phase transition taking into account the nucleation of locally tetragonal domains and enhanced carrier delocalization that accompany W6+ doping in the WxV1−xO2 nanobelts. We postulate that design principles extracted from fundamental understanding of phase transitions in nanostructures will allow the predictive and rational design of systems with tunable charge and spin ordering.
9:00 PM - M4.35
Polarization Dependent Metal/LaAlO3/SrTiO3 Capacitors.
Guneeta Singh Bhalla 1 4 , Eric Zhou 3 4 , Christopher Bell 2 , Harold Hwang 2 , Sayeef Salahuddin 5 , Ramamoorthy Ramesh 1 4
1 Material Science Division, Lawrence Berkeley National Laboratory, Berkeley, California, United States, 4 Department of Physics and Deparment of Materials Science and Engineering, University of California, Berkeley, California, United States, 3 Department of Mechanical Engineering, University of California, Berkeley, California, United States, 2 Department of Applied Physics, Stanford University, Stanford, California, United States, 5 Department of Electrical Engineering and Computer Science, University of California, Berkeley, California, United States
Show AbstractSpurred by the potential for high speed nanoscale electronics, recent explorations of the field effect across metal/LaAlO3/SrTiO3-electron-gas junctions have shed new light on our understanding of polar oxide heterostructures. Cross-plane measurements have especially highlighted similarities between LaAlO3/SrTiO3, polar III-IV and II-VI wurzite semiconductor device systems. Current-voltage measurements for instance reveal signatures of the ionic built-in potential across singly terminated LaAlO3, while capacitance measurements display both hints of dipole switching and negative compressibility of the SrTiO3 electron gas. Here we analyze the complex impedance between the electron gas and a top metal electrode on the LaAlO3 as a function of gate voltage, frequency, LaAlO3 thickness and temperature. We find that the built-in potential across LaAlO3 can be manipulated by changing the LaAlO3 thickness, offering a mechanism for tuning the threshold voltage for electron gas depletion in this metal-insulator-semiconductor like structure. Appropriate models for inferring capacitance across the metal/LaAlO3/SrTiO3 heterostructure from the measured impedance are discussed. Furthermore, important implications of our findings in light of recent reports of large capacitance enhancements and over-screening by the electron gas are also discussed.
9:00 PM - M4.36
Semiconductor to Metal Transition and Room Temperature Ferromagnetism in Vanadium Oxide (VO2) Thin Films: Role of Defects.
Alok Gupta 1 , Sudhakar Nori 1 , Jagdish Narayan 1
1 , North Carolina State University, Raleigh, North Carolina, United States
Show AbstractRecent research efforts focused on room temperature ferromagnetism (RTFM) in oxide-based dilute magnetic semiconductors (such as ZnO) have received great attention due to their potential applications in spintronic devices. Vanadium oxide (VO2) is an interesting material that exhibits a sharp semiconductor to metal transition near 680C. Although, VO2 is known to be diamagnetic, it has been shown experimentallty that the stoichiometry related defects could lead to RTFM in thin films adding to the multifuctionality of potential VO2-based sensor or memory devices. In our studies we have systematically shown RTFM in undoped VO2 thin films could be enhanced upon annealing under vacuum while maintaining the extremely good crystalline quality. VO2 thin films were deposited on Al2O3(0001) substrates by pulsed laser deposition technique. Detailed X-ray diffraction, Raman spectroscopy, X-ray photoelectron spectroscopy, and SQUID magnetometry studies were performed on as-deposited and vacuum-annealed films. Our experimental observations suggest that upon vacuum annealing oxygen vacancy concentration was enhanced leading to a compressive strain relaxation in the films as exhibited by XRD and Raman peak shift. Our results from SQUID measurements will also be presented which suggest that increase in oxygen vacancy concentration leads to an enhancement of magnetic moment in the thin films. Finally, we will present our results from resistivity versus temperature studies which exhibit large modifications in semiconductor-to-metal transition characteristics upon vacuum annealing and illucidate the role of defects in the transition characteristics.
9:00 PM - M4.37
Probing Compositional Disorder in Correlated Oxides through Variable Temperature Capacitance Spectroscopy and Correlation with Metal-Insulator Transition Characteristics.
Changhyun Ko 1 , You Zhou 1 , Zheng Yang 1 , Shriram Ramanathan 1
1 School of Engineering and Applied Sciences, Harvard University, Cambridge, Massachusetts, United States
Show AbstractVanadium dioxide (VO2) has been an intensively researched oxide material as a model system to investigate the physical origin of metal-insulator transition (MIT) in correlated oxide systems since the abrupt changes in conductivity and optical transmittance occur at near room temperature (~ 67°C). Further, it has been also of great interest to utilize this MIT phenomenon of VO2 in various applications from electro-optic devices and sensors to advanced data storage technology since the electronic states are switchable by adjusting diverse external stimuli. However, the multivalency of vanadium ions leads to the existence of numerous vanadium oxide (VOx) phases and accordingly, the detailed control of synthesis parameters such as temperature and gas composition is required to grow high quality VO2 films by sputtering technique minimizing the content of different stoichiometric phases. Also, it is of importance to investigate how to tune, by controlling oxygen non-stoichiometry of VOx films, the device characteristics such as surface work function and dielectric properties that are important parameters in designing electronic devices. We investigate the effect of oxidation state of VOx films on capacitance-voltage characteristics of HfO2/VOx/HfO2/n-Si metal-oxide semiconductor (MOS). The temperature dependence of capacitance was also considered to observe the influence of non-stoichiometry on MIT-driven dielectric property change. We show that the measured capacitance is affected by film composition with direct correlation to resistance change across MIT in identical films. The capacitance-voltage characteristics are then compared with the resistance ratio across the transition. These results are of relevance to understanding fundamental electronic and dielectric properties of vanadium oxide as well as advancing phase transition electronics incorporating complex oxides containing multi-valent cations.
9:00 PM - M4.38
Comparing Spatial Profiles of Structural Disorder and Resulting Optoelectronic Modifications Using a Non-Invasive Optical Probe.
Andrew Steigerwald 1 , Anothony Hmelo 1 , Kalman Varga 1 , Leonard Feldman 1 2 , Norman Tolk 1
1 , Vanderbilt University, Nashville, Tennessee, United States, 2 Institute of Advanced Materials, Devices, and Nanotechnology, Rutgers Unitversity, New Brunswick, New Jersey, United States
Show AbstractA semiconductor’s optoelectronic properties are sensitively dependent on the presence of point defects and more complicated lattice disorder. Generally, defects modify the electronic nature of a semiconductor via (a) states in the forbidden gap or (b) strain fields surrounding disorder. While the former is well studied in many systems, understanding the relationship between strain, structural disorder, and optoelectronic modification is still unclear. Here we employ an optical technique known as coherent acoustic phonon spectroscopy to directly measure optical modifications arising from significant lattice disorder as a function of depth. We first present studies of ion-irradiated GaAs demonstrating that profiles of near band edge modification have widths far exceed structural damage profiles, reflecting the sensitivity of the optoelectronic structure to lattice disorder. We show that the effective damage cross-section for optical modification is nearly 100x larger than structural damage. We extend the principles developed in our previous study to irradiated TiO2/SrTiO3 structures, demonstrating that the optical technique is a sensitive probe of optoelectronic modifications caused by various defects in the oxide heterostructures.
9:00 PM - M4.39
Impact of Fabrication Conditions on the Dielectric Function of VO2 Thin Films.
Robert Marvel 1 , Coty McClure 1 , Davon Ferrara 2 , Richard Haglund 2
1 Interdisciplinary Program in Materials Science, Vanderbilt University, Nashville, Tennessee, United States, 2 Department of Physics, Vanderbilt University, Nashville, Tennessee, United States
Show AbstractVanadium dioxide (VO2) is a strongly-correlated electron material with a well-known semiconductor-to-metal transition (SMT) that can be induced thermally (Tc = 68°C), optically, or electrically. Recently, VO2 films have attracted attention as a component in active metamaterials and in chemical sensors, especially in conjunction with metal nanostructures with plasmonic or catalytic functionalities. Since the properties of these nanocomposite structures are highly sensitive to the dielectric properties of the embedding material, the SMT of VO2 can be used to control the optical response of the structure. Accurately modeling the wavelength and intensity dependent behavior of these structures requires detailed knowledge of the dielectric function of VO2 as it undergoes the SMT. However, previous measurements of the optical constants of VO2 reveal significant variations between experiments that appear to be related to fabrication conditions. To understand systematic variations due to growth conditions, films of VO2 were deposited on either silicon, glass, or sapphire substrates by room-temperature pulsed laser ablation of vanadium metal targets in 10 mTorr oxygen background gas, followed by annealing at 450°C in 250 mTorr of O2. Anneal times were varied from 30 to 90 minutes depending on film thickness; deposition thickness was varied from 20 nm to 200 nm. Films were also deposited on the above-mentioned substrates by RF magnetron sputtering to allow comparison of optical constants between deposition processes. For each sample, temperature-dependent spectroscopic ellipsometry measurements at optical and near-infrared wavelengths were conducted to determine the dependence of the optical constants on film thickness, substrate, temperature and deposition method.The effective dielectric functions were modeled using Lorentz and Tauc-Lorentz oscillators and verified by reflection and transmission measurements. Our results show that the relative contribution of V2O5 to the effective dielectric function increases with annealing time and decreases with film thickness, consistent with previous qualitative studies. The results are also substantiated using Rutherford backscattering, X-ray photoelectron spectroscopy and X-ray diffraction.Research partially supported by the Defense Threat Reduction Agency under Grant HDTRA1-10-01-0047.
9:00 PM - M4.4
Affect of Film Thickness on the Blue Emission from ZnO Grown via Thermal Oxidation of Zn-Metal Films.
James Moore 1 , Laura Covington 1 , Ryan Stansell 1
1 Department of Chemistry and Physics, Coastal Carolina University, Conway, South Carolina, United States
Show AbstractWe have investigated the photoluminescence properties of ZnO thin-films grown via the thermal oxidation of Zn-metal at various temperatures and film thicknesses. Zinc-metal films where grown on sapphire substrates via dc-sputter deposition at room temperature with subsequent thermal annealing in air at 300°, 600°, 900°C and 1200°C for up to 24 hours. The resulting ZnO thin-films had thicknesses ranging from 100 nm to 400 nm as measured via reflectometry and atomic force microscopy (AFM). X-ray diffraction spectra indicate that after annealing, the resulting ZnO films possess a polycrystalline hexagonal wurtzite structure without a preferred orientation. A relatively uniform grain size across orientations of between 50-100 nm was observed via the Scherer formula and AFM, with variations depending on annealing temperature. Specifically, higher temperatures resulted in a decrease in grain size. Room-temperature photoluminescence spectra indicate four emission bands, excitonic ultraviolet (UV), blue, and deep-level green and yellow emission. Films annealed at 300°C exhibited the strongest UV emission intensity and lowest blue and deep-level emission. Increasing deep-level green emission was observed with increasing annealing temperature, which may be attributed to the generation of oxygen vacancies and interstitial oxygen ions in the bulk at higher temperatures. Interestingly, blue emission was seen to increase with decreasing film thickness, whereas green band emission decreased with decreasing film thickness. This may indicate that the origin of the blue emission is related to Zinc interstitials found within the deletion region near the surface.
9:00 PM - M4.40
Thermal Stable Paper-Based Piezoelectric Nanogenerators.
Kwon-Ho Kim 1 , Keun Young Lee 1 , Ju-Seok Seo 1 , Brijesh Kumar 1 , Sang-Woo Kim 1 2
1 School of Advanced Materials Science & Engineering, Sungkyunkwan University, Suwon Korea (the Republic of), 2 SKKU Advanced Institute of Nanotechnology (SAINT), Sungkyunkwan University, Suwon Korea (the Republic of)
Show AbstractCompact, lightweight, and low power consumption have become an issue with the interest in portable devices. In order to reduce weight and power consumption, energy harvesting such as photovoltaic, thermoelectric, and piezoelectric system has been a lot of attention. Among them, unlike photovoltaic, piezoelectric energy harvesters that can convert mechanical energy into electrical energy have attracted strong attention because there is no limit of time and space. Polymer substrate has been applied to various portable devices because of foldable, bendable, and stretchable properties. However, polymer substrate is vulnerable to heat because of the high coefficient of thermal expansion (CTE). To complement this, cellulose can be a good alternative because of thermally stable (10x10-6 K-1) than polymer substrate, foldable, lightweight, inexpensive, recyclable, environment-friendly. In this work, we report the first use of cellulose paper as a substrate to realize foldable and thermally stable piezoelectric energy harvesting nanodevices. Due to the outstanding mechanical properties of the cellulose substrate, the paper nanogenerators proved to be electrically and structurally stable under external mechanical loads, such as bending and folding. Furthermore, the cellulose paper substrate with its low CTE value and high thermal stability demonstrated the potential of paper nanogenerators with superior charge scavenging performance under thermally harsh conditions.
9:00 PM - M4.5
Auger Recombination Rates in ZnMgO from First Principles.
Markus Heinemann 1 , Christian Heiliger 1
1 I. Physikalisches Institut, Justus-Liebig-University, Giessen Germany
Show AbstractWe investigate direct electron-electron-hole interband Auger recombination for wurtzite ZnMgO alloys for Mg concentrations in the range from 0 to 100%. Recombination rates are computed by interpolating the band structure and transition matrix elements from ab initio calculations of bulk ZnO, Zn0.5Mg0.5O, and MgO primitive cells. We find that interband Auger recombination is most probable for Mg concentrations around 50% where ZnMgO does not exist in a stable wurtzite phase. Since for low Mg concentrations the calculated Auger coefficients are far below 10-32 cm6/s we do not expect significant nonradiative loss through interband recombination in wurtzite ZnMgO.
9:00 PM - M4.6
Electronic and Optical Properties of Cu2O.
Markus Heinemann 1 , Daniel Reppin 1 , Andreas Laufer 1 , Sviatoslav Shokhovets 2 , Angelika Polity 1 , Detlev Hofmann 1 , Bruno Meyer 1 , Christian Heiliger 1
1 I. Physikalisches Institut, Justus-Liebig-University, Giessen Germany, 2 Institut für Physik, Technische Universität Illmenau, Illmenau Germany
Show AbstractWe present theoretical and experimental investigations of electronic and optical properties of the p-type semiconductor Cuprous oxide. The electronic structure of Cu2O is calculated ab initio using the GW approximation within the framework of density functional theory. Optical and electronic properties are further studied by measurements on sputtered Cu2O thin films. We find that films that are annealed under nitrogen flow in the range of 400 to 930 °C for ten minutes show a reduced carrier concentration and a higher mobility and band gap. The dielectric function is measured by spectroscopic ellipsometry and shows distinct structures at energies of 2.13, 2.35, 2.57, and 2.70 eV. We compare these measurements with first principles calculations.
9:00 PM - M4.8
Universal Features in Band Structures of Crystalline and Amorphous Transparent Conducting Oxides.
Youngho Kang 1 , Sang Ho Jeon 1 , Young-Woo Son 2 , Sangyoon Lee 3 , Myungkwan Ryu 3 , Seungwu Han 1
1 , Seoul National University, Seoul Korea (the Republic of), 2 , Korea Institute for Advanced Study, Seoul Korea (the Republic of), 3 , Samsung Advanced Institute of Technology, Samsung Electronics Co., Ltd., Seoul Korea (the Republic of)
Show AbstractTransparent conducting oxides (TCOs) such as ZnO, In2O3, and SnO2, are well known for the unique combination of opposing material properties of the high conductivity and optical transparency, which enables their wide use in various display and energy devices. Recently, the amorphous phase of compound TCOs such as InGaZnO4 has been actively studied for applications to channel layer in TFT used in next-generation display. In spite of the atomic disorder inherent in the amorphous phase, the electron mobility is comparable to those in typical crystalline materials. Several first-principles calculations have been performed and it has been found that the band structures in these materials are all similar. Furthermore, the pseudo-band structure of the amorphous phase also show a crystalline band structures, implying that the Bloch vector could be a good quantum number in the amorphous phase in spite of the atomic disorder. Even though it has been speculated that s orbitals of metal atoms contribute to the insensitivity to the atomic disorder, full microscopic analysis has not been carried out yet. In this study, using a tight-binding model, we analyzed the electronic structures of conduction bands in various TCOs. We find that the O p orbital plays an important role in the band structure, particularly for high concentration of electrons. We explicitly prove that as long as the local order around cations are preserved as in crystalline phase, the extended Bloch states correspond to the eigenstates of the Hamiltonian even in the amorphous phase. The constructed Hamiltonian has a universal form and the energy dispersion relation resembles that of massive Dirac particles. At high electron concentrations, the energy band is well approximated as a three-dimensional linear band. The increase of the electron mobility with carrier concentrations is explained on the basis of the linear band structure.
9:00 PM - M4.9
Intrinsic Paramagnetic Defects in Zirconium and Hafnium Oxide Films.
Robert Schwartz 1 2 , Heinrich Muller 1 , James Barrie 1 , Paul Adams 1 , Ronald Lacoe 1
1 Physical Science Laboratory, The Aerospace Corporation, El Segundo, California, United States, 2 Electrical Engineering Department, University of California, Los Angeles, Los Angeles, California, United States
Show AbstractIn recent years, oxides of Group IVA transition metals, Ti, Zr, and Hf have become some of the most investigated materials. It is well established that material properties are strongly influenced by the presence of intrinsic point defects/color centers. Therefore, an in-depth understanding of point defects, at the atomic level, is crucial for evaluating the performance of a material in specific electrical and optical devices, as well as, for developing material fabrication techniques to eliminate them. Here we report on thin films of zirconium and hafnium oxides deposited under similar conditions on fused silica substrates and investigated by electron paramagnetic resonance (EPR) spectroscopy, x-ray diffraction (XRD) techniques, atomic force microscopy (AFM) and optical absorption. The measurements provide evidence for self-trapped oxygenic hole-centers in hafnium oxide, whereas for zirconium oxide, the dominant intrinsic defects result from electron-trapping at oxygen vacancies. Thin films of zirconium oxide (ZrOx) and hafnium oxide (HfOx) were RF-sputtered onto fused silica substrates. Measurement of the EPR characteristics of the ZrOx films indicated two peaks corresponding to two orientations of the magnetic field (normal to surface and in plane of film). This anisotropic response suggested the films were polycrystalline with a preferred orientation (textured). This was confirmed by XRD pole figures. The measured g-values for the ZrOx films were less than the free-electron value, indicating the defects corresponded to electron traps. We have also observed that the deposition conditions strongly influence film characteristics such as optical transmission, film roughness, and paramagnetic defect concentration. Hafnium oxide thin films were also characterized by EPR. The measurements indicated the presence of a single resonance peak, suggesting these films were polycrystalline without a preferred orientation. The g-value for these films was greater than that for free electrons, indicating the presence of self-trapped oxygen hole centers. These results will be discussed in the context of prior experimental and theoretical work on these systems and similar systems such as titanium oxides.Finally, a novel method for characterizing the optical absorption properties of these films was developed. This approach creates a waveguide structure using the oxide of interest and measures the spectral dependence of the output. In addition, with this configuration the waveguide structure can be simultaneously irradiated with another optical source (e.g., UV lamp). This technique will be discussed in detail, and example results for Zr(1-x)SixO2 will be presented for a UV-activated defect (oxygenic-hole center) that can be quenched by red light.This work was supported by The Aerospace Corporation’s Independent Research and Development Program.
Symposium Organizers
Steve Durbin State University of New York at Buffalo
Jamie Phillips University of Michigan
Tim Veal University of Warwick
Marius Grundmann Universitaet Leipzig
M5: ZnO and Related Materials: Defects and Doping II
Session Chairs
Andre Schleife
Timothy Veal
Tuesday AM, November 29, 2011
Ballroom C (Hynes)
9:30 AM - **M5.1
Doping and Defects in Wide-Band-Gap Oxide Semiconductors.
Anderson Janotti 1 , Chris Van de Walle 1
1 , UCSB, Santa Barbara, California, United States
Show AbstractWide-band-gap oxides are promising materials for semiconductor applications. They come in diverse crystal structures, are available as large single crystals, and show a range of optical and electronic properties. As examples, ZnO show strong luminescence, promising for optoelectronic devices; the band structure of ZnO, SnO2, and Ga2O3 make them promising transparent conductors for transparent electronics, solar cells, and solid-state-lighting applications; TiO2 has been extensively investigated for photocatalysis; and the observation of record high electron mobilities in SrTiO3 has been fuelling the interest in 2D electron gas based on complex oxide heterostructures. However, controlling the conductivity is still a major challenge, and the role of native defects versus impurities in the electronic and optical properties of oxide semiconductors is matter of heated debate. In this talk we will address the physics of defects and doping in wide-band-gap oxides from the perspective of first-principles calculations. We will discuss the electronic properties of native defects and their impact on doping. Among the impurities, hydrogen is perhaps the most important since it is present in almost growth and processing environments. We will discuss the possible configurations hydrogen can assume in these oxides, the effects on conductivity, and the interaction with native defects. Finally, we will discuss other prominent donor impurities and will address the prospects of p-type doping.
10:00 AM - M5.2
Zn Vacancy – Group I Impurity Complexes in ZnO.
Filip Tuomisto 1 , Asier Zubiaga 1 , Ilja Makkonen 1 , Klaus Johansen 2 , Pekka Neuvonen 2 , Knut Knutsen 2 , Andrej Kuznetsov 2 , Bengt Svensson 2
1 Department of Applied Physics, Aalto University, Aalto Finland, 2 Centre for Materials Science and Nanotechnology, University of Oslo, Oslo Norway
Show AbstractThe quest for mass-production-ready p-type ZnO has continued for more than a decade, and various candidates for shallow acceptors have been studied. The focus has been on two main groups, namely substitutional impurities from group I (Li, Na, substituting for Zn) and group V (N, P, As, Sb, substituting for O). Many reports of p-type conductivity have been published in the past years, and at least as many reports, based on both experimental and theoretical considerations, explaining why so many attempts to obtain p-type ZnO have failed: it turns out that the acceptor-like impurities tend to create deep states in the gap and compensate the residual, possibly H-induced, n-type conductivity of ZnO. In this contribution we present recent results obtained with positron annihilation spectroscopy on the Zn vacancy – group I impurity (H, Li, Na) complexes in single-crystal ZnO. The impurities and intrinsic defects have been introduced either during growth or by post-growth implantation processing. We will discuss the behaviour of these defects and defect complexes in various processing conditions and their possible interactions with other defects in the lattice. We show that the Zn vacancy acts as an important trap for the group I impurities, making the substitutional Zn site the preferred site for Li and Na [1, 2].[1] P. T. Neuvonen et al., Appl. Phys. Lett. 95, 242111 (2009).[2] K. M. Johansen et al., Phys. Rev. B, in press.
10:15 AM - M5.3
Defect Characterization on ZnMgO Nanocubes with Rocksalt Structure.
Oliver Diwald 1 , Slavica Stankic 2 , Andreas Sternig 1 , Fabio Finocchi 2 , Johannes Bernardi 3
1 Chemical and Bioengineering, University of Erlangen-Nuremberg, Erlangen Germany, 2 Institut des Nanosciences de Paris, CNRS and Universite Paris , Paris France, 3 University Service Center for Transmission Electron Microscopy, Vienna University of Technology, Vienna Austria
Show AbstractPowders of oxide nanocubes with adjustable electronic properties in the range between insulating and semiconducting materials are promising components for photoelectronic applications that benefit from tunability of optical and surface chemical properties and the ease of realization of their controlled assembly. Here, we demonstrate that combustion of zinc and magnesium metal vapors at reduced pressures inside a flow reactor system followed by subsequent vacuum annealing yields single crystalline ZnMgO nanocubes of exceptional regular cubic shape and edge lengths below 25 nm. In line with ab initio calculations predicting preferential Zn ion segregation into low coordinated surface elements we spectroscopically tracked the occupation of MgO nanocube edges with zinc ions.[1] In the realm of the unsupported oxide nanostructures this study presents for the first time a self-organization approach which provides Zn-O chains replacing MgO edges. The impact of the respective functionalization approach on the spectroscopic properties of the oxide nanocube ensembles will be discussed.[2][1]S. Stankic, A. Sternig, F. Finocchi, J. Bernardi, O. Diwald, Nanotechnology, 21 (2010) 355603.[2]M. Muller, S. Stankic, O. Diwald, E. Knoezinger, P.V. Sushko, P.E. Trevisanutto, A.L. Shluger, J. Am. Chem. Soc. 129 (2007) 12491.
10:30 AM - M5.4
Impact of Mg on Native Point Defect Densities and Depth Distributions in ZnO, MgxZn1-xO, and MgO.
Snjezana Balaz 1 , Ziqing Duan 2 , Yicheng Lu 2 , Leonard Brillson 1
1 Electrical and Computer Engineering, The Ohio State University, Columbus, Ohio, United States, 2 Electrical and Computer Engineering, Rutgers University, Piscataway, New Jersey, United States
Show AbstractWe used depth-resolved cathodoluminescence spectroscopy (DRCLS) at 80 K to measure the native point defect density distributions, energetics, and influence of Mg in ZnO, its related alloy, MgxZn1-xO (x~0.05) and MgO with two different orientations: (111) and (100). ZnO and MgxZn1-xO are a-plane and grown on R-sapphire substrate by metal-organic chemical vapor deposition (MOCVD) where ZnO is ~300 nm and MgxZn1-xO is ~500 nm thick while MgO(111) and (100) are as received from Crystec. We see defect segregation at free surfaces on a-plane ZnO and MgxZn1-xO which suggests that the previous results [1] showing the defect accumulation at Zn-polar and O-polar surfaces commonly observed by DRCLS, capacitance vs. depth, and trap spectroscopies are not due to polar fields which further highlights the importance of thermodynamic factors. The defect densities, derived from DRCLS intensities relative to the near band edge emission (NBE), increase in MgxZn1-xO within the outer 20 nm ensuing ~ 3.5 times relative to the bulk which is similar to the ~ 3 times increase in ZnO. However, the defects are 20 times higher for ZnO than MgxZn1-xO near the free surface as well as in the bulk. This is consistent with the lower defect densities measured by sub-threshold swing values of the same MgxZn1-xO vs. ZnO in thin film transistor (TFT) structures [2] and confirms the major suppression of native point defects by Mg incorporation. Significantly, these defects appear related to Zn vacancies rather than O vacancies. DRCLS also reveals MgO-specific defects in MgZnO alloys. MgO defect emissions at 1.44, 1.59, 1.82, 2.6, and 5.3 eV correlate with the F2+ center, P center, V2- center, F2 and F2 center complement, respectively [3]. Defect features at 1.75, 1.9, and 2.04 eV in ZnO are unchanged with the larger band gap in MgxZn1-xO (3.38 vs. 3.52 eV), while MgxZn1-xO exhibits an additional defect at 2.94 eV. MgO exhibits an orientation dependence of peaks at 2.6 eV (F2+-related) and 3.95 eV that appear only for (100) and not for (111) surfaces. In general, these results highlight the reduction in ZnO native point defects with the introduction of Mg, while introducing no additional MgO-related defects within the ZnO band gap.[1] Y. Dong, Z-Q. Fang, D. C. Look, G. Cantwell, J. Zhang, J. J. Song, and L. J. Brillson Appl. Phys. Lett. 93, (2008) 072111. [2] C-J Ku, Ziqing Duan, P. I. Reyes, Y. Lu, Y. Xu, C.-L. Hsueh, and E. Garfunkel Appl. Phys. Lett. 98, (2011) 123511.[3] A. Gibson and R. Haydock, Phys. Rev. B 50, (1994) 2582.
10:45 AM - M5.5
Vacancy-Interstitial Biradical Defects in Electron-Irradiated ZnO.
Nguyen Son 1 , Junichi Isoya 2 , Takeshi Ohshima 3 , Rositza Yakimova 1 , Erik Janzen 1
1 Department of Physics, Chemistry and Biology, Linköping University, Linköping Sweden, 2 Graduate School of Library, Information and Media Studies, University of Tsukuba, Tsukuba Japan, 3 , Japan Atomic Energy Agency, Takasaki Japan
Show AbstractOxygen (O) and Zinc (Zn) vacancies are among the dominating defects introduced by high-energy-electron irradiation in ZnO. The presence of vacancies means that there should be a similar amount of corresponding Zn and O interstitials existing in either isolated or complex forms. Some defects assigned to complexes between the Zn vacancy acceptor and Zn interstitial donor were found to be annealed out at low temperatures (<65-150 K) [1]. In electron-irradiated ZnO, in addition to Zn and O vacancies, many other defects were observed by Electron Paramagnetic Resonance (EPR) but have not been identified. Among these, EPR spectra with small-splitting doublets are rather common. Due to difficulties in following the angular dependences of the EPR signals, the symmetry and parameters of such defects have not been determined so far. Nevertheless, one of these doublets, the D-center, has been suggested to be related to hydrogen (H) based on its structure observed at the c-direction and Zn vacancy-H complex has been proposed as the defect model for common EPR centers with small-splitting doublets [2]. In this work, we used EPR and Electron Spin Echo Envelope Modulation (ESEEM) spectroscopy to study doublet centers in electron-irradiated ZnO. (ESSEM is a pulsed technique which can provide similar information on the hyperfine (hf) interaction and the nuclear Zeeman frequency of involved impurities as the Electron Nuclear Double Resonance technique, but is more sensitive for small hf splittings and forbidden transitions.) In highly resistive ZnO bulk samples irradiate by 2 MeV electrons at room temperature many EPR spectra, including the signals of the negative Zn vacancy, the positive O vacancy, the D and other new centers, were observed. One of the new EPR spectra, labeled S1, shows doublet structures with splitting varying within a few G. The S1 center has low symmetry C_1 and some of its six doublets are also accompanied by forbidden lines. The splitting of forbidden lines (~10.9 G) is indeed very close to the two times of the nuclear Zeeman frequency of H. However, ESEEM measurements with a much higher resolution showed that (i) the observed frequencies do not fit to H or any impurity and (ii) the doublet structure and forbidden lines are related to the allowed and forbidden transitions of a defect system having two distant spins S=1/2. We propose the model of a biradical defect consisting of two shallow acceptors, a negative Zn vacancy and a distant negative O interstitial, for the S1 defect. We will show that the E center previously assigned to a Zn vacancy-H complex [2] also has low symmetry C_1 and may be related to another configuration of the biradical Zn vacancy-O interstitial defect. The electronic structure and annealing behavior of these defects will be presented and discussed.[1] L.S. Vlasenko et al., Phys. Rev. B72, 035203 (2005).[2] S.M. Evans et al., J. Appl. Phys. 103, 043710 (2008).
11:30 AM - M5.6
Nitrogen Pair Hydrogen Complexes in ZnO and p-Type Doping.
Adisak Boonchun 1 , Walter Lambrecht 1
1 Department of Physics, Case Western Reserve University, Cleveland, Ohio, United States
Show AbstractAs is well known, substitutional nitrogen impurities lead to rather deep levels in the gap and hence are not suitable for p-type doping. Yet, a shallow acceptor level at about 170 meV has been observed in N-doped ZnO samples. Recently Lautenschlaeger et al. [Phys. Stat. Solidi B 248, 1217 (2010)] proposed that the p-type doping is due to complexes consisting of a N-pair and a H atom. Here we investigate this proposal by means of first principles calculations. We use 96 atom supercells and both LDA and HSE calculations in VASP. We consider pairs of nearest neighbor nitrogen substitutional sites either in the same plane or in two adjacent planes with and without H added. We find a significant stabilization (lower formation energy) for N pairs with H compared with those without H. The reason for the shallow behavior is more complex. For a single N on O site we find a deep level at about 0.4 eV in LDA consistent with previous calculations. One finds in fact three narrow defect bands close to each other filled with 5 electrons. In the case of the pairs, we find more dispersing defect bands continuously joined with the valence band maximum but extending to about the same depth in the gap of about 0.4 eV above the VBM. Since a high concentration of order 10^20 /cm^3 is required to obtain of order 10^17 pairs, it seems plausible that this defect band tailing behavior is still present at the slightly lower N concentrations than used in our present calculations. Thus we propose that the shallow level is relative to the defect bands rather than relative to the unperturbed valence band. This however implies that the p-type conduction would occur in a defect band and would have rather low mobility. In HSE calculations one finds that the single N impurity localizes the hole on a single N orbital of a_1 symmetry pointing in the z-direction perpendicular to the basal plane by a polaronic distortion and is then even deeper. This polaronic mechanism however is in competition with a covalent bond formation between the N orbitals in a pair. The latter, is expected to be more efficient for a N pair in the same basal plane and would then involve the e-symmetry orbitals. We are currently testing HSE calculations of the pairs to see if this can avoid the polaronic distortion and hence make the levels shallower. The implication of the stabilization by hydrogen is that one needs sufficient H concentration to stabilize the pairs but at the same time not too high a concentration because excess H will act as a compensating donor. Hydrogen escaping format he pairs and diffusion might explain the degradation of p-type doping observed over time.
11:45 AM - M5.7
Temperature and Polarity Dependent Nitrogen Doping of ZnO.
Stefan Lautenschlaeger 1 , Sebastian Eisermann 1 , Gunther Haas 1 , Melanie Pinnisch 1 , Elisabeth Zolnowski 1 , Bruno Meyer 1 , Andreas Laufer 1 , Markus Wagner 2 , Axel Hoffmann 2 , Alexej Chernikov 3 , Sangam Chatterjee 3 , Verena Bornwasser 3 , Martin Koch 3 , Frank Bertram 4 , Juergen Christen 4
1 Ist Physics Institute, Justus Liebig University Giessen, Giessen Germany, 2 Institute for solid state physics, Technical University Berlin, Berlin Germany, 3 Faculty of Physics and Material Science Center, Phillips-University Marburg, Marburg Germany, 4 Institute for experimental physics, Otto-Guericke-University Magdeburg, Magdeburg Germany
Show AbstractThe dopability of ZnO with nitrogen depends on a number of different factors. One important factor is the substrate temperature, the other one is the used substrate polarity. We used a-plane and Zn-face and O-face c-plane and investigated a temperature range between 200°C and 600°C. Ammonia has been used as nitrogen source. The samples have been investigated by low-temperature-photoluminescence, Secondary-Ion-Mass-Spectrometry, Cathodoluminescence, Raman-measurements and electrical measurements. It turned out that the non-polar a-plane and the Zn-polar c-plane surfaces allowed a high incorporation of nitrogen into the ZnO matrix. Furthermore a strong substrate-temperature dependent incorporation can be seen. As determined by SIMS measurements, low temperatures around 300°C allow incorporations of nitrogen up to 1021cm-3 while at substrate- temperatures around 600°C only 3x1018cm-3 nitrogen atoms can be found in the samples.Low temperature photoluminescence measurements of our samples are dominated by Donor-Acceptor-Pair Recombinations, with increasing nitrogen concentration the luminescence becomes broad, unstructured and red-shifted. By increasing the PL-excitation density the luminescence becomes again the structured DAP luminescence, centered around 3.25 eV.By annealing the highly doped samples at temperatures at around 650°C in Oxygen or Nitrogen atmosphere, the DAP recombination in PL vanishes. From earlier investigations and from theoretical calculations by other groups we suspect the isolated nitrogen on oxygen site to be a deep acceptor. Taken our results into account we propose a complex acceptor model for shallow acceptors in ZnO.
12:00 PM - M5.8
Fabrication of P-Type Conducting ZnO-IrO-SiO Transparent Amorphous Thin Films.
Eliana Kaminska 1 , Anna Piotrowska 1 , Elzbieta Dynowska 1 2 , Jan Dyczewski 2 , Adam Barcz 1 2 , Jakub Grochowski 1 , Piotr Dluzewski 2 , Rafal Jakiela 2
1 , Institute of Electron Technology, Warsaw Poland, 2 , Institute of Physics PAS, Warsaw Poland
Show AbstractInspired by recent demonstration of p-type TOSs of a spinel structure [1, 2] we have investigated the feasibility of p-type Zn-Ir-Si-O films by reactive magnetron sputter deposition. The new approach in this work was to use non-ceramic targets Ir-Zn-Si with Ir/Zn ratio varying from 1 to 3, and up to 20at.% of Si. 50-200 nm thick Zn-Ir-Si-O films were deposited on unheated silica and sapphire substrates. The depositions were performed at pressures of 1 to 10x10-3mbar in 50 to 100% of oxygen. The target composition and deposition parameters were optimised with regard to microstructure, composition, conductivity and transparency analysed by using XRD and HRTEM, RBS and SIMS profiling, Hall effect measurements in van der Pauw configuration, and optical transmission of the films, respectively.The research performed have shown that independent of composition, all Zn-Ir-Si-O films were X-ray amorphous. However, HRTEM study revealed 2 nm in size crystalline grains identified as ZnIr2O4 spinel. While films deposited from binary IrZn targets with Ir/Zn ratio below 2 showed mixed p/n conductivity, for higher Ir contents stable p-type conductivity with carrier concentration in the range 1020cm-3 and mobility below 0.5 cm2/Vs was observed. The most important finding of the present study was the effect of Si on the electrical and optical properties of the films. It was found that by adding Si to Zn-Ir-Si-O it is possible to tune the metallic p-type conductivity of Zn-Ir-O down to 1018cm-3 with simultaneous increase of mobility to a few cm2/Vs and transmission of above 80% in the visible spectral range. The optical energy gap of such films was 3eV.[1] S. Narushima et al., Mat. Res. Soc. Symp. Proc. Vol.747, V2.2.1 (2003)[2] M. Dekkers et al., Appl. Phys. Lett. 90, 021903 (2007).
12:15 PM - M5.9
Electrical, Optical and Structural Characterization of Surface-Modified Gallium and Aluminum Co-Doped Zinc Oxide Thin Films.
Namik Temizer 1 , Jagdish Narayan 1 , John Prater 2
1 Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina, United States, 2 , Materials Science Division, Army Research Office, Research Triangle Park, Durham, North Carolina, United States
Show AbstractTransparent conducting oxide films based on zinc oxide (ZnO) have received much attention due to the increasing cost of indium tin oxide (ITO). The optoelectric properties of ZnO thin films depend highly on the deposition and post-deposition treatment conditions as these properties change significantly with the doping element, the oxygen flux during film deposition, deposition temperature and desorption during annealing treatment in a reducing atmosphere. ZnO doped with group III elements (Al, Ga) has shown promising results when used as anode in organic solar cells. These n-type dopants effectively increase the electrical conductivity of pure zinc oxide. Aluminum is widely used as dopant of zinc oxide thin films; however, the high reactivity of aluminum may cause oxidation during film growth. Gallium, on the other hand, is less reactive and more resistant to oxidation compared to aluminum. We have recently reported that highly conducting and transparent Ga-doped ZnO (GZO) can be grown on glass substrates using pulsed laser deposition. We also have successfully replaced ITO with GZO and were able to obtain comparable power conversion efficiencies in GZO based solar cells.Despite promising results, some issues still need to be considered. Point defects in ZnO, such as the oxygen vacancies and zinc interstitials, act as electron donors. In order to increase conductivity, these defects must be deliberately induced. Annealing in vacuum or inert atmosphere results in highest conductivity, whereas annealing in oxygen or air degrades the conductivity. Reducing annealing steps is one way to activate dopants and increase carrier concentration. It is desirable to achieve high conductivity without the need for annealing, or depending on relying on vacancies and interstitials. These approaches result in materials with poor electrical stability in air, which is usually the case for p-type ZnO materials. Co-doping with two donors is expected to increase dopant solubility and activation, higher crystal quality without the need for high temperature deposition and annealing. In this study, we investigate the electrical, optical and structural properties of gallium and aluminum co-doped zinc-oxide thin films deposited by pulsed laser deposition. Preliminary results indicate that co-doping with 2-3% Al and 0.2-0.3% Ga produces a promising transparent conducting oxide for device applications. In addition, these ZnO based films deposited with NiOx and MoOx layers enhance the work function to 5.3 eV and produce superior carrier injection properties.
12:30 PM - M5.10
Dissociation Kinetics of an O-H Complex in Zinc Oxide.
Norbert Nickel 1 , L. Scheller 1 , M. Gluba 1 , M. Weizman 1
1 , Helmholtz-Zentrum Berlin für Materialien und Energie, Berlin Germany
Show AbstractThe major drawback of ZnO is the lack of reliable p-type doping. Interestngly, the unique properties of hydrogen have been identified as a problem that interferes with effective p-type doping. It has been shown that H acts as a shallow donor by either forming O-H complexes or as substitutional H accommodated at an oxygen site, H_O. These shallow donors exhibit different thermal stabilities. While the O-H complex dissociates at temperatures as low as room temperature the H_O complex is stable up to 500 °C. Recently, however, the association of a local vibrational mode at 3326 cm^-1 the antibonding configuration of O-H complexes has been discusses conversely and it was suggested that the complex forms a deep center that resides 1.7 eV below the conduction band[1]. Consequently, the complex should be negatively charged and it should not be possible to change its charge state, which, however has been observed. Hence, the origin of the mode at 3326 cm^-1 and its physical properties are still not identified unambiguously. In this paper we present an extensive study on the role of H for electrical transport. The transport properties of hydrogenated and as-grown ZnO samples were investigated with temperature dependent Hall-effect measurements. For this purpose H was introduced into single crystal ZnO using ampoule hydrogenation. Hydrogenation causes an increase of the electron concentration, n, by about 3×10^17cm^-3 at room temperature. This is accompanied by a decrease of the mobility from 1100 to about 250 cm^2/Vs. Hydrogenated ZnO is unstable; n and µ decrease and increase with time, respectively. To gain insight into the governing mechanisms the time and temperature dependence of the H donor dissociation was measured using infrared and Hall-effect measurements. The decrease of the carrier concentration upon annealing exhibits a compressed exponential decay indicating that the underlying mechanism is more complex than the simple dissociation of a shallow donor. Comparing carrier concentrations determined from infrared and Hall-effect data clearly show that at least 2 shallow-donor complexes are generated during hydrogenation that dissociate at relatively low annealing temperatures. One donor vanishes at short annealing times while the donor associated with the local vibration mode at 3326 cm^-1 shows a slightly higher thermal stability. The O-H complex associated with the vibrational mode at 3326 cm^-1 dissociates over a barrier of E = 1.02 eV. The similarity between the dissociation barrier and the diffusion energy (E=0.95 eV) [2] suggests that a large fraction of H atoms migrates via H_AB sites that give rise to the observed vibrational mode. [1] Herklotz et al. Phys. Rev. B, 82 , 115206 (2010). [2] Thomas and Lander, J. Chem. Phys., 25, 1136 (1954).
12:45 PM - M5.11
Effect of Annealing on the n-Type Conductivity of Hydrothermally Grown ZnO Samples.
Stephane Brochen 1 , Guy Feuillet 1 , Julien Pernot 2
1 MINATEC Campus, CEA-LETI, Grenoble France, 2 Université Joseph Fourier, Institut Néel-CNRS , Grenoble France
Show AbstractControlling the residual n-type doping and determining the compensation and passivation effects within ZnO, appear as crucial and preliminary steps for understanding the doping mechanisms at stake in this material. This understanding is also necessary to achieve stable p-type conductivity, which is still the main challenge for the realization of optoelectronic devices based on ZnO.The residual n-type conductivity is assessed here by temperature dependent Hall Effect measurements, in Van Der Pauw configuration, between 4 and 800K using titanium/gold ohmic contact on O(000-1) oriented ZnO single crystal substrates grown by hydrothermal method. Admittance spectroscopy is carried out using platinum Schottky contacts to investigate the electronic properties of deep traps near the conduction band.First, the existence of a degenerate surface conduction channel, which could conceal the bulk conductivity channel in transport measurements, is reported. It will be shown that appropriate treatments could stabilize the surface and minimize the density of free carriers in this surface conduction layer.Thanks to these surface treatments, the presence of the well known E3 level [1,2] at approximately 0.3 eV below the conduction band is detected using admittance spectroscopy. From temperature dependent Hall effect measurements, the n-type conductivity in unannealed substrates is found to be governed by a deep donor level at also approximately 0.3 eV below the conduction band. After annealing at 1200°C during 5 hours under oxygen, a shallow donor level with an activation energy lower than 0.05 eV appeared and controlled the carrier density for temperature equal or lower than room temperature.In the work, a comprehensive picture will be given about the respective and connected roles of these two levels on the temperature dependence of the free electron density, as determined from Hall Effect measurement and compared with the charge balance equation, and from the point of view of the nature of the traps, as assessed by admittance spectroscopy.[1] F.D. Auret et al., « Electrical characterization of growth-induced defects in bulk-grown ZnO », Superlattices and Microstructures 39(1-4):17 (2006).[2] H. von Wenckstern et al., « Defects in hydrothermally grown bulk ZnO », Applied Physics Letters 91(2):022913 (2007).
M6: (Zn,Ga,In,Sn) Oxide Thin Film Devices
Session Chairs
Jamie Phillips
Holger von Wenckstern
Tuesday PM, November 29, 2011
Ballroom C (Hynes)
2:30 PM - **M6.1
Oxide Semiconductor Thin Film Transistor Material and Device Understanding.
Thomas Jackson 1
1 Center for Thin Film Devices and Materials Research Institute, Department of Electrical Engineering, Penn State University, University Park, Pennsylvania, United States
Show AbstractCommercialization of oxide semiconductor thin film transistors (TFTs) for display applications appears likely. Devices with field effect mobility >10 cm2/Vs have been reported by a number of industrial and academic laboratories using a variety of deposition techniques to deposit a range of oxide semiconductors including zinc oxide (ZnO), gallium indium zinc oxide (GIZO), and zinc tin oxide (ZTO). Despite this general success, several aspects of oxide TFTs appear to be poorly understood or poorly optimized. For example, most oxide semiconductor TFTs use direct metal contacts with no associated contact doping in the oxide semiconductor. Using ZnO thin films deposited by weak reactant plasma enhanced atomic layer deposition at 200 ○C and direct metal contacts we are able to routinely fabricate TFTs with field-effect mobility of 20-30 cm2/Vs, small single run device variability, and good run-to-run reproducibility.[1] But two-dimensional device simulation, TFT test structures with independently gated contact and channel regions, and quasi-static capacitance-voltage measurements all indicate that the source/drain contacts used in these devices operate as gated Schottky diodes and not as simple ohmic contacts. Also, our devices often exhibit significant output conductance and several literature reports of oxide semiconductor TFTs also note device output conductance. In some cases this has been attributed to short channel effects, yet device dimensions for the devices in question appear to rule this out. We find that output conductance for our devices increases rapidly with decreasing substrate thermal conductivity and that the output conductance for our and perhaps other devices may arise primarily from self-heating and a temperature-related threshold voltage variation. We have exploited the threshold voltage variation in our devices to fabricate temperature sensors and thermal imaging arrays. It is tempting to assign the threshold voltage shift to pyroelectric charge in non-centrosymmetric ZnO. However, we find the threshold voltage shift continues to below 10 K, making a pyroelectric origin unlikely. The apparent ease with which high-performance oxide semiconductor devices and circuits have been fabricated despite incomplete understanding of material and device effects may augur well for a robust device technology.1. D. A. Mourey, D. A. Zhao, J. Sun, and T. N. Jackson, “Fast PEALD ZnO Thin-Film Transistor Circuits,” IEEE Transactions Electron Devices, 57, pp. 530-4 (February 2010).
3:00 PM - M6.2
Comparative Study on Photo-Transistors (Amorphous Si versus Amorphous Oxide Semiconductor) and Integration of Amorphous Oxide Semiconductor.
I-Hun Song 1 , Sanghun Jeon 1 , Seung-Eon Ahn 1 , Young Kim 1 , Yongwoo Jeon 1 , Changjung Kim 1 , U-In Chung 1 , Junhyung Im 2 , Sungjin Yeon 2 , Wooho Jeong 2 , Je-Hun Lee 2 , Jaewoo Park 2
1 Semiconductor Device Laboratory, Samsung Advanced Institute of Technology, Yongin-si Korea (the Republic of), 2 Display Business Division, Samsung Electronics, Yongin Korea (the Republic of)
Show AbstractWe have fabricated and compared oxide sensor devices in which the active structure for a control sample is comprised of amorphous silicon and the other structure is composed of amorphous metal oxide semiconductor, both in conventional bottom-gate TFT configuration, which provides effective platforms to address the photo-sensitivity of phototransistor for the incoming light and the beneficial process/structural compatibility with active matrix display panel. This comparative study in terms of circuitry, large area adaptability, aperture ratio, and wavelength dependent photosensitivity will be presented. We also present successful integration scheme of oxide photosensor array into display backplanes, which enables to offer the interactive display solution. For realizing all oxide-based interactive display, we had to overcome various technology and reliability issues.
3:15 PM - M6.3
The Reliability of Indium-Gallium-Zinc Oxide Thin Film Ttransistors under Ambient and Vacuum.
Sun-Jae Kim 1 , Soo-Yeon Lee 1 , Young-Wook Lee 1 , Jang-Yeon Kwon 1 , Min-Koo Han 1
1 School of Electrical Engineering and Computer Science, Seoul National University, Seoul Korea (the Republic of)
Show AbstractAmorphous indium-gallium-zinc oxide semiconductor thin film transistors (IGZO TFTs) have gained considerable attention for active-matrix displays. Oxide TFTs exhibit good electrical properties such as high field-effect mobility and low off-current. The critical issue for IGZO TFT is threshold voltage (VTH) degradation due to gate and drain bias as well as chemical reaction at surface of IGZO, caused by oxygen, moisture or hydrogen from ambient. The purpose of our work is to investigate the effect of ambient such as oxygen on the characteristic and reliability of IGZO TFTs. For the investigation of channel surface reaction with ambient, we fabricated widely used bottom gate IGZO TFTs without any passivation layer. The characteristic of IGZO TFT was measured with various bias stresses, under vacuum and ambient. The characteristic of unpassivated IGZO TFT was measured at vacuum (5E-3 torr) and ambient. The measurement was performed in the vacuum chamber with controlling chamber pressure. VTH was -0.32V under ambient and was -1.23V under 5E-3 torr, respectively. The difference of VTH may be related with oxygen partial pressure. It has been already reported that oxygen absorption and desorption are occurred near oxide surface. As negatively charged oxygen is desorbed from IGZO surface, becomes electron and oxygen gas. Thus, under 5E-3 torr, oxygen ions in the back surface of IGZO is desorbed, which means number of electrons in the IGZO are increased. Due to increase of electrons, VTH of the IGZO TFT is decreased.In order to investigate device stability, bias stress (Gate bias = 15~45 V) was applied to unpassivated IGZO TFTs for 1 hour. Under 5E-3 torr, the VTH was shifted from -3.13V to -2.09V (1.04V) but subthreshold slope was not altered. While, under ambient, subthreshold slope was increased from 0.5 V/dec to 1.2V/dec and the VTH was shifted from 0.29V to 2.4V (2.11V) and it is larger than vacuum condition. Increase of subthreshold slope indicates that the defect states are created in IGZO film. The VTH shift mechanism may be explained as follows: one is carrier trapping near the interface between active layer and gate insulator, and the other is field induced absorption and desorption of oxygen and water. Our experimental results implies that under vacuum, main responsibility of VTH shift is carrier trapping at the interface between gate insulator and active layer and under ambient, VTH shift is mainly due to chemical reaction at the back surface of IGZO as well as carrier trapping at the interface between gate insulator and active layer. We also distinguished the amount of VTH shift due to carrier trapping and VTH shift due to chemical reaction. We subtracted VTH shift under vacuum from that under room pressure, and the amount of the VTH shift was a function of induced electric field.
3:30 PM - M6.4
Specific Contact Resistance, Channel Conductance, and Thin Film Transistor Threshold Voltage Instability in IZO-Based Amorphous Oxide Semiconductors Metalized with Ti.
Sunghwan Lee 1 , Brian Bierig 1 , David Paine 1
1 School of Engineering, Brown University, Providence, Rhode Island, United States
Show AbstractIn2O3-based amorphous oxide semiconductors (AOS) such as a-IZO and a-IGZO have attracted much interest for use as the active channel layer material in thin film transistor (TFT) applications due to their high carrier mobility (10-50 cm2/Vs), low process temperatures (RT-300 °C), and high optical transparency (>85% in the visible regime). We have investigated the threshold voltage stability in a-IZO TFT’s and specific contact resistance and device channel conductance using the transmission line measurement (TLM) approach. We have found that all of these critically important device parameters (and the structural stability of the amorphous IZO phase) are affected by the presence of Ti metallization during post process annealing at 200 °C. While a-IZO TFT’s can be fabricated using an all room temperature process, many researchers use a post-process low temperature (200-350 °C) anneal for improved field effect mobility and increased threshold voltage stability. To investigate the effect of low temperature annealing on TFT performance and structure we fabricated and characterized TFT devices and TLM structures before and after annealing. We report that Ti metallization, even when it is remote from the TFT channel, results in an increase in channel conductance after low temperature (200 °C) annealing. The specific contact resistance is decreased from 460 to 34 Ohm cm2 by annealing while TFT channel conductance increases 20 fold. We show that both of these effects are due to the injection of oxygen vacancies into the channel from the source-drain contact region. Doubly charged oxygen vacancies are the dominant source of carriers (two free electrons per vacancy) in these materials; consequently, the increase in the channel carrier density also leads to a negative shift in the TFT threshold voltage. TEM and XRD were used to show that beneath the contact region, Ti metallization reacts with In2O3 to yield TiO2. In situ TEM observations will be presented that show first, low temperature crystallization of underlying amorphous IZO followed, at higher temperatures and longer times, by the formation of TiO2.Keywords: Ti/IZO contact metallization, Amorphous oxide semiconductors, TiO2, amorphous/crystalline structure, thin film transistors
3:45 PM - M6.5
Band Offsets for InGaZnO Heterojunctions.
Erica Douglas 1 , H. Cho 2 , B. Gila 1 , F. Ren 1 , S. Pearton 1
1 , University of Florida, Gainesville, Florida, United States, 2 Nanomechatronis Engineering, Pusan University, Gyeongnam Korea (the Republic of)
Show AbstractThe amorphous transparent conduction oxide InGaZnO4 (IGZO) is attracting attention because of it's remarkable high electron mobility, high transparency in the visble region of the spectrum and its ability to be deposited in amorphous form with a wide range of conductivities. These attributes make it an attractive candidate for thin film transistors (TFTs) in transparent electronics, gas-sensors, and as a window mateial for displays and solar cells. Due to the ability for IGZO to be deposited at room temperature, it is able to be deposited on flexible substrates, suc as plastic or even paper. In order to further IGZO based technology, greater understanding of the heterojunction band structure is necessary. X-ray photoelectron spectroscopy (XPS) was used to measure the energy discontinuity in the valence band of SiO2 / IGZO heterostructures deposited by low temperature plasma enhanced chemical vapor deposition and sputtering at 50C, respectively. A valence band offse of 1.43 +-0.15 was obtained by using the Ga and Zn 2p3 , In 3d3 and 3d5 energy levels as refeences. Given the experimental bandgap of 3.2 eV for IGZO, this would indicate a conduction band offset of 4.27 eV in this system. Recent reports have shown that the use of Al2O3 as a gate dielectric improved device performance and decreased electrical instabilities due to the decrease in trap states at the interface with IGZO and higher dielectric constant. To further study this heterojunction, XPS was also used to measure the energy discontinuity in the valence band of Al2O3/IGZO heterostructures deposited by sputtering at 50 C. A change in intensity of O 1s peaks is observed after deposition of 25A Al2O3 on IGZO, in which the density of O2- ions to metal atoms bonding increases and oxygen deficiency decreases. A conduction bad offset of 0.95+-0.17 was obtained by using Ga 2p3, Zn 2p3 and In 3d5 levels as refeences. The conduction band offset was then alculated to be 4.7f eV, consistent with the excellent electron confinement in IGZO channel TFTs with Al2O3 as a gate dielectric.
4:30 PM - M6.6
The Influence of the Contact Geometry between Metal Electrodes and Amorphous-Indium-Gallium–Zinc Oxide (a-IGZO) on Electrical Performance.
Sang Ho Rha 1 2 , Yoon Soo Jung 1 , Jisim Jung 1 , Un Ki Kim 1 , Yoon Jang Chung 1 , Cheol Seong Hwang 1
1 WCU Hybrid Materials Program, Department of Materials Science and Engineering and Inter-university Semiconductor Research Center, Seoul National University, Kwanakku, Seoul, Korea (the Republic of), 2 Advanced Module Technology Development Project, Semiconductor R&D Center, Samsung Electronics Co. Ltd., Yonginsi, Gyeonggido, Korea (the Republic of)
Show AbstractThin-film transistors (TFTs) based on amorphous oxide semiconductors (AOSs) have received considerable attention in display applications, such as active-matrix liquid-crystal displays, active matrix organic light-emitting diodes, and flexible displays, due to their superior electrical performance when compared with conventional amorphous silicon TFTs. Recently, it was reported that AOSs have the potential to be used in logic devices as well as memory applications. However, to be applied in these fields, further improvements in device performance are still required.In TFT operation, the influence of parasitic components cannot be underestimated. However, while there were many efforts to improve the electrical performance of amorphous-indium-gallium–zinc oxide (a-IGZO), only a few results have been reported about the source/drain contact characteristics. Recently, contact-limited characteristics have been reported for AOS TFTs and the significance of optimizing parasitic effects is ever increasing in terms of overall device performance. In this work, using a transmission line method (TLM), the effect of variance in contact geometry between the a-IGZO and the metal electrodes are investigated by analyzing electrical performance. The advantage of the TLM is that it gives useful information while the physical meaning of the extracted parameters is easy to understand. To decrease any parasitic effects, it is crucial to have a full picture on the interface and surface properties at source/drain contact regions. Therefore, by using TLM, the effective channel length and contract area were extracted for various process conditions and contact structures. Furthermore, the transport characteristics in the source/drain and channel overlap region were investigated. The influences of two different contact metals, Ti and Mo, are also examined.To verify that the observed effects were indeed caused by the contact structure, operation characteristics were obtained from the simulation on the a-IGZO TFTs using a SILVACO TCAD simulator. With the TCAD simulator, various contact structures were examined and requirements for an optimum contact structure were proposed. The measured electrical characteristics are reproduced by the simulation, and the transport characteristics in the source/drain contact region were investigated in detail.
4:45 PM - M6.7
High-Mobility Thin-Film Transistor with Polycrystalline In-Ga-O Channel Fabricated by DC-Magnetron Sputtering.
Kazuaki Ebata 1 , Shigekazu Tomai 1 , Yuki Tsuruma 1 , Takashi Iitsuka 1 , Shigeo Matsuzaki 1 , Koki Yano 1
1 , Advanced Technology Research Laboratories, Idemitsu Kosan Co., Ltd., Chiba Japan
Show AbstractOxide thin-film transistors (TFTs) have attracted much attention for application in next-generation liquid crystal displays and active-matrix organic light-emitting diodes (AM-OLED) due to their high field-effect mobility, low temperature processing capability and transparency to visible light. Many groups have demonstrated the oxide TFTs composed of combinations of In2O3, ZnO, Ga2 O3, and SnO2. In particular, amorphous In-Ga-Zn-O (a-IGZO) has been suggested for the backplane of AM-OLED and 2k×4k display panels. Although a-IGZO was mobility of the order of ~10 cm2/Vs, higher mobility has been needed to fabricate next generation applications such as a super high-vision panel and to integrate driver circuits. In this work, we have developed a high mobility oxide TFT which used polycrystalline In-Ga-O (IGO) as a channel material. Since our developed IGO target has low resistivity and high density, it is possible to be deposited by direct current (DC) sputtering. It can be clearly seen that the as-deposited IGO thin film shows amorphous feature, while the post-annealed IGO thin film is polycrystalline without obvious preferred orientation. The diffraction patterns are in a good agreement with the cubic bixbyite structure of In2O3. The grain growth of polycrystalline IGO seems to have started at an earlier stage, producing a more noticeably columnar structure with larger grains from measurements of cross-sectional transmission electron microscopy. The average grain size was measured at ~10 μm by electron backscattering pattern. The grain boundary between adjacent grains was distinguished by high-angle (≧ 15°) misorientation. Hall mobility and carrier density of the post-annealed films which were annealed at 300 °C for 1 hour were ~ 20 cm2/Vs and ~ 1017 cm-3, respectively. We have fabricated the polycrystalline IGO TFT using photolithography technique. The channel width and length were 20 μm and 5 μm, respectively. The device performance at 50-nm-thick IGO TFT had a field-effect mobility of ~ 40 cm2/Vs, a subthreshold gate voltage swing of 0.25 V/dec, and normally off characteristics. These results suggest that the polycrystalline IGO TFT is a promising candidate for the backplane of AM-OLED and 2k×4k display panels.
5:00 PM - M6.8
High-Performance Indium Gallium Zinc Oxide Thin Film Transistors (TFTs) by Employing New Organic (CYTOP) Passivation Layer.
Sung-Hwan Choi 1 , Moon-Kyu Song 1 , Seung-Min Song 1 , Min-Koo Han 1
1 School of Electrical Engineering and Computer Sciences, Seoul National University, Seoul Korea (the Republic of)
Show AbstractAmorphous oxide semiconductors based thin-film transistors (TFTs) have attracted a lot of attention for use in low-cost and large-size backplane for display applications. Appropriate passivation layers are required, because electric characteristic of TFTs is largely affected by environmental conditions such as H2O, O2, etc. Various inorganic or organic passivation layers have been investigated. It has been reported that the inorganic passivation such as SiO2, SiNx employing PECVD process may cause the degradation of electrical characteristic in IGZO TFTs due to the plasma damage into the back-channel area of TFTs. The reliability of TFTs passivated by polymer materials such as PVP, PMMA, etc. is rather poor. The purpose of our work is to propose the novel organic passivation materials (CYTOP) for improving the electrical characteristic and reliability of a-IGZO TFTs fabricated on glass substrates. We used an amorphous fluoropolymer, commercially known as CYTOP supplied from ASAHI GLASS. CYTOP film spin-coated on back-channel of TFTs provides good insulating properties, transparency and very low annealing temperature (180oC), which is adjustable for flexible display. Proposed CYTOP-passivation method makes devices with reliable electrical characteristics against electrical bias condition. We have fabricated inverted-staggered bottom-gate TFTs. At first, a 150 nm thick chromium (Cr) gate electrode was deposited by e-beam evaporation and gate oxide (SiO2, 300 nm thick) was deposited by inductively coupled plasma chemical vapor deposition (ICP-CVD), respectively. The thickness of the a-IGZO and ITO layers are 45 and 500nm. After forming the source/drain electrodes, the backside of the channel layer was passivated by the CYTOP.We compared the electric characteristic of CYTOP passivated IGZO TFTs with other organic passivation layer (BCB) and inorganic passivation layers. In this experiment, the oxide TFT which CYTOP passivation layer was located in the upper part of active layer (deposited at room temperature under nonvacuum process) exhibited very good electric characteristics, such as μsat=12.3cm2/Vs, s.s (subthreshold swing)=0.13V/dec. CYTOP passivated device exhibits a better electric characteristic than TFT with BCB passivation layer (μsat=4.8 cm2/Vs, s.s=0.29V/dec) deposited at vacuum process, and with SiO2 passivation layer (μsat=5.9 cm2/Vs, s.s=0.40V/dec) deposited at ICP-CVD. It is attributed to suppress the plasma damage into back-channel of the IGZO TFTs by adjusting the deposition condition of passivation layer (CYTOP). By optimizing the deposition condition of achieving low damage and good quality of dielectric layer (CYTOP), we have verified that the CYTOP passivated device could enhance the stability. The threshold voltage shift of CYTOP passivated devices under PBTS (VGS=20V,VDS=0V,5000s at 30oC) was 2.8V whereas that of SiO2 passivated devices is 3.3V.
5:15 PM - M6.9
High Drain Field Induced Degradation in Indium-Gallium-Zinc-Oxide Thin Film Transistor.
Seung-Hee Kuk 1 , Binn Kim 1 2 , Soo-Jeong Park 2 , Juhn-Suk Yoo 2 , Jang-Yeon Kwon 1 , Min-Koo Han 1
1 , Seoul National University, Seoul Korea (the Republic of), 2 , LG Display R&D Center, Paju Korea (the Republic of)
Show AbstractRecently, Indium-Gallium-Zinc Oxide thin film transistors (IGZO TFTs) have considerable attention in various applications due to high mobility, low leakage current, low sub-threshold slope, and good uniformity. Reliability of IGZO TFT under bias, temperature and illumination is a critical issue. Most of bias induced reliability studies focused on gate bias induced degradation. Gate bias induced degradation results in parallel shift of threshold voltage due to the charge trapping at the interface between gate insulator and active layer. It is well known that drain bias exceeding 10V may be applied for the practical application. However, drain bias induced reliability studies have been scarcely reported. The purpose of our work is to investigate characteristics of IGZO TFT under drain bias induced stress. In order to investigate drain bias induced degradation mechanism, we applied various drain bias (10 ~ 50V) and 1V gate bias to IGZO TFT for 100 seconds. The channel width is 24μm and length is 12μm. For the purpose of minimizing the effect of gate bias, we fixed the gate bias to 1V. Transfer curve and output curve were not changed when stress drain bias was applied less than 30V. When 40V and 50V drain bias was applied to IGZO TFT, however, both transfer curve and output curve were changed. When 40V drain bias was applied to IGZO TFT, field effect mobility was decreased from 12.91 cm2/Vs to 12.40 cm2/Vs. When 50V drain bias was applied to IGZO TFT, field effect mobility was decreased from 13.10 cm2/Vs to 9.28 cm2/Vs. Linear region of output curve was significantly degraded. Threshold voltage and sub-threshold slope was not altered. Over 40V drain bias regime, a dominant degradation mechanism is found to be electron trapping near the drain. In high drain bias regime, electrons are accelerated and trapped at the drain junction. Trapped electrons locally elevate an energy level and form energy barrier near the drain junction. Field effect mobility and linear region of output curve are degraded due to this energy barrier. Electron can surmount this energy barrier only when the drain bias is increased. These phenomena could not be seen in amorphous silicon TFT (a-Si TFT), since electron could not gain enough energy due to its low electron mobility.Besides, capacitance-voltage (C-V) measurement was employed, in order to verify the degradation mechanism. The gate-source capacitance (CGS) was measured with a floating drain, while the gate-drain capacitance (CGD) was measured with a floating source. CGS was not altered after stress, whereas CGD was positively shifted after stress. C-V measurement results suggest that electron is trapped near drain junction and verify the dominant degradation mechanism.
5:30 PM - M6.10
Study on the Charge Trapping Characteristics in Bottom-Gate InGaZnO Thin Film Transistors with Al2O3/SiO2 Gate Dielectrics.
Jisim Jung 1 , Sang Ho Rha 1 2 , Yoon Soo Jung 1 , Un Ki Kim 1 , Yoon Jang Chung 1 , Cheol Seong Hwang 1
1 WCU Hybrid Materials Program, Department of Materials Science and Engineering and Inter-university Semiconductor Research Center, Seoul National University, Kwanakku, Seoul, Korea (the Republic of), 2 Advanced Module Technology Development Project, Semiconductor R&D Center, Samsung Electronic Co. Ltd., Yonginsi, Gyeonggido, Korea (the Republic of)
Show AbstractFlash memory scaling faces many limitations, one of the main ones being the reduction of the tunnel oxide thickness, which is today about 7-8nm. It is therefore necessary to find innovative solutions to overcome this restriction. A promising approach is to replace the tunnel oxide by a high-k dielectric. Among many high-k materials, Al2O3 is one possible candidate to replace SiO2 as tunneling layer in flash memory. Moreover, there has been an attempt to adopt ZnO-based TFTs as transparent nonvolatile memory devices since AOS(Amorphous Oxide Semiconductor)s have high electron mobility, optical transparency, uniformity, and low processing temperature. However, the interface characteristics between AOS and gate dielectrics still have considerable problems, such as charge trapping. Therefore, a solid understanding on charge trapping and de-trapping in Al2O3 is needed to implement these materials to real world applications. In order to understand these phenomena, it is necessary to correlate the properties of traps with electrical evaluation methods.In this work, thin film transistors (TFT) with AOS/high-k dielectrics/SiO2/gate electrode stacks were fabricated. The high-k dielectrics were used as a charge trapping layer and tunneling dielectric. We investigated the charge trapping and transport characteristics by analyzing the high k material/SiO2 stack with a particular attention on memory degradation characteristics. As charge trapping characteristics are strongly dependent on dielectric structure and bias conditions, various structures were examined. It was found that the trapped charge in the dielectric had an effect the threshold voltage shift and field effect mobility. By analyzing the Vth shift in devices with various Al2O3 thicknesses, we found that interface trapping at the Al2O3/SiO2 interface is the dominant trapping mechanism in this specific structure. The faster recovery of Vth in the Al2O3/SiO2 devices suggests that trapped electrons are located at energetically shallower states or spatially closer to the interface compared to SiO2 dielectrics. Also, the interface state characteristics suggest a strong contribution from localized traps. The bias dependent charge trapping characteristics were also investigated by analyzing the field effect mobility. Bulk trapping and interface trapping characteristics were compared in terms of device operation.
5:45 PM - M6.11
Physical Properties of a Ga-Zn Oxide with Novel Crystal Structure.
Takao Mori 1 , Yuichi Michiue 1 , Anastasiia Prytuliak 1 , Yoshitaka Matsushita 2 , Masahiko Tanaka 2 , Noboru Kimizuka 3
1 , National Institute for Materials Science (NIMS), Tsukuba Japan, 2 , National Institute for Materials Science (NIMS), Sayo Japan, 3 , Yuan Ze University, Taoyuan Taiwan
Show AbstractPhysical properties of a Ga-Zn oxide with novel crystal structure were investigated. The material was found to be a promising thermoelectric material while also being a new transparent conducting oxide, and it is composed of relatively abundant and inexpensive elements. Approximately two thirds of all primary energy (fossil fuels, etc.) being consumed in the world, turns out to be unutilized, with much of it being waste heat. The useful and direct conversion of waste heat to electricity is a large incentive to find viable thermoelectric materials. One need exists to develop materials which can function at mid to high temperature. For this end, intensive research is being carried out on oxides, silicides, and borides [1]. The thermoelectric properties of the Ga-Zn oxide showed n-type behavior. It was found to be relatively easy to vary the thermoelectric properties through redox treatments, and reversibility was also observed. The potential of the Ga-Zn oxide as a thermoelectric or TCO material appears to be similar to In-Zn oxides and is revealed to be a promising system for further investigations.[1] T. Mori, in Handbook on the Physics and Chemistry of Rare Earths, Vol. 38, (North-Holland, Amsterdam, 2008) pp. 105-173 (2008).
Symposium Organizers
Steve Durbin State University of New York at Buffalo
Jamie Phillips University of Michigan
Tim Veal University of Warwick
Marius Grundmann Universitaet Leipzig
M12: Poster Session: Defects and Doping
Session Chairs
Steven Durbin
Marius Grundmann
Jamie Phillips
Timothy Veal
Thursday PM, December 01, 2011
Exhibition Hall C (Hynes)
M10: ZnO and Related Materials: Growth
Session Chairs
Oliver Bierwagen
David Ginley
Thursday PM, December 01, 2011
Ballroom C (Hynes)
9:30 AM - **M10.1
Growth Techniques for Bulk ZnO.
Detlef Klimm 1 , Detlev Schulz 1 , Steffen Ganschow 1 , Zbigniew Galazka 1
1 , Leibniz Institute for Crystal Growth, Berlin Germany
Show AbstractIf heated under ambient pressure, ZnO evaporation becomes remarkably beyond 1300-1500°C, depending on atmosphere. Already significantly below its melting point Tf = 1975°C the material evaporates completely. Irrespective of these properties, bulk crystals can be grown by several methods: 1) From the gas phase usually CVT growth is performed under reductive conditions (hydrogen flow or carbon admixture), because the zinc component can then be tranported considerably better, as compared to oxidizing conditions (e.g. air). Crystallization is obtained by suitable temperature or chemical (oxygen partial pressure) gradients inside the growth reactor. Obtained crystals may have high chemical purity (growth without contact to foreign material) and crystallographic quality (free growth).2) From melt fluxes such as alkaline hydroxides or other oxides (MoO3, V2O5, P2O5, PbO) and salts (PbCl2, PbF2). Melt fluxes offer the possibility to grow bulk ZnO under mild conditions (<1000°C, atmospheric pressure), but the crystals are containing always traces of the solvent. The limited purity is a severe drawback, especially for electronic applications.3) From hydrothermal fluxes, which are alkaline (KOH, LiOH) aqueous solutions beyond the critical point. Due to the amphoteric character of ZnO, the supercritical bases can dissolve it up to several per cent of mass. The technical requirements for this growth technology are generally hard, but this did not hinder its development as the basic technique for the growth of α-quartz, and meanwhile zinc oxide too, during the last decades.4) From pure melts, which is the basic technology for all substances allowing such techniques (silicon, gallium arsenide, sapphire, YAG). The benefits of melt growth are high growth rate together with no solvent related impurities. In the case of ZnO, however, it is difficult to find container materials that are compatible from the thermal (Tf = 1975°C) and chemical (required oxygen partial pressure) point of view.Either cold crucible (skull melting, Nause & Nemeth, Semicond. Sci. Technol. 20 (2005) S45) or Bridgman (with reactive atmosphere, Schulz et al., J. Crystal Growth 296 (2006) 27) techniques were shown to overcome the problems that are inherent to melt growth. Reactives atmospheres do not only allow to grow bulk ZnO, but also other TCO's such as β-Ga2O3 (Galazka et al., Cryst. Res. Technol. 45 (2010) 1229). It will be shown that even Mg:ZnO bulk crystals up to 4% doping can be grown from melts, and new data on the phase diagram ZnO–MgO will be presented.
10:00 AM - M10.2
Heteroepitaxial Growth of Oxide Semiconductors on Garnet Substrates.
Yosuke Ono 1 , Hiroaki Matsui 1 , Hitoshi Tabata 1
1 Electrical Engineering and Information Systems, The University of Tokyo, Tokyo Japan
Show AbstractThere have been much attention for rare earth (Re) iron garnet; Re3Fe5O12 owing to their magnetic characteristics and magneto-optical (MO) effects towards practical applications such as microwave, optical isolator and active laser mediums. However, R3Fe5O12 single crystals fabricated at micrometer scale are markedly limited for device applications because epitaxial growth of garnet materials have been well carried out using garnet-types of substrates; that is, homoepitaxy. On the other hand, heteroepitaxy on garnet substrates has been only achieved a few compound semiconductors and oxides such as InP, GaAs and Fe2O3. Recently, transparent oxide semiconductors (TOSs) have been used to various optoelectronic applications. The combination of TOSs and garnets is expected to create new scientific and practical fields. In this presentation, we firstly report heteroepitaxy of TOSs (for example; ZnO) on Gd3Ga5O12 with a garnet structure. As long as we know, heteroepitaxial growth of ZnO layer on a Gd3Ga5O12 substrate with a complex atomic structure is not still reported. A difference in lattice constants between ZnO and Gd3Ga5O12 is indispensable for explaining by higher ordered epitaxy that has been well applied at ZnO/Al2O3 interfaces. An x-ray pole figure measurement revealed twelve diffraction spots on the asymmetric (101) plane of ZnO, showing layer growth with double domains on the Gd3Ga5O12 substrate. As further challenging task, we are aiming at achieving heteroepitaxial growth of garnets on non-garnet materials. We believe that use of high-price garnet substrate strongly hampers various applications used functional garnet materials. This study may provide new device concept based on a TOSs/garnet interface in future. Ref.) Journal of Crystal Growth 83 (1987) 466, Journal of Crystal Growth 87 (1988) 180
10:15 AM - M10.3
Growth and Characterization of Semipolar (11-2-2) ZnO Grown on (112) LaAlO3/LSAT.
Jr-Sheng Tian 1 , Yue-Han Wu 1 , Wei-Lin Wang 1 , Chun-Yen Peng 1 , Yen-Teng Ho 1 , Ying-Hao Chu 1 , Li Chang 1
1 Department of Materials Science and Engineering, National Chiao Tung University, Hsinchu Taiwan
Show AbstractZnO, a wurzite material with 3.37 eV direct bandgap, is promising for optoelectronic applications. Conventional ZnO is grown in polar <0001> direction, thus suffering from large electric field due to strong polarization. To be free of the polarization effects, growth of nonpolar ZnO has acquired much attention. However, in the absence of low cost commercial ZnO substrate, nonpolar (11-20) and (10-10) ZnO films are usually deposited on foreign substrates which results in many defects, like stacking faults and dislocations. It is known that (11-20) ZnO grown on r-plane sapphire often has high density of dislocations and stacking faults in the order of 5×1010 cm-2 and 105 cm-1, respectively, which severely limit device performance and operating lifetime. However, study of semipolar ZnO with their characteristics is rarely reported. Here, we show that epitaxial semipolar (11-2-2) ZnO can be successfully grown on (112) LaAlO3/LSAT substrate by pulsed laser deposition (PLD). LaAlO3 in about 100 nm thickness was also epitaxially grown on LSAT by PLD. (11-2-2) X-ray rocking curve results show that the FWHM from a 1.6 μm thick ZnO film can be lower than 400 arcsec. From XRD phi-scan and selected-area electron diffraction, the epitaxial relationships are (11-2-2)ZnO ∥ (112)LAO/LSAT and [11-23]ZnO ∥ [11-1]LAO/LSAT. From cross-section TEM observations, most of dislocations are identified as a+c-type dislocations and the distribution of dislocation density could be distinguished into three regions according to the film thickness. In region I, the first 100 nm above interface, it is found that there are high-density defects accumulated probably due to their strong interaction. In the next 300 nm, region II, the dislocation density is about 3×109 cm-2, and the density further reduces to 5×108 cm-2 in upper layer (region III). The reduction of dislocation density could be explained by strong interaction among dislocations. Furthermore, stacking faults in the ZnO film are seldom observed implies low stacking fault density (≤104 cm-1).
10:30 AM - M10.4
Properties of Nonpolar (Zn,Mg)O/ZnO Quantum Wells Grown on a- and m-Oriented ZnO Substrates.
Jean-Michel Chauveau 1 2 , Monique Teisseire 1 , Christian Morhain 1 , Christiane Deparis 1 , Borge Vinter 1 2
1 , CRHEA-CNRS, Sophia Antipolis France, 2 , University of Nice Sophia Antipolis, Nice France
Show AbstractZnO-based quantum wells have attracted much attention due to their potential of combining band gap engineering with large excitonic binding energies. So far, studies on ZnO have mainly focused on films grown in the (0001) orientation. The wurtzite ZnO layers exhibit built-in electric fields along the c-axis, affecting the electronic properties. Non-polar surfaces are of interest since in this case the c-axis of the layer lies in the growth plane. It is expected that QW structures can be grown without any screening of the exciton binding energies. Wide band gap nonpolar QWs grown on sapphire usually exhibit a large density of stacking faults, reducing the emission efficiency. ZnO bulk substrates are commercially available in nonpolar orientations. Unfortunately, the as-received substrates require a dedicated annealing procedure to achieve atomically flat surfaces.In this presentation we show a drastic improvement of the structural properties when the QWs are grown on ZnO substrates: no residual strain, smooth interfaces, no extended defects, reduced surface roughness, reduced X-Ray FWHM. Compared to heteroepitaxial QWs, a strong enhancement of the photoluminescence properties is also demonstrated. We shall compare the different nonpolar orientations (m- or a-planes) in terms of structural and optical properties. The PL intensity of an m-plane QW is constant as a function of the temperature up to RT. Our results demonstrate the interest of homoepitaxial QWs for bright UV emission applications.
10:45 AM - M10.5
Electron Scattering Mechanisms in ZnO:Ga Layers Grown by Plasma-Enhanced Molecular Beam Epitaxy.
Huiyong Liu 1 , Xing Li 1 , Fan Zhang 1 , Vitaliy Avrutin 1 , Natalia Izyumskaya 1 , Uemit Oezguer 1 , Andrew Yankovich 2 , Alex Kvit 2 , Paul Voyles 2 , Hadis Morkoc 1
1 Electrical and Computer Enginnering, Virginia Commonwealth University, Richmond, Virginia, United States, 2 Materials Science & Engineering, University Wisconsin-Madison, Madison, Wisconsin, United States
Show AbstractUsing temperature dependent Hall measurements, we comprehensively studied electron transport in Ga-doped ZnO (GZO) layers grown by plasma-emhanced molecular-beam epitaxy under different conditions on a-sapphire substrates. For the GZO films with a carrier concentration >10E20 cm-3, temperature dependences of mobility were measured in the temperature range of 15-330 K. The dependences can be well described by Matthiessen’s rule for ionized impurity scattering with taking into account compensation and scattering on polar optical phonons. Grain-barrier scattering was found to have only minor effect. However, a correlation between structural perfection of the layers and mobility values were observed even for samples with a carrier concentration up to upper 10E20 cm-3 range. Generally, the films grown under metal-rich conditions (reactive oxygen to incorporated metal ratio < 1) show higher carrier concentrations and mobilities and lower compensation ratios. For the best GZO/a-sapphire films grown under metal-rich conditions, a compensation ratio as low as ~5% and 15-K electron mobility of ~51 cm2/V-s have been achieved for a carrier concentration as high as 9×10E20 cm-3. The layers grown under oxygen-rich conditions (reactive oxygen to incorporated metal ratio > 1) show large compensation values, presumably due to formation of (GaZn-VZn) defect complexes. The electron transport properties of GZO layers grown by MBE on GaN templates under metal-rich conditions were compared with those of GZO on the a-sapphire substrates to shed more light on the effect of underlying GaN templates on electron transport in GZO layers, which is important in the application of GZO as the transparent electrode in InGaN-based light-emitting diodes.
11:30 AM - M10.6
Interface Engineering of ZnO/MgO/Si and MgZnO/BeO/Si for p-n Heterojunction Visible- and Solar-Blind Ultraviolet Photodetectors.
Z. Mei 1 , H. Liang 1 , Y. Hou 1 , D. Ye 1 , S. Liang 1 , Z. Liu 1 , X. Du 1
1 , Institute of Physics, Chinese Academy of Sciences, Beijing China
Show AbstractIn recent decades, great efforts have been made on growth of hetero-epitaxial ZnO related films and fabrication of solar-blind ultraviolet (UV) photodetectors (PDs). [1-3] For potential integration of ZnO optoelectronic device with the well-developed Si microelectronic technologies, ZnO/Si and MgZnO/Si heterojunctions are highly desirable. However, epitaxial growth of high quality oxide films on Si is a big challenge due to the formation of amorphous SiO2 layer at the initial growth stage. [4] Moreover, phase separation will naturally happen because of the large crystal structure discrepancy between wurtzite ZnO and rock-salt MgO.We developed novel interface engineering technique to synthesize high quality ZnO related materials on Si using metal and metal oxide interfacial layers to protect the clean Si surface and serve as a superior epitaxy template, respectively. Mg was delicately deposited to prevent the oxidation of the clean Si surface, and the evolution of Mg/Si interface structure with increased temperature was systematically studied to provide solid evidence for the optimization of growth conditions. High-quality ZnO epilayer and visible-blind UV PD were finally obtained. [5, 6] However, single phase solar-blind MgZnO films cannot be achieved on this kind of interfacial layers due to the big difference of coordination numbers between rock-salt MgO and wurtzite MgZnO.Be and BeO were applied to replace Mg and MgO, repectively, in fabrication of solar-blind wurtzite MgZnO film by radio frequency assisted molecular beam epitaxy. It is found that Be/Si interface is more stable than Mg/Si interface, and BeO is more favorable to increase Mg content in MgZnO film. The interface controlling significantly improves the device performance, as the photodetector demonstrates a sharp cutoff wavelength at 280 nm, consistent with the optical bandgap of the epilayer. [7, 8] Our experimental results promise potential applications of this technique in integration of solar-blind UV optoelectronic device with Si microelectronic technologies.1.Z. L. Liu, Z. X. Mei, T. C. Zhang, Y. P. Liu, Y. Guo, X. L. Du, A. Hallen, J. J. Zhu, and A.Yu. Kuznetsov, J. Crys. Growth 311, 4356 (2009)2.X. L. Du, Z. X. Mei, Z. L. Liu, Y. Guo, T. C. Zhang, Y. N. Hou, Z. Zhang, Q. K. Xue, and A. Yu. Kuznetsov, Adv. Mater. 21, 4625 (2009) 3.Y. N. Hou, Z. X. Mei, Z. L. Liu, T. C. Zhang, and X. L. Du, Appl. Phys. Lett. 98, 103506 (2011)4.J. H. Choi, H. Tabata, and T. Kawai, J. Cryst. Growth 226, 493 (2001)5.X. N. Wang, Y. Wang, Z. X. Mei, J. Dong, Z. Q. Zeng, H. T. Yuan, T. C. Zhang, and X. L. Du, Appl. Phys. Lett. 90, 151912 (2007) 6.T. C. Zhang, Y. Guo, Z. X. Mei, C. Z. Gu, and X. L. Du, Appl. Phys. Lett. 94, 113508 (2009)7.H. L. Liang, Z. X. Mei, Q. H. Zhang, L. Gu, S. Liang, Y. N. Hou, D. Q. Ye, C. Z. Gu, and X. L. Du, Appl. Phys. Lett. 98, 221902 (2011)8.Y. N. Hou, Z. X. Mei, H. L. Liang, D. Q. Ye, S. Liang, C. Z. Gu, and X. L. Du, Appl. Phys. Lett. 98, xxxxxx (2011)
11:45 AM - M10.7
Structural Parameters of ZnMgO from First Principles and Experiment.
Marcel Giar 1 , Thomas Wassner 2 , Bernhard Laumer 1 2 , Markus Heinemann 1 , Martin Eickhoff 1 , Christian Heiliger 1
1 I. Physikalisches Institut, Justus-Liebig-University, Giessen, Hessen, Germany, 2 Walter Schottky Institut, Technical University, Munich, Bayern, Germany
Show AbstractRecent experimental research on the evolution of the lattice parameters of wurtzite ZnMgO alloys with Mg content x show that due to relaxation processes the lattice parameter a strongly depends on the film thickness. For layers with a thickness of about 300 nm grown by molecular beam epitaxy a is found to be independent of x [1] whereas for a thickness of 1 µm we find an increase in a with increasing x. We conduct cell relaxation calculations keeping the lattice parameter a fixed in the basal plane as well as complete cell relaxations and we determine the resulting a lattice parameters from an a-plane-growth modeling. All calculations are based on the LDA and a supercell approach in combination with alloy statistics to consider different alloy configurations inside the supercell. Theoretical and experimental results for the lattice constants a and c and related parameters are compared showing a good qualitative agreement.[1] T. A. Wassner, B. Laumer, S. Maier, A. Laufer, B. K. Meyer, M. Stutzmann, M. Eickhoff, J. Appl. Phys. 105, 023505 (2009)[2] A. Ohtomo, A. Tsukazaki, Semicond. Sci. Technol. 20, S1–S12 (2005)[3] X. F. Fan, H. D. Sun, Z. X. Shen, J.-L. Kuo, Y. M. Lu, J. Phys. Cond. Mat. 20, 235221 (2008)[4] M. Heinemann, M. Giar, C. Heiliger, Mater. Res. Soc. Symp. Proc. 1201, H05–33 (2010)
12:00 PM - M10.8
Filtered Cathodic Arc Deposition of ZnO:Al on Very High Aspect Ratio Si Microwire Arrays for Solar Cell Applications.
Rueben Mendelsberg 1 2 , Chito Kendrick 3 , Joan Redwing 3 , Niklas Friederichsen 1 , Andre Anders 1
1 Plasma Applications Group, Lawrence Berkeley National Laboratory, Berkeley, California, United States, 2 Molecular Foundry, Lawrence Berkeley National Laboratory, Berkeley, California, United States, 3 Department of Materials Science and Engineering, The Pennsylvania State University, University Park, Pennsylvania, United States
Show AbstractSolar cell technology has advanced beyond bulk crystals and thin films and is heading toward nano- and microstructured devices. One promising technology is based on vertically aligned Si microwire arrays whose structure leads to efficient decoupling of the absorption process from the carrier collection process. As with any solar cell, a top electrode is needed and a transparent conducting oxide (TCO) is a good choice for this geometry. However, the best performance will likely require a high quality conformal TCO coating over the microwire array. One of the most promising indium-free TCOs is ZnO:Al, which is showing great potential for solar cells and is compatible with most materials used in today’s solar cells.Atomic layer deposition (ALD) is an attractive technique for conformal coatings, but there is still some improvement to be made in the quality of ALD grown ZnO:Al. Another lesser-known technique capable of producing conformal coatings is pulsed filtered cathodic arc (PFCA) deposition. Highly transparent and conductive ZnO:Al thin films can be grown by PFCA and this work represents the first time conformal TCO coatings have been attempted by PFCA. Cathodic arcs create a highly ionized plasma and the kinetic energy of the arriving ions can be modulated by applying an electrical bias to the substrate. Energy dispersive X-ray analysis shows the degree of conformality depends strongly on the substrate bias conditions. Pulsed biasing affects the interplay of deposition and ion etching, providing a way to take advantage of both high energy and low energy ions. This work was supported by the U.S. Department of Energy under Contract No. DE-AC02-05CH11231.
12:15 PM - M10.9
Co-Sputtering Deposited Al-Doped ZnO Films for Photovoltaics.
Ju-Hyung Yun 1 2 , Joondong Kim 1 , Yun Chang Park 3 , Wayne Anderson 2
1 Nano-Mechanical Systems Research Center, Korea Institute of Machinery and Materials, Daejeon Korea (the Republic of), 2 Electrical Engineering, University at Buffalo, State University of New York, Buffalo, New York, United States, 3 Measurement and Analysis Division, National Nanofab Center (NNFC), Daejeon Korea (the Republic of)
Show AbstractA quality Al-doped ZnO (AZO) film was grown for a transparent surface contact on a Si solar cell. Al and ZnO were simultaneously deposited and spontaneously formed a transparent and low resistive AZO film. The changes of Al content significantly modulated the optical and electrical performances of the AZO films. Most AZO films provided 90 % or higher transparency at a wavelength of 500 nm but a significant transmittance reduction was observed by excess Al doping. The AZO film works as a transparent contact on a Si substrate with an excellent electrical property (20 - 30 Ω/sq). Additionally, the AZO film provides a significant reduction of light reflection due to the intermediate refractive index to air-Si system. It discusses the mechanism of AZO growth and applications for Si-based solar cells.
12:30 PM - M10.10
Resistive Controlling of ZnO Films by Atomic Layer Deposition Technique with Remote-Plasma Treatment.
Hsin-Wei Huang 1 , Yu-Lun Chueh 1
1 Materials science and engineering, National Tsing Hua University, Hsinchu Taiwan
Show AbstractZnO films were fabricated by using dietholzinc (DEZn) and DI water as a metal and an oxidant sources via atomic layer deposition technique (ALD), respectively. The ALD-ZnO films deposited without H2O gas plasma treatment have very low resistivity of ~10-2 Ω-cm at various substrate temperatures while the resistivity can be significantly enhanced by ~2 orders of magnitude after introducing a remote-plasma treatment as the oxidant. Photonluminescence (PL) and Hall measurement results indicated that the low resistivity is due to different density of defects, such as oxygen vacancies or Zn interstitials in the ALD-ZnO films, which is most likely resulted from the different deposition consequence between H2O gas with and without plasma treatment. The relationship between resistivity and formation mechanisms will be discussed through analyses of the atomic force microscopy (AFM) and X-ray reflectivity (XRR) in details. The findings in present study can provide an important information for the applications of electronic based on ZnO ALD films.
12:45 PM - M10.11
Study of the Structural, Electrical and Optical Properties of ZnO Thin Films Grown by ALD at Low Temperatures.
Ashish Kumar 1 , Trilok Singh 1 , Kaushal Kumar 1 , Mato Knez 2 , Rajendra Singh 1
1 Physics, Indian Institute of Technology Delhi, New Delhi India, 2 , Max Planck Institute of Microstructure Physics, Halle Germany
Show AbstractIn this report we study the effect of growth temperature on the structural, electrical and optical properties of nanometric thin films of ZnO. The ZnO films were grown on 2-inch diameter c-plane sapphire substrates at different temperatures, viz, 70, 100 and 130oC. The precursors used for the deposition of ZnO films were diethyl zinc (Zn (C2H5)2) and deionized water. High-purity nitrogen was used as a purging gas. We performed ALD processes in which pulse and purging time for both precursors were the same, i.e. 0.1s and 5 s, respectively. The thickness of the grown films was about 80 nm. These films were characterized using various techniques such as XRD, AFM, Hall/resistivity, XPS and photoluminescence. XRD measurements showed that the films were nanocrystalline in nature. Dominant diffraction peaks obtained in the XRD spectra were corresponding to (100), (002) and (103) planes. The grain size decreased from about 25 to 8 nm as the growth temperature increased from 70 to 130oC. The surface morphology of all the films was quite smooth with RMS roughness of about 1.2 nm over a scan area 1×1 μm2. The carrier concentration and mobility of the films were measured using four probe van der Pauw technique with indium as ohmic contacts on ZnO films. The carrier concentration increased from 2.0×1017 to 8.8×1017 cm-3 and the carrier mobility increased from 29 to 46 cm2/V-sec when the deposition temperature increased from 70 to 130oC. The XPS study was carried out using a Perkin Elmer system and AlKα X-ray (hν = 1486.6eV) radiation was used for the excitation the photoelectrons. In the XPS spectrum, both Zn2p and O1s peaks were observed. The PL spectra of the films were dominated by a UV emission peak located at about 383.3 nm, which is related to the excitonic recombination corresponding to the near band-edge transition. The visible emission band that is related to the deep level defects in ZnO was almost nonexistent in the spectra of all the films. This indicates that the ALD grown films possessed very low concentration of deep level defects. Hence using ALD technique, good quality thin films of ZnO can be grown at low temperatures and can find potential applications in electronic and optoelectronic devices such as thin-film-transistors (TFT) and photodetectors.
M11: Copper, Indium, Gallium and Tin Oxides
Session Chairs
Anderson Janotti
Detlef Klimm
Thursday PM, December 01, 2011
Ballroom C (Hynes)
2:30 PM - **M11.1
Electron Transport in Semiconducting SnO2 and In2O3 Films – Doping, Defects, and the Surface.
Oliver Bierwagen 1 4 , Takahiro Nagata 1 3 , Mark White 1 , Min-Ying Tsai 2 , James Speck 1
1 Materials, University of California, Santa Barbara, California, United States, 4 , Paul-Drude Institut, Berlin Germany, 3 , National Institute of Material Science, Tsukuba Japan, 2 Electrical and Computer Engineering, University of California, Santa Barbara, California, United States
Show AbstractThe oxides SnO2 and In2O3, that are well known in their highly doped form as transparent conducting oxides (TCO), have the potential to become transparent semiconductors in their own right. To synthesize these oxides as true semiconductors and study their intrinsic properties a high material quality and purity is mandatory.Plasma assisted molecular beam epitaxy (PA-MBE) is an excellent tool that fulfills these requirements. The PA-MBE growth of continuous, high quality SnO2 and In2O3 films films faces issues such as sub-oxide formation[1,2], faceting[3], and island nucleation[4]. Unintentionally- (uid) and intentionally doped SnO2 and In2O3 films were grown by PA-MBE and investigated with respect to their transport properties. Characteristic for these oxides is the unintentional n-type conductivity, difficulty to achieve p-type conductivity, and the presence of a surface electron accumulation layer. High mobilities at a low uid donor concentration suggest a high material quality [1,5,10]. Systematic transport-, annealing-, and SIMS experiments suggest that hydrogen is not the uid donor in SnO2[6], and oxygen vacancies (or metal interstitials) are the uid donors in In2O3[5]. A surface electron accumulation layer and its depletion by an oxygen plasma surface treatment was demonstrated for SnO2 [7,8] and In2O3 [9]. Systematic doping experiments of SnO2 showed that Sb is a well behaved shallow donor with 100% doping efficiency [10]. While In and Ga have been theoretically predicted to be relatively shallow acceptors [11], our In- and Ga-doped SnO2 films are semi-insulating without any indication of p-type conductivity – suggesting In and Ga to be deep acceptors [12,6]. In2O3 films were systematically doped by Sn (donor) and Mg (acceptor). Sn-doping allowed to increase the electron concentration up to the low 1e21cm^-3 (typical for ITO). Mg doping did not lead to p-type conductivity. Transport- and annealing experiments in vacuum and oxygen suggest interstitial O to be the dominant compensating acceptor in highly Sn-doped films, whereas O vacancies might be compensating donors in Mg-doped films.[1] M.E. White et al., J.Vac.Sci.Technol. A 26, 1300 (2008); M.Y. Tsai et al., J.Crystal Growth 310, 4256 (2008).[2] M.Y. Tsai et al., J. Appl. Phys. 106, 024911 (2009).[3] O. Bierwagen et al., Appl. Phys. Lett. 95, 262105 (2009).[4] O. Bierwagen and J.S. Speck, J. Appl. Phys. 107, 113519 (2010).[5] O. Bierwagen and J.S. Speck, Appl. Phys. Lett. 97, 072103 (2010).[6] M.Y. Tsai, PhD dissertation, UCSB (2011).[7] T. Nagata et al., Appl. Phys. Lett. 98, 232107 (2011).[8] T. Nagata et al. , J. Appl. Phys. 107, 033707 (2010); O. Bierwagen et al., Appl. Phys. Express 2, 106502 (2009).[9] O. Bierwagen et al., Appl.Phys.Lett. 98, 172101 (2011).[10] M.E. White et al., J. Appl. Phys. 106, 093704 (2009).[11] A.K. Singh et al., Phys. Rev. Lett. 101, 055502 (2008).[12] M.E. White et al., Appl. Phys. Express 3, 051101 (2010).
3:00 PM - M11.2
Surface Donors Cause High Carrier Concentration in Undoped In2O3 Thin Films.
Andriy Zakutayev 1 , Stephan Lany 1 , John Wager 3 , Thomas Mason 4 , Kenneth Poeppelmeier 2 , Joseph Berry 1 , John Perkins 1 , David Ginley 1 , Alex Zunger 1
1 National Center for Photovoltaics, National Renewable Energy Laboratory, Golden, Colorado, United States, 3 School of Electrical Engineering and Computer Science, Oregon State University, Corvallis, Oregon, United States, 4 Department of Materials Science and Engineering, Northwestern University, Evanston, Illinois, United States, 2 Department of Chemistry, Northwestern University, Evanston, Illinois, United States
Show AbstractThin-films of undoped In2O3 can exhibit unintentional high conductivities over 1000 S/cm in the absence of carrier-generating extrinsic dopants. The commonly assumed reason for unintentional high conductivities in undoped In2O3 thin films is formation of oxygen vacancies in the bulk of In2O3. To elucidate the cause of conductivity in undoped In2O3 thin films, we applied complementary experimental and theoretical techniques – thickness-dependent Hall effect measurements on epitaxial In2O3 thin films and surface defect calculations of In2O3 surfaces. We found that surface donors rather than bulk oxygen vacancies or hydrogen impurities dominate the conductivity of undoped In2O3 thin films. This explains why it is difficult to make polycrystalline In2O3 thin films semiconducting.Thickness-dependent Hall effect measurements on epitaxial In2O3/YSZ (111) thin films were used to decompose the measured carrier density onto bulk and surface components. We found that electrical conductivity of undoped epitaxial In2O3 thin films is dominated by the surface component up to 150 nm film thickness. Moreover, the surface component was found to obey the same -1/6 power law as the bulk component with respect to the change in the oxygen partial pressure (pO2), explaining why bulk oxygen vacancies were commonly assumed to be the reason of unintentional high conductivity in undoped In2O3 thin films. Surface contribution to electrical conductivity observed in undoped epitaxial In2O3 thin films is expected to be even stronger in technologically relevant polycrystalline In2O3 thin films, which have much large surface to volume ratio than epitaxial samples.Surface defect calculations of In2O3 surfaces were used to study the origin of large surface conductivity in In2O3. We found that formation energies and transition levels of donor-like defects at the (111) In2O3 surface is significantly smaller than that in the bulk. The surface donors release electrons in both surface and bulk conduction bands. The results of this theoretical study are consistent with results of the aforementioned thickness-dependent Hall effect measurements, as well as with the reported in literature photoemission experiments that reveal accumulation of electrons at In2O3 surfaces.We also considered alternative reasons discussed in literature for unintentional high conductivities in undoped In2O3 thin films, in particular hydrogen impurities. According to the theoretical bulk defect calculations, the formation energies of intrinsic hydrogen impurities are too high to account for experimentally observed high electron concentrations in undoped In2O3 thin films. Under realistic growth conditions with partial pressure of hydrogen (pH2) of less than 1 atm, the calculated carrier concentrations due to hydrogen impurities remains below 10^15 cm^-3.
3:15 PM - M11.3
Cross-over Behavior in the Nature of Oxygen Vacancy in In2O3.
Sang Ho Jeon 1 , Sangyoon Lee 2 , Myungkwan Ryu 2 , Seungwu Han 1
1 Department of Materials Science and Engineering, Seoul National University, Seoul Korea (the Republic of), 2 , Samsung Advanced Institute of Technology, Samsung Electronics Co., Yongin Korea (the Republic of)
Show AbstractIn2O3 is one of the most popular transparent conducting oxides and is widely used in various devices in energy and display applications. In2O3 is often intentionally doped with extrinsic dopants, most frequently Sn, to achieve high electronic conductivities. However, the undoped In2O3 also exhibit substantial carrier densities up to ~1020 cm-3. From the correlation between oxygen partial pressure in the growth and the carrier density, it has been suggested that the oxygen vacancy may contribute to the creation of electron carriers. Several first-principles studies have been performed at various accuracy levels but the nature of oxygen vacancy, that is to say, whether it is a shallow or deep donor is still contested. In this presentation, we investigate the nature of oxygen vacancy using the first-principles methods based on the GGA, GGA+U, and hybrid functionals. In contrast with the previous theoretical attempts, we pay attention to the supercell size used in the defect calculations and systematically increase the supercell size up to 2.8×2.8×2 nm3 (including 1280 atoms) . We find that main results such as the relaxation around the vacancy site significantly change with respect to the supercell size. In the dilute limit, the oxygen vacancy is found to be a shallow donor with the ionization energy of 0.1 eV which is in good agreement with the experimental value (~0.093 eV). In contrast, when the vacancy density is larger than 1020 cm-3, the character of the oxygen vacancy changes from the shallow donor to the deep donor, and the vacancy does not contribute to the electrical conductivity anymore. In addition, we investigate the vacancy-vacancy association, and find that the di-vacancy is stable with a binding energy of ~0.3 eV.
3:30 PM - M11.4
Texture Development of SnO2 Thin Films: The Role of Surface and Strain Energy.
Vincent Consonni 1 , Germain Rey 1 , Anusha Muthukumar 1 , Hervé Roussel 1 , Béatrice Doisneau 2 , Estelle Appert 2 , Céline Ternon 3 , Daniel Bellet 1
1 , LMGP, CNRS - Grenoble INP, Grenoble France, 2 , SIMaP, CNRS - Grenoble INP, Grenoble France, 3 , LTM, CNRS - UJF - Grenoble INP, Grenoble France
Show AbstractPolycrystalline fluorine-doped SnO2 (FTO) thin films have received in the last decade increasing interest due to their promising application in a wide variety of devices such as gas sensors, coatings or more recently transparent conductors in solar cells. The fabrication of FTO thin films with a figure of merit as large as 62x10-3 Ω-1 has been reported but improvements are required for solar cells [1]. One of the most critical structural properties may be related to the orientation of FTO thin films, namely their texture. It should be noted that the texture directly affects the conductivity and transparency of FTO thin films, but the physical mechanisms governing their orientation as well as the effects of growth conditions are still not completely understood [2].In this work, FTO thin films are grown by ultrasonic spray pyrolysis on borosilicate glass substrates. The structural properties such as film thickness, grain size and shape and texture are thoroughly investigated by scanning and transmission electron microscopy imaging as well as x-ray and electron diffraction measurements. In particular, a special emphasis is made on the quantitative texture analysis: x-ray diffraction patterns are collected with a Bruker D8 Advance diffractometer using CuKα radiation according to the Bragg-Brentano configuration. It is found that a texture transition from <110> to <100> and <301> crystallographic orientations occurs as film thickness increases [3]. The texture effects are described in the framework of the thermodynamic approach given by Thompson et al. considering the minimization of total free energy as the driving force for grain growth [4]. For very small film thickness, it is shown that the <110> preferred orientation is due to surface energy minimization since the (110) planes have the lowest surface energy in the rutile structure. In contrast, as the film thickness increases, the <110> and <301> crystallographic orientations are progressively predominant owing to strain energy minimization [3]. Eventually, the electro-optical properties are investigated by ultra-violet/visible absorption and Hall effect measurements, revealing a figure of merit as high as 68x10-3 Ω-1.This work has been supported by the grants from the Carnot Institute ENERGIES DU FUTUR and from the French Research National Agency through the project ASYSCOL.[1] A.V. Moholkar, S.M. Pawar, K.Y. Rajpure, C.H. Bhosale, and J.H. Kim, Appl. Surf. Sci. 255, 9358 (2009). [2] C.Y. Kim and D.H. Riu, Thin Solid Films 519, 3081 (2011).[3] V. Consonni, G. Rey, A. Muthukumar, H. Roussel, E. Appert, C. Ternon, and D. Bellet, (submitted).[4] C.V. Thompson, Annu. Rev. Mater. Sci. 30, 159 (2000).
3:45 PM - M11.5
Extended Defects in Indium Sesquioxide, Tin Dioxide and Indium Tin Oxide.
John Buckeridge 1 , C. Richard Catlow 1 , Alexey Sokol 1 , Aron Walsh 2
1 Department of Chemistry, University College London, London United Kingdom, 2 Department of Chemistry, University of Bath, Bath United Kingdom
Show AbstractIndium sesquioxide, tin dioxide, and indium tin oxide (ITO) are widely used transparent conducting oxides. The ability to precisely etch ITO into fine patterns opens up the possibility of using the material for next-generation solar cells based on ITO nanorods. Such solar cells would have the potential to provide low-cost, lightweight devices operating over a broad frequency range. However, there are many open questions on the basic physics of these materials, including the origin of the electron conductivity. For the case of ITO, though Sn atoms donate electrons, the carrier concentration is lower than would be expected from the Sn doping level. At doping concentrations of up to 10%, impurity segregation and strong ionic compensation is a possibility in the material, which will affect conductivity. Understanding defect structures is therefore a priority. To address these issues we have undertaken a series of studies into defect formation processes and properties in both bulk and surface environments. We use a novel approach to treat the localised defects in degenerate semiconductors, based on the embedded cluster method. The immediate vicinity of the localized defect is modelled using a first-principles approach, and the surrounding regions are modelled using classical potentials, which provides a correct elastic and complex dielectric response to defect formation. Our results establish the role of extended defects in generating and trapping charge carriers in these systems.
4:30 PM - **M11.6
Accomodation of Lattice Mismatch in the Y-ZrO2/In2O3 Heteroepitaxial System.
Russell Egdell 1
1 Inorganic Chemistry Laboratory, University of Oxford, Oxford United Kingdom
Show AbstractAbstractTin doped indium oxide (In2O3) aka ITO is one of the most important transparent conducting oxides, yet it is only recently that many fundamental aspects of the bulk and surface physics of indium oxide itself and ITO have been addressed [1-3]. We have an ongoing programme concerned with growth of In2O3 on cubic Y-stabilised ZrO2 by oxygen plasma assisted molecular beam epitaxy. There is a 1.6% effective mismatch in lattice parameters between the substrate and the epilayer, with the epilayer under tensile strain. On (111) surfaces In2O3 grows as a continuous film. In very thin films lattice mismatch is accommodated purely by pseudomorphic tensile strain, leading to a small decrease in the bandgap of the In2O3 [4]. Analysis of reciprocal space maps from synchrotron based x-ray diffraction (XRD) on these strained layers leads to a new experimental determination of the Poisson ratio for In2O3. By contrast on (001) and (110) substrates the In2O3 grows as square islands and nanorods, respectively [5]. Combined atomic force microscopy (AFM) and XRD studies show that for (001) islands lattice mismatch is accommodated in part by a tilt along <110> directions for large islands [6], with a transition to random tilt directions and finally to untilted islands as the island size decreases. Finally it is shown that nanorods grown on (110) surfaces tilt along the [001] direction but accommodate mismatch by strain along the [1-10] directionReferences1 P D C King et al., Physical Review Letters 2008 101 1168082 A Walsh et al., Physical Review Letters 2008 100 1674023 K H L Zhang et al., Chemistry of Materials 2009 21 43534 A Walsh et al. , Physical Review B 2011 83 1612025 K H L Zhang et al., Nano Letters 2010 10 37406 R A Cowley et al., Physical Review B 2010 82 165312
5:00 PM - M11.7
Electrical Characterization of β-Ga2O3 Single Crystals.
Klaus Irmscher 1 , Zbigniew Galazka 1 , Mike Pietsch 1 , Reinhard Uecker 1 , Roberto Fornari 1
1 , Institute for Crystal Growth, Berlin Germany
Show AbstractAmong the transparent semiconducting oxides the β-form of gallium sesquioxide is particularly interesting because of its extended transparency to the deep ultraviolet wavelength range. According to the large band gap of β-Ga2O3 of about 4.7 eV strong absorption sets in only below 260 nm. With regard to the electrical conductivity, exclusively n-type behavior and conductivities up to 50 Ω-1cm-1 at room temperature were reported so far. β-Ga2O3 has the potential for the realization of transparent unipolar devices. For further progress in the development of such devices, detailed and reliable data on the electrical properties of β-Ga2O3 must be made available. This can be readily obtained from investigations of nearly perfect single crystals.We report on the electrical properties of β-Ga2O3 single crystals grown by the Czochralski method from an iridium crucible under oxidizing atmosphere. The electrical characterization was performed using temperature dependent conductivity and Hall effect (TDH) measurements as well as deep level transient spectroscopy (DLTS) including current-voltage (I-V) and capacitance-voltage (C-V) characteristics. Although not intentionally doped, all the crystals show n-type conductivity with net donor concentrations in the range from 6×1016 to 8×1017 cm-3. The Hall mobility of electrons was up to 150 cm2/Vs at room temperature and attained a maximum of 500 cm2/Vs at 100 K. Using the most reliable value of the effective electron mass of 0.28m0, the analysis of the temperature dependent electron concentration requires to assume a partial compensation of the donors by acceptors. The ionization energy of the shallow donors decreased from 25 to 16 meV with increasing doping concentration. The extrapolation of this dependence to zero concentration yielded for the ionization energy of isolated donors a value of about 36 meV being consistent with effective-mass theory. Sample annealing in oxidizing atmosphere shows that there are at least two shallow donor impurities or defects present in our crystals. Among them silicon is assumed to be a significant one. Three deep electron traps were found by DLTS at 0.55 eV, 0.74 eV, and 1.04 eV below the conduction band edge. The trap at EC – 0.74 eV was detected in all samples with concentrations up to mid 1016 cm-3 and seemed to be the dominating compensating acceptor in our crystals. Furthermore, a value of ΦB = (1.1 ± 0.1) V was determined for the Schottky barrier height of Ni on the (100) surface of n-type β-Ga2O3.
5:15 PM - M11.8
Hydrogen Impurity Centers in SnO2: Ab Initio Calculations.
Luisa Scolfaro 1 , Pablo Borges 2 , Horacio Leite Alves 3 , Eronides da Silva 4 , Lucy Assali 2
1 Department of Physics, Texas State University, San Marcos, Texas, United States, 2 , Universidade de Sao Paulo, Sao Paulo, SP, Brazil, 3 , Universidade Federal de Sao Joao del Rei, Sao Joao del Rei, MG, Brazil, 4 , Universidade Federal de Pernambuco, Recife, PE, Brazil
Show AbstractTin dioxide (SnO2) is a wide-band-gap oxide semiconductor and is part of a class of promising transparent conducting oxides, transmitting visible radiation and conducting electricity. These properties allow envisioning widespread applications, such as in optoelectronic devices, panel displays and gas sensors. SnO2 shows n-type conductivity even when not intentionally doped. Theoretically, it has been proposed the unintentionally H doping, either at interstitials or at O sites to provide the shallow donors for the n-type conductivity of SnO2. However, only recently muonium paramagnetic resonance measurements in SnO2 revealed, indirectly, that isolated H forms a shallow donor state in this oxide. This finding places H as a strong candidate to explain the n-conductivity in unintentionally doped SnO2. Since H is certainly an electrically active impurity, which is present in many growth environments, a deeper theoretical understanding of the hydrogen-related impurity centers in SnO2 is highly welcome. We present here the results of ab initio studies, based on self-consistent electronic structure calculations for several H-related impurity centers in the rutile structure of SnO2. We studied the isolated impurities (Hi, HO, HSn,), as well as the complexes (Hi - Hi, Hi - HO, Hi - HBC, and HBC - HBC, where BC stands for bond-centered site). The calculations were based on the density functional theory in the local density approximation, with generalized gradient corrections (DFT-GGA). Specifically we used in the calculations the Projector-Augmented-Wave scheme, as implemented in the Vienna Ab-initio Simulation Package (VASP-PAW). On-site Coulomb correlation interactions (U) were included in the Sn-4d orbital. The electronic structure calculations of all the hydrogen-related centers were performed using the theoretical equilibrium lattice parameters of the rutile structure in a 72-atom supercell. We analyzed the electronic and structural configurations, symmetries, and the formation and transition energies for all the impurity centers. Our findings show that hydrogen has a donor character for all complexes studied and that some complex centers are more stable than the isolated ones. These results suggest that other H-related centers may also be responsible for the n-character of the unintentionally doped SnO2.
5:30 PM - M11.9
Characterization of F- and Zn-Doped SnO2 Thin Films Prepared by Chemical Spray Pyrolysis.
Fikry El Akkad 1
1 Physics, Kuwait University, Safat Kuwait
Show AbstractSnO2 is one of the most important transparent conducting oxides (TCO) for applications in the field of optoelectronic devices. Chemical spray pyrolysis is used to prepare SnO2 thin films doped with variable concentrations of F or Zn. The films are characterized using X-ray diffraction (XRD), scanning electron microscopy (SEM), X-ray photoelectron spectroscopy (XPS) and optical measurements. The results show that the undoped and F-doped films are polycrystalline with the crystallites being preferentially oriented along the <211> direction. The orientation direction changes to <200> following high temperature annealing. Evidence is obtained for an expansion of the lattice after annealing, which is suggestive of a decreasing concentration of oxygen vacancies (i.e. improved stoichiometry) at higher temperatures. Zn- doped films, on the other hand, show mostly featureless XRD spectra (even after high temperature annealing) indicating lower crystalline quality. From the photon-energy dependence of the absorption coefficient, a direct energy band gap in the range 4.135-4.465 eV and in the range 3.625-4.135 eV was determined for SnO2:F and SnO2:Zn respectively. The gap was found to shift to higher energies with increasing F concentration and to lower energies with increasing Zn-concentration. This behavior is interpreted in terms of the Moss-Burstein shift in the F-doped samples and in terms of the bowing parameter due to the formation of ZnSnO solid solution in the Zn-doped samples.
5:45 PM - M11.10
Current-Voltage Characteristics of Laterally Arranged Cu2O/ZnO Heterostructures.
Julian Benz 1 , Soeren Zint 1 , Daniel Reppin 1 , Philipp Hering 1 , Torsten Henning 1 , Peter Klar 1 , Bruno Meyer 1
1 I. Physikalisches Institut, Justus-Liebig Universität, Giessen Germany
Show AbstractCuprous oxide (Cu2O) is a p-type semiconductor with a band gap of about 2.1 eV. In the last years the interest in Cu2O has increased because of its potential use in sustainable photovoltaic or optoelectronic devices. We investigated p-Cu2O/n-ZnO heterostructures to circumvent the lack of n-type Cu2O. A lateral layout was chosen for a more detailed investigation of the Cu2O/ZnO interface. The samples were fabricated by transferring a pattern of squares with different edge lengths into a sputtered Cu2O thin film by means of photolithography and wet chemical etching. The square shaped holes obtained in the Cu2O thin film were then filled with ZnO in a second sputter deposition step. The investigation of the Cu2O/ZnO interface of the samples by scanning electron microscopy revealed a noncontinuous interface between the Cu2O and ZnO materials. Additional measurements of the current-voltage characteristics indicate a strong dependance on the edge lengths of the ZnO squares. Here we present a model to explain this effect on the basis of a statistical distribution of point contacts of different width. Furthermore we show that the simulation by this model fits the experimental data.
M12: Poster Session: Defects and Doping
Session Chairs
Steven Durbin
Marius Grundmann
Jamie Phillips
Timothy Veal
Friday AM, December 02, 2011
Exhibition Hall C (Hynes)
9:00 PM - M12.10
Cation Defects and Diffusion in Yttria-Stabilized Zirconia of Different Oxidation States.
Liang Qi 1 , I-Wei Chen 1 , Ju Li 1
1 Dept. of Materials Science & Engineering, University of Pennsylvania, Philadelphia, Pennsylvania, United States
Show AbstractAlthough cubic zirconia contains a copious amount of oxygen vacancies that migrate rapidly, cation diffusivity is ~10^10 slower. However, electric loading may introduce supersaturated/undersaturated oxygen vacancies to the system, altering the Fermi level and local oxidation states. Using density functional calculations and classical molecular dynamic simulations, we determined the order of stability among Zr defects (interstitials and vacancies) of various oxidation states in Yttria-stabilized Zirconia (YSZ), which has highly-deformed local lattice structure. It is found that these defect formation energies change with varying Fermi level and with the configurations of nearby oxygen vacancies. Moreover, certain Zr defects have much lower formation energies and migration barriers compared with their counterparts in the electrically unloaded YSZ, supporting our recent observations of 10^6 enhanced cation kinetics in electrically loaded YSZ under a large DC current. This abnormally high cation diffusivity and its corresponding mechanism provide a new routine for the fabrication of oxide devices.
9:00 PM - M12.11
Electrical and Optical Characterization of ZnO Hydrothermal Single Crystals with Low Lithium Concentration.
Robert Heinhold 1 , Florian Schmidt 2 , Holger von Wenckstern 2 , Marius Grundmann 2 , Jessica Chai 3 , Tom Myers 3 , Rueben Mendelsberg 4 , Steve Durbin 5 , Hyung Kim 1 , Roger Reeves 1 , Martin Allen 1
1 The MacDiarmid Institute for Advanced Materials and Nanotechnology, University of Canterbury, Christchurch, Canterbury, New Zealand, 2 Fakultät für Physik und Geowissenschaften, Institut für Experimentelle Physik II, Universität Leipzig, Leipzig Germany, 3 Materials Science, Engineering, and Commercialization Program, Texas State University, San Marcos, Texas, United States, 4 Material Science Division, Lawrence Berkeley National Laboratory, Berkeley, California, United States, 5 Department of Electrical Engineering and Department of Physics, University at Buffalo, Buffalo, New York, United States
Show AbstractThe ready availability of epi-polished bulk single crystals, grown using a variety of techniques, represents a significant advantage for ZnO over competing wide band gap semiconductor materials. These bulk crystals can be used as high quality lattice-matched substrates for homoepitaxial ZnO growth or fabricated directly into devices such as UV Schottky photodiodes. Bulk ZnO crystals grown using the hydrothermal method are particularly interesting as the slow, low temperature (300 – 400 °C) growth results in very high crystal quality. Significant quantities of group I acceptor impurities (in particular lithium) are unintentionally introduced via alkaline mineralizers producing highly compensated material with carrier concentrations typically in the 1013 – 1014 cm-3 range for crystal growth in the +c direction [1]. Recently hydrothermal material with comparatively low lithium concentrations (< 0.01 ppm) has become available from Tokyo Denpa Ltd (Japan) which can be used to limit impurity diffusion during homoepitaxial growth and to provide less-compensated lower resistivity material for device fabrication. ‘Low lithium’ hydrothermal ZnO is typically prepared by annealing conventionally grown material at high temperatures (1400 °C) to drive bulk lithium (and other impurities) to the surface where it is removed by subsequent surface grinding and re-polishing.In this paper, we investigate the optical and electrical properties of such ‘low lithium’ hydrothermal ZnO using photoluminescence (PL) spectroscopy, magnetotransport measurements, thermal admittance spectroscopy (TAS) and deep level transient spectroscopy (DLTS). Surprisingly, broader PL emission (with I5 (unknown) replacing I6a (Al) as the dominant neutral donor bound emission) and no significant increase in low temperature electron mobility were observed compared to the conventional ‘higher impurity concentration’ hydrothermal material [2-4]. In addition, the T2 defect (thermal activation energy 180 meV) appeared in significant concentrations in the TAS and DLTS spectra which may be related to the high temperature thermal annealing involved in lithium removal. High quality Schottky photodiodes sensitive in the UVA spectral range were fabricated on the Zn-polar and O-polar faces of this material and showed surprisingly large polarity-related differences.[1] Maeda et al., Semicond. Sci. Technol. 20, S49 (2005).[2] von Wenckstern et al., Appl. Phys. Lett. 91, 022913 (2007).[3] Allen et al., Phys. Rev. B 81, 075211 (2010).[4] Vines et al., J. Appl. Phys. 107, 103707 (2010).
9:00 PM - M12.12
Defects in H Implanted ZnO: Implantation or H Related Effects.
Keng Chan 1 , Jennifer Wong-Leung 2 1 , Jian Ye 1 , Patrick Parkinson 1 , Edouard Monakhov 3 , Klaus Johansen 3 , Lasse Vines 3 , Chennupati Jagadish 1 , Bengt Svensson 3
1 Dept. of Electronic Materials Engineering, Australian National University, Canberra, Australian Capital Territory, Australia, 2 Centre for Advanced Microscopy, The Australian National University, Canberra, Australian Capital Territory, Australia, 3 Department of Physics/Centre for Materials Science and Nanotechnology, University of Oslo, N-0316 Oslo Norway
Show AbstractZnO is a promising material in the applications of transparent conductors, piezoelectric devices, spintronics, sensors and optoelectronic devices operating in the UV regions. However, the realisation of ZnO based electronic devices is still hindered by the difficulty to achieve reproducible and stable p-type ZnO. The role of H in ZnO has received wide attention since Van de Valle [1] postulated that H acts as a shallow donor and may be the reason for the persistent high n-type conduction observed in native ZnO substrates. The high conductivity layer in ZnO formed by H implantation has been characterised by secondary mass ion spectrometry and scanning spreading resistance microscopy [2]. However, details of the microstructures of this layer are still not well understood. In this research, we investigate the influence of H implantation on the microstructures and optical characteristics of ZnO. Single crystal ZnO substrates were implanted with 100 keV H- with doses of ranging from 1×1016 to 1×1017 cm-2 at room temperature. Careful studies were designed to separate the effects on ZnO caused by ion implantation from the effects caused by hydrogen incorporation. Microstructures of H implanted ZnO were characterised by X-ray diffraction (XRD) and transmission electron microscopy. Optical characteristics of H implanted ZnO were studied by photoluminescence spectroscopy, Fourier transform infrared spectroscopy and Raman spectroscopy. The trend existing as a function of implantation dose was studied in detail by all techniques. XRD results show that H implantation causes the formation of a deformed layer with a larger c-parameter than the ZnO substrate. This layer was also observed in N implanted ZnO [3]. Therefore, we believe that the elongation of c-parameter in the as-implanted layer is an implantation related effect rather than a result of the hydrogen incorporation. [1] Van de Walle, C. G. (2000) Hydrogen as a cause of doping in Zinc Oxide. Phys. Rev. Lett. 85, 1012.[2] Monakhov, E.V., Christensen, J. S., Maknys, K., Svensson, B. G. & Kuznetsov, A. Yu. (2005) Hydrogen implantation into ZnO for n+-layer formation. Appl. Phys. Lett. 87, 191910.[3] Merceroz-Perillat, G., Gergaud, P., Marotel, P., Brochen, S., Jouneau, P. & Feuillet, G. (2011). Formation and annealing of dislocation loops induced by nitrogen implantation of ZnO. J. Appl. Phys. 109, 023513.
9:00 PM - M12.13
Homoepitaxy of ZnO Thin Films: Influence of Crystal Orientation on Residual Doping and Nitrogen Incorporation.
Dimitri Tainoff 1 , Christiane Deparis 1 , Mohamed AlKhalfioui 1 2 , Monique Teisseire 1 , Christian Morhain 1 , Borge Vinter 1 2 , Jean-Michel Chauveau 1 2
1 , CRHEA-CNRS, Sophia Antipolis France, 2 , University of Nice Sophia Antipolis, Nice France
Show AbstractIn spite of many investigations, p-type doping of ZnO and its related alloys is still a blocking point for the development of ZnO based optoelectronic devices. Among many candidates (As, P, N, C …) studied to achieve p type doping of ZnO, promising results have been obtained using nitrogen.Possibly, however, high p-type doping levels could not be achieved because most of these studies were based on ZnO grown on foreign substrates (Sapphire, Si, SCAM, LiAlO3…). Indeed, the heteroepitaxy of ZnO generates a high density of impurities and structural defects leading to local inhomogeneities of the dopants and parallel conduction channels. Therefore, reliable electrical characterizations are not straightforward on heteroepitaxial layers. The use of ZnO bulk substrates can circumvent these limitations, providing very high quality ZnO films, and efficient LEDs have been demonstrated. In addition, it has already been shown that the orientation of the substrate plays an important role in the incorporation of dopants, including nitrogen. In this presentation we report on the interplay between growth parameters, structural, optical and electrical properties in nitrogen doped ZnO thin films grown by molecular beam epitaxy on both non polar (m plane and a plane), semi-polar (r-plane) and polar (O-face and Zn-face) oriented ZnO substrates. After growth optimization, we show that a very low residual doping level can be achieved on non- and semi- polar faces (10^14 cm-3 or below).Nitrogen was then activated in an rf-plasma cell. Secondary Ion Mass Spectroscopy measurements show that the nitrogen concentration strongly depends on the growth temperature and the film orientations. A nitrogen concentration up to 10^20 cm-3 can be incorporated without deteriorating the structural quality (RMS roughness and X-ray full width at half maximum below 1nm and 100 arcsec, respectively). Low temperature photoluminescence spectra taken from ZnO:N layers show different features which can be assigned with respect to the literature. Two series of broad donor acceptor pair (DAP) emission are systematically observed around 3.20 and 3.24 eV for nitrogen doped films, which is an unambiguous optical signature of shallow acceptor levels. The ratio between the intensity of these two bands, which depends on the film orientation and annealing treatment, will be discussed in view of the impurity concentration in the layers.
9:00 PM - M12.14
Optical Studies of ZnO:N.
Thomas Sander 1 , Christian Reindl 1 , Stefan Lautenschlaeger 1 , Peter Klar 1 , Bruno Meyer 1
1 Institute of Experimental Physics I, Justus-Liebig-University of Giessen, Giessen, Hessen, Germany
Show AbstractReliable p-type doping of ZnO has not been achieved yet but current studies indicate that nitrogen-related complexes are promising candidates for acceptors.To understand the underlying physics of the incorporation of nitrogen into ZnO and to find an optimum doping regime we studied the vibrational structures of a series of nitrogen doped ZnO samples grown by chemical vapor deposition (CVD). The N-content was determined by secondary ion mass spectroscopy (SIMS). A conventional Raman microscope with excitation wavelengths of 532 and 633 nm was used to study the angle and temperature dependence of the A1, E1, and E2 ZnO Raman modes, local nitrogen modes between 270 and 800 cm-1 Raman shifts, and a mode at around 3150 cm-1 Raman shift which was currently assigned to an N-H complex. The results allow us to determine the Raman tensor elements of ZnO and to study the symmetry of the nitrogen complexes. Furthermore low temperature reflectivity spectra were recorded to investigate the electronic structure of the samples.
9:00 PM - M12.15
Hydrogen Shallow Donors in Pristine and Mn/Al-doped ZnO Systems.
Jun Kue Park 1 , Kyu Won Lee 1 , Do-wan Kim 1 , Hyun Jin Cho 1 , Cheol Eui Lee 1
1 , Department of Physics and Institute for Nano Science, Korea University, Seoul Korea (the Republic of)
Show AbstractHydrogen is known to induce or enhance ferromagnetism in transition-metal-doped ZnO systems, ferromagnetic diluted magnetic semiconductors having been extensively studied for spin-based information technology. Hydrogen level in ZnO has attraction for the stable H+ state in any Fermi-level position, acting as a shallow donor. In this work, hydrogen shallow donors in pristine and Mn/Al-doped ZnO systems have been studied in a systematic manner by means of the electron paramagnetic resonance (EPR) and 1H nuclear magnetic resonance (NMR) measurements. Experimental evidence is given for coupling of hydrogen shallow donors and Mn ions in Mn-doped ZnO mediating short-range ferromagnetic spin-spin interaction. The thermal activation energies of the shallow donors in pristine and Mn-doped ZnO systems as derived from the temperature-dependent EPR intensity are compatible with that for hydrogen atoms, whereas that in Al-doped ZnO is compatible with that for both hydrogen atoms and Al ions substituting lattice Zn ions. The behaviors upon post-annealing of the hydrogen species, hydrogen shallow donors at interstitial sites, Hi+, and the “hidden” hydrogen in the oxygen vacancy, VO2+-H2 in the ZnO systems were also elucidated by the 1H NMR spectroscopy.
9:00 PM - M12.16
Ultraviolet ZnO Homojunction Coaxial Nanorods Light Emitting Diode Fabricated by Aqueous Solution Method.
Xuan Sang Nguyen 1 , Chuan Beng Tay 2 , Jie Tang 2 , Soo Jin Chua 1 2 , Eugene A. Fitzgerald 3
1 Singapore - MIT Alliance, National University of Singapore, Singapore Singapore, 2 Nanoscience and Nanotechnology Initiative, National University of Singapore, Singapore Singapore, 3 Department of Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States
Show AbstractZnO, a wide and direct band-gap semiconductor with a bandgap of 3.37 eV, is one of the promising materials for use in solid-state lighting application with potential for low cost manfacturing. The major difficulty in realizing the potential is the stability of p-type doping. In this work, we present the fabrication of a p-shell/n-core coaxial nanorods ZnO homojunction light emitting diode by inexpensive solution method. The p-type conductivity of the ZnO shell arises from the incorporation of potassium while the n-type conductivity of the core is due to unintentional doping. The p-type ZnO were investigated by SIMS, XPS and temperature dependent photoluminescence. The I-V characteristic shows a turn on voltage of 3.35 V, in agreement with device simulation using TMA MEDICI. Electroluminescence (EL) spectrum shows a strong UV peak at 370-372 nm with a broad visible peak centered at about 560 nm. Comparison of the EL spectrum against the photoluminescence of ZnO nanorods grown on GaN confirms that the light emission originates from the ZnO homojunction and not from the GaN/ZnO junction. Further confirmation is obtained by comparing the electroluminescence from the p-type ZnO nanorod grown on n-type GaN film. Annealing of the n-type rods at about 400degC before the growth of the p-type-shell, leads to enhancement of the UV emission and a reduction of the visible defect band. The electroluminescence spectrum remains stable as seen from periodic measurements over periods of months.
9:00 PM - M12.17
Preparation of Ti Doped ZnMgO Thin Films for Photodetectors.
Jose Liriano 1
1 Physics, UPR, San Juan, Puerto Rico, United States
Show AbstractTi doped ZnMgO thin films were grown on sapphire (Al2O3) substrates at 650°C using pulsed laser deposition (PLD) technique and their structural and optical properties were studied. X-ray diffraction patterns shows that the films were highly textured single phase oriented along c-axis which became amorphous after 4% doping. The thin films were grown at different deposition temperature and with the FWHM we observed high crystallinity in the films which increased with the temperature. The Optical absorption measurements carried out on these films revealed that the optical band gap for the pristine Zn0.80Mg0.20O film had cutoff wavelength of 305nm which increased to 325nm for Zn1-xTixMg0.2O (x=0.01 and 0.02) and decrease to 299nm for Zn1-xTixMg0.2O (x=0.04). This property is quite well suited in the solar blind region. Thus the ZnTiMgO thin films can be used for the fabrication of UV detectors. Other results like Photoluminescence, I-V and its effect on thickness and annealing temperature were also studied.
9:00 PM - M12.18
UV Photodetector Based on ZnO Nanowire p-n Junctions.
Sheng Chu 1 , Guoping Wang 1 , Ning Zhan 1 , Jianlin Liu 1
1 Electrical Engineering, University of California Riverside, Riverside, California, United States
Show AbstractMuch advance has been achieved on optoelectronics devices that involve ZnO nanostructures. In this presentation, we focus on the fabrication of ZnO nanowire photodetectors by forming p-type ZnO nanowires on high quality n-type ZnO films. A ~1 µm ZnO film with vertically aligned c-axis was firstly grown by molecular beam epitaxy system. This n-type film was subsequently used as template for the synthesis of Sb-doped p-type ZnO nanowires in a chemical vapor deposition system. Due to the matched c-axis orientation with the ZnO film, the ZnO nanowires grew perpendicularly to the substrate. Field effect transistor measurement and electron beam induced current profiling suggest that nanoires were p-type and p-n junctions were formed between ZnO nanowires and ZnO films. The diode exhibited rectifying current-voltage characteristics. Very good response to ultraviolet light illumination was observed from photocurrent measurements.
9:00 PM - M12.19
Dependence of Annealing Temperature on the Conductivity Changes of ZnO and MgZnO Nanoparticle Thin Films from Annealing in a Hydrogen Atmosphere at Mild Temperatures.
Christine Berven 1 , Lorena Sanchez 1 , Sirisha Chava 1 , Hannah Marie Young 1 , Joseph Dick 1 , John Morrison 1 , Jesse Huso 1 , Leah Bergman 1
1 Physics, University of Idaho, Moscow, Idaho, United States
Show AbstractWe report apparent robust doping of ZnO and MgxZn1-xO (x~20%) nanoparticle films by annealing in a hydrogen gas ambient. The annealing was done at sequentially higher temperatures from about 20○ C to 140○ C. The effect of the annealing was determined by comparing current-voltage measurements of the samples at room-temperature and in vacuum after each annealing cycle. The nanoparticles were grown using an aqueous solution and heating process that created thin-films of ZnO or MgZnO nanoparticles with diameters of about 30 nm. The amount of Mg alloyed with the Zn was controlled by the relative proportion of the Zn and Mg containing solutes used in the solution. Contact to the films was made by laying across the films in parallel, about 5 mm apart, two 25 μm diameter gold wires that were mechanically compressed into the films. When exposed to hydrogen gas at room-temperature or after annealing at temperatures up to about 100○ C, no measureable changes to the room-temperature vacuum conductivity of the films was observed. However, when the samples were annealed at temperatures above 100○ C, an appreciable robust increase in the room-temperature conductance in vacuum occurred. After annealing at the maximum temperature (~135○-140○ C), there was a maximum increase in conductance of about a factor of about twenty. Furthermore, the ratio of the conductance of the ZnO and MgZnO nanoparticle films while in a hydrogen atmosphere at each annealing temperature to their conductance at room-temperature were found to increase and then decrease for increasing annealing temperatures. Maximum changes of about five-fold and eight-fold for the MgZnO and ZnO samples, respectively, were found to occur at a temperature about 20○ C below the annealing temperature threshold for the onset of the robust hydrogen gas doping. Comparisons of these results to other work on bulk ZnO and MgZnO films and reasons for this behavior will be discussed.
9:00 PM - M12.20
Effects of Hydrogen Ion Implantation on Structural Properties of Silver Implanted ZnO Crystals.
Faisal Yaqoob 1 , Mengbing Huang 2
1 Physics, State Univ. of NY @ Albany, Albany, New York, United States, 2 , College of Nanoscale Science and Engineering, Albany, New York, United States
Show AbstractIn this work, we study the effects of implanted hydrogen ions on defect formation and impurity redistribution in ZnO crystals implanted with silver ions. Hydrogen was first implanted at room temperature in ZnO with energy of 30 keV to a dose of 6 × 10^16 /cm^2. The ZnO samples with and without prior H implantation were implanted with Ag ions at four different energies, 30, 75, 150, and 350 keV, to doses 3.3×10^13, 4.2×10^13, 8.3×10^13 and 3.4×10^14 /cm^2, respectively, resulting in a uniform concentration profile of Ag from the surface to depth ~ 150 nm. These samples were annealed at temperatures 850-1050C for 30 minutes in an oxygen gas flow. The distribution of Ag atoms, either aligned or nonaligned along the crystalline directions, were measured by Rutherford backscattering (RBS) combined with ion channeling. Following Ag ion implantation, the damage level in the ZnO lattice, measured along the <1010> crystalline direction is higher in the sample with H ion implantation than the sample without. Lattice damage was found to recover faster in the sample with H implantation than the sample without, e.g., for Zn signals, the normalized RBS yield χmin for the H-implanted sample dropped from 49% following Ag implantation to 8% after annealing at 950C, whereas the Zn χmin value for the sample without H implant decreased from 27% following Ag implantation to 15% after annealing at 950C. On the other hand, the χmin values for the Ag dopants before annealing in the H-implanted sample are lower than the same without H. Post-Ag-implantation annealing resulted in much higher χmin values for Ag in the sample with H implant. For the as-implanted samples, 43% of the implanted Ag atoms are on substitutional sites in the sample with H, as compared to 30% of the implanted Ag being on the substitutional sites in the sample without H. After annealing at 950C, the fraction of substitutional Ag is 24% in the H-implanted sample, in contrast to the fraction of 52% for substitutional Ag in the sample without H implant. Similar to other oxide crystals, H ion implantation and thermal annealing can result in the formation of nanocavities in the ZnO lattice. We discuss these findings in the context of the effects of nanocavities on formation and annihilation of point defects as well as on impurity diffusion and trapping in ZnO crystals.
9:00 PM - M12.21
Impacts of Electron and Proton Irradiation on the Properties of Transparent Conducting Oxide Films.
Mutsumi Sugiyama 1 , Yuiko Hirose 1 , Moe Warasawa 1 , Isao Tsunoda 2 , Shinichi Kimura 1 , Kenichiro Takakura 2 , Hidenori Ohyama 2
1 Faculty of Science & Technology, Tokyo University of Science, Noda, Chiba Japan, 2 , Kumamoto National College of Technology, Kumamoto Japan
Show AbstractThin film solar cells composed of GaAs, CdTe, and Cu(In,Ga)Se2 (CIGS) show high conversion efficiency and excellent radiation tolerance. These characteristics make these solar cells extremely useful for space applications. Although the mechanism of solar cell degradation has gradually become known as a complicated phenomenon, there have been only a few reports on irradiated “thin films” such as transparent conducting oxide (TCO) layers and photo-absorption layers; however, many studies have reported on several “solar cells.” Therefore, it is necessary to understand the degradation mechanisms of each layer composing a thin-film solar cell. Among them, the TCO layer plays an important role because it is the top layer of the solar cell.Various TCO films such as Sn-doped indium oxide (ITO) and F-doped tin oxide are used as window layers for electrical current collection in thin film photovoltaic devices. Al-doped zinc oxide (ZnO:Al) or Ga-doped zinc oxide (ZnO:Ga) has been used in high-efficiency CIGS solar cells and is attracting attention as an alternative to TCO. In this study, the effects of electron and proton irradiation on the properties of TCO window layers will be demonstrated by irradiating each thin film that comprises a CIGS-based solar cell structure.In the case of electron irradiation, the transmittance of ZnO:Al, ZnO:Ga, ITO, and undoped ZnO thin films did not change for a fluence of up to 1.5×1018 cm−2. However, the resistivity of ZnO:Al and ZnO:Ga, which are generally used as window layers for CIGS solar cells, increased with irradiation fluence. This result may indicate that the surface ZnO:Al or ZnO:Ga thin film tends to degrade easily by electron irradiation as compared to the bulk of semiconductor-composed solar cells.
9:00 PM - M12.22
Synthesis of Silver Doped Zinc Oxide Nanowires by Hydrothermal Method.
Aysegul Afal 1 , Emrah Unalan 1
1 Metallurgical and Materials Engineering, Middle East Technical University, Ankara Turkey
Show AbstractFor the synthesis of zinc oxide (ZnO) nanowires hydrothermal method is highly appealing due to its easy procedure, simple equipment and low temperature. ZnO, in its natural state exhibits n-type conductivity. Addition of impurities often leads to remarkable changes in their electrical and optical properties, which suggests new applications. Among the many possible dopant species being studied for ZnO, silver (Ag) can be an attractive candidate as a p-type dopant revealed in thin film structures. In this work, we demonstrate the synthesis of silver doped ZnO nanowires via hydrothermal method. Both undoped and Ag-doped ZnO nanowires are grown inside an aqueous solution at 90°C over the substrates coated with ZnO nanoparticles. Ag doping is achieved by simply adding silver salt into the aqueous solution. In addition, the annealing effects on changes in morphological, structural, and optical properties of Ag doped ZnO nanowires have been studied. We have analyzed the morphology and distribution of the nanowires with field-emission scanning electron microscope (FESEM). Optical properties of the nanowires have been investigated by UV-VIS and photoluminescence spectroscopy. Presence of Ag has been confirmed by X-ray diffraction (XRD), X-ray fluorescence (XRF) and X-ray photoelectron spectroscopy (XPS).
9:00 PM - M12.3
Effect of Resistivity Change of Conductive Transparent In2O3 Films on Annealing in Various Gas Atmospheres.
Shinji Takayama 1 , Yuuta Uenaga 1 , Takamoto Yokote 1
1 Electrical and Electronics Engineering, Hosei University, Tokyo Japan
Show AbstractAn In2O3 film was prepared with sputtering in Ar+2vol%H2 mixing gas atmosphere. XRD and TEM both revealed that their as-deposited films showed a partially amorphous structure. The in-situ resistivity measurement of their sputtered films was carried out on annealing in various flowing gas atmospheres (air, O2, H2). It was found that on the post-annealing in Air or O2 gas atmosphere, the resistivity of samples made at substrate temperatures less than 100oC showed a sharp drop at 200oC followed by further gradual decrease, approaching to a minimum at about 300oC. Thereafter, the resistivity started to increase up to 400oC and then decreased again resulting in a maximum peak at about 450oC. The successive anneals from 25 to 500oC for the same samples annealed after 500oC showed a less pronounced broad minimum and maximum in resistivity at about 320oC and 420 oC, respectively. Thereafter, the thermal cycle’s resistivity curves were unchanged with the same consecutive annealing up to 500oC. It is worth noting that the minimum and maximum resistivity peaks appeared in the former as-deposited films were completely disappeared for the samples made at substrate temperatures more than 200oC. Their resistiviies firstly showed almost constant and then increased monotonically up to 500oC. In the case of annealing in H2 gas atmosphere, the resistivity of the as-deposited samples made at room temperature firstly dropped sharply at about 220oC and then gradually decreased further, approaching to a minimum at about 320oC. However, different from the aforementioned anneals in air or O2 gas, their resistivity did not start to increase with further increase in temperatures but kept almost constant up to 500oC. The Hall Effect measurement revealed that the in-situ resistivity change of the above samples annealed in various gas atmospheres mainly corresponded to the change of carrier density. The activation energy of the resistivity peak at about 450oC was measured to be about 0.7eV by using a Kissinger’s method. The X-ray diffraction profiles and TEM observations revealed that the crystallization took place at ~200oC and their crystal grains continuously grew with further increase in annealing temperatures. It was tentatively concluded that the local realignment of In-O bond to generate an oxygen vacancy was most likely responsible for the present resistivity change observed. Keyword: transparent conductive In2O3 films, In-situ resistivity measurement
9:00 PM - M12.4
Relation between Interface Atoms and the Resistive Switching Behavior in Pt/Nb:STO Film.
Jinho Park 1 , Kunsu Kim 1 , GyuChul Yi 2 , M. Kim 1
1 Material Science and Engineering, Seoul National University, Seoul Korea (the Republic of), 2 Physics and Astronomy, Seoul National University, Seoul Korea (the Republic of)
Show AbstractNb doped STO has been intensively studied for RRAM, one of the most promising candidates for next-generation non-volatile memory. Many literatures reported that single crystal Nb:STO with a high work function electrode such as Pt showed the bipolar resistance switching, in which switching direction depends on the bias polarity. The origin of this bipolar resistance switching is often attributed to the oxygen vacancy migration that changes the Schottky barrier. However, the systematic microscopic study on the interface is required to verify the suggested mechanism. Here, we investigate the role of oxygen vacancies in the electrical properties of Pt/Nb:STO/Ti. Ti metal was chosen as a bottom electrode after confirming Ohmic contact with Nb:STO. Both top and bottom electrodes are deposited by e-beam evaporation with thicknesses of 40 and 50 nm, respectively. The electron energy-loss spectroscopy (EELS) in a scanning transmission electron microscopy mode was used to probe the spatial variation of oxygen vacancies as well as the electronic structures of oxygen atoms. We intentionally altered the oxygen vacancy concentration of Nb:STO by annealing in a hydrogen environment before depositing Pt electrodes, and compared the resulting electrical properties and microscopic structures with the sample annealed in an oxygen environment. Significant Pt diffusion into Nb:STO was observed in addition to the drift of oxygen vacancies by applied voltage. The relation between interface atoms and the resistive switching behavior will be discussed.
9:00 PM - M12.6
Hydrogen Donors and Ti3+ Ions in Reduced TiO2 Crystals.
Adam Brant 1 2 , Shan Yang 1 , Nancy Giles 2 , Larry Halliburton 1
1 Dept of Physics, West Virginia University, Morgantown, West Virginia, United States, 2 Dept of Engineering Physics, Air Force Institute of Technology, Wright-Patterson AFB, Ohio, United States
Show AbstractThe trivalent titanium ion (Ti3+) is the most often cited defect in titanium dioxide (TiO2) crystals. These electron traps are important shallow donors and their properties and location in the lattice are widely discussed. A continuing basic question is whether they occupy substitutional or interstitial sites. In this present work, electron paramagnetic resonance (EPR) and electron-nuclear double resonance (ENDOR) are used to identify and characterize the neutral hydrogen donor in TiO2 crystals having the rutile structure. These spectra are best observed near 5 K. The neutral donors are present without photoexcitation in crystals that have been slightly reduced at high temperature in a nitrogen atmosphere. The same defects can be photoinduced at low temperature in oxidized crystals. The neutral hydrogen donor in this lattice consists of a substitutional Ti3+ ion adjacent to a substitutional OH– molecular ion. The axis of the OH– molecule lies in the basal plane with the hydrogen ion extending out from the oxygen in a direction perpendicular to the Ti-O bonds. Spin-Hamiltonian parameters are obtained from the angular dependence of the EPR and ENDOR spectra (principal values are 1.9732, 1.9765, and 1.9405 for the g matrix and –0.401, +0.616, and –0.338 MHz for the 1H hyperfine matrix). The principal axis associated with the +0.616 MHz principal value is in the basal plane 22.9 degrees from a [110] direction. The principal axis associated with the –0.338 MHz principal value is directly along the [001] direction. The particular EPR spectrum that we have identified has often been associated with an interstitial Ti3+ ion, but earlier studies did not detect the adjacent OH− ion. Our results show that interstitial Ti3+ ions are not the dominant shallow donor in slightly reduced TiO2 (rutile) crystals. Work supported by Grant No. DMR-0804352 from the National Science Foundation.
9:00 PM - M12.7
Defect Properties of TiO2, TiO2/ZrO2, and TiO2/ZrO2/SiO2 Thin Films Containing Au and Ag Nanoparticles.
Anastasiia Nemashkalo 1 , T. Busko 2 , R. Peters 3 , Y. Strzhemechny 1 , O. Dmytrenko 2 , M. Kulish 2
1 Physics and Astronomy, Texas Christian University, Fort Worth, Texas, United States, 2 , Kyiv National Taras Shevchenko University, Kyiv Ukraine, 3 , Paine College , Augusta, Georgia, United States
Show AbstractOptoelectronic properties of TiO2 are currently subject of vigorous research due to numerous current and potential applications of this wide bang gap semiconductor (photovoltaics, photocatalisys, optical coatings, etc.). In particular, its nontoxicity, optical activity in the UV range, predominant n-type conductivity suggest possible high-efficiency photocatalytic performance. However, photocatalytic applications of TiO2 are limited by its low visible light absorption. The sensitization of TiO2 to visible light could be improved through addition of other oxides and/or noble metals. Such modifications may give rise to additional defect states in the band gap, increasing the effectiveness of the electron-hole separation upon visible light illumination. Understanding of the nature of these defects and ability to control them is crucial to realization of the potential applications of TiO2. In our work, thin films of TiO2, TiO2/ZrO2, and TiO2/ZrO2/SiO2, were synthesized by the sol-gel method on Si substrates. Some samples were synthesized with the incorporation of Au and Ag nanoparticles into the oxide matrix. Optical characterization of the samples was performed using spectroscopic ellipsometry (SE), surface photovoltage (SPV) spectroscopy and photoluminescence (PL) spectroscopy. Substantial correlation between the SE, SPV and PL results was observed in most of the samples. However, as expected, transitions associated with the surface states can only be detected by SPV and not appear in the SE and PL data. SPV results also allowed us to obtain the locations of the observed electronic levels within the band gap and construct corresponding energy level diagrams for the studied materials. In all the films we detected presence of anatase native defects (such as Ti3+ ions, oxygen vacancies, etc.). In particular, transitions detected at ~ 2.0 eV above the top of the valence band are commonly attributed to Ti3+-related states, whereas levels at ~ 2.5 eV and ~ 2.8 eV above the top of the valence band, are likely associated with the oxygen vacancies in anatase. Also, for the ZrO2-containing films additional transitions were observed at ~ 3.2 eV above the top of the valence band, probably due to ionized oxygen vacancies in ZrO2. In the ternary oxide compounds the SPV and PL spectra were of inferior quality probably due to the appearance of the amorphous phase during growth.Overall, our results indicate that the number of the optoelectronic transitions increases as the structure of the film becomes more complex. Thus, the addition of oxides and noble metal nanoparticles to the TiO2 matrix introduces additional levels within the band gap, which could help increase the effectiveness of the material as a photocatalyst.
9:00 PM - M12.8
Amorphous Nb-Doped TiOx Oxide Thin Film Transistor Deposited by a Radio Frequency Sputtering Method.
Kyung-Chul Ok 1 , Byung Du Ahn 3 , Je-Hun Lee 3 , Kwun-Bum Chung 2 , Jin-Seong Park 1
1 Materials Science and Engineering, Dankook University, Cheonan Korea (the Republic of), 3 LCD R&D center, Samsung Electronics, Yongin Korea (the Republic of), 2 Department of Physics, Dankook University, Cheonan Korea (the Republic of)
Show AbstractOxide semiconductor thin film transistors (TFTs) have attracted a great attention as an alternative to amorphous silicon or polysilicon based TFTs for use in next electronic applications such as large area active matrix organic light emitting diodes (AMOLEDs) due to some advantages that include reasonable field effect mobility, good uniformity, and low cost process (simple sputtering deposition). In particular, amorphous oxide semiconductors such as In-Ga-Zn-O, In-Zn-O, and In-Zn-Sn-O systems, are already employed as channel layers in several research groups (academy and industry). However, the high-cost and scarcity of rare elements (In and Ga etc.) are very critical problems for initiating the mass-production based on oxide TFTs. Recently, the titanium-oxide with low cost and plenty reserves is emerging as a promising candidate of active layers. Actually, mostly the titanium oxide as an active layer showed the anatase phase under a high temperature annealing (over 400oC), to operate the TFTs properly. But the crystalline in the active layer may offer critical problems associated with the grain boundaries, such as the non-uniformity of the electrical performance in large area, instability to the atmosphere due to the gas adsorption/desorption, and the roughness of the film surface. Thus, we developed amorphous Niobium (Nb) doped titanium oxide (NTO) thin films as oxide semiconductors and investigated the films electrically and physically. The NTO layers were deposited by radio frequency (RF) sputtering of a sintered powder target of NTO (10% Nb doping in TiOx), under an O2/Ar gas mixture (~10%). The x-ray diffraction (XRD) and transmission electron microscopy of TIZO films indicate the amorphous phase films even up to 450oC annealing temperature. The optical band gap of NTO is around 3.4eV, which is similar with other amorphous oxide semiconductor (InGaZnO and HfInZnO etc.). The TFTs with amorphous NTO active channel exhibit proper electrical properties with field effect mobility of around 0.16 cm2/V.s, subthrehsold swing voltage of 0.83 v/decade, and high Ion/off ratio of over 105 after a 450oC air annealing although the TFTs with as-deposited NTO never show the on/off modulation of drain current under a gate voltage sweep. The Nb dopant in TiOx may help to generate the carrier concentration easily and play a role to suppress the grain growth under a high annealing temperature. In terms of a device instability, amorphous NTO TFTs also show the shift of threshold voltage under constant gate bias, like other oxide semiconductor TFTs. Therefore, the amorphous NTO semiconductor and TFTs may open a possibility and options of novel oxide semiconductors over the conventional In-based oxide semiconductor market, in terms of low cost and plenty reserves.
9:00 PM - M12.9
Tailoring Electronic Structure of TiO2 by Cation Codoping from Hybrid Density Functional Theory Calculations.
Run Long 1
1 School of Chemical and Bioprocess, University College Dublin, Dublin Ireland
Show AbstractThe large intrinsic band gap in TiO2 has hindered severely its potential application for visible-light irradiation, while anion doping has led to decreases in visible-light photocatalytic activity in spite of narrowing the host band gap. In this study, we have used cation-passivated codoping of Mo/W with Zn/Cd and also of Nb/Ta with Ga/In to modify the band edges of anatase-TiO2 to extend absorption to longer visible-light wavelengths using generalized Kohn-Sham theory with the Heyd-Scuseria-Ernzerhof (HSE06) hybrid functional for exchange and correlation. It has been found that (Mo, Zn/Cd)-codoped systems can narrow the band gap significantly and passivate gap states. Considering the host and impurity ionic radii, it is expected that Mo with Zn should constitute the best cationic dopant pair.
M13: Poster Session: Semiconductor Oxide Growth
Session Chairs
Steven Durbin
Marius Grundmann
Jamie Phillips
Timothy Veal
Friday AM, December 02, 2011
Exhibition Hall C (Hynes)
9:00 PM - M13.10
Effect of Substrate Temperature on Phase Structure, Optical, and Photocatalytic Properties of the PLD-Grown TiO2 Thin Films.
Mohammad Reza Bayati 1 , Shivani Joshi 2 , Roger Narayan 3 1 , Jagdish (Jay) Narayan 1
1 Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina, United States, 2 Amity Institute of nanotechnology, Amity University, Noida India, 3 Joint Department of Biomedical Engineering, UNC Chapel Hill and North Carolina State University, Raleigh, North Carolina, United States
Show AbstractThis study focuses on correlation between properties and growth parameters of the TiO2 thin films fabricated by pulsed laser deposition technique. Structural studies, performed by XRD, revealed that the layers grown at lower temperatures, i.e. 25 and 250 oC, are mainly consisted of the anatase phase with high defect content and poor crystallinity. In contrast, rutile was the predominant phase in thin films grown at higher temperatures in the range of 500 to 950 oC. High-temperature grown layers were found to have better crystallinity with fewer defects. Rutile is the thermodynamically favored phase of titania, while anatase is a metastable phase. Consequently, anatase phase transforms to rutile phase with increasing substrate temperature. UV-Visible and photoluminescence spectroscopies were also employed to investigate optical properties of the layers. It was observed that the transmittance edge of the layers shifted toward higher wavelengths when the substrate temperature increased from 25 to 950 oC. This behavior confirms band gap narrowing due to formation of more rutile phase at higher temperatures. Results of photoluminescence spectroscopy were also in a good agreement with UV-Vis curves where they showed decreasing band gap energy at higher substrate temperatures. Furthermore, the PL results showed that the layers grown at higher substrate temperatures had better crystallinity with lower defects concentration. Photocatalytic performance of the layers was also determined through measuring the decomposition rate of 4-chlorophenol, as a model material, on the fabricated TiO2 samples under UV light. Preliminary results show that the layers grown at intermediate temperatures exhibit higher photocatalytic activity.
9:00 PM - M13.11
Green Processes of ZnO Transparent Conducting Thin Films with Mist CVD.
Toshiyuki Kawaharamura 1 , Hiroyuki Orita 2 , Takahiro Shirahata 2 , Takashi Hirao 1 , Shizuo Fujita 3
1 Institute for Nanotechnology, Kochi University of Technology, Kami, Kochi, Japan, 2 Future Technology Development Center, Toshiba Mitsubishi-Electric Industrial Systems Corp. (TMEIC), Kobe, Hyogo, Japan, 3 Photonics and Electronice Science and Engineering Center, Kyoto University, Kyoto, Kyoto, Japan
Show AbstractA lot of functional thin films are used for a variety of electronic device now. In order to fabricate the thin films for devices, vacuum processes have often been used because of their high potential of producing high quality thin films. However, solution processes with which thin films can be prepared at atmospheric pressure are becoming the focus of attention as environment-friendly technology, recently. A solution process can construct an environment-friendly system and be widely applied because it is not necessary to hold the system under vacuum, it is possible to use a variety of reagent, and damage to the target materials can be eliminated. But, in a solution process, it is rather difficult to realize high uniformity controlling the influence of such as thermal convection and side reaction, which occur very little in a vacuum process. Nevertheless overcoming the above disadvantages we have worked on the development of a mist chemical vapor deposition (mist CVD) method, which is one of the solution-based technologies for depositing thin films, with precise control of the source flow [1] and achieved the fabrication of ZnO thin films [2].
In this symposium we will report the green processes of zinc oxide (ZnO) transparent conducting thin films with the mist CVD. Especially, the preparation of low resistivity Ga-doped ZnO thin films by the mist CVD at low temperature in an atmospheric pressure will be emphasized. In 2008, at the substrate temperature of 400°C, the Ga-doped ZnO thin films exhibited the resistivity, electron concentration, and mobility of 1.8×10-3 Ωcm, 6.8×1020 cm-3, and 5.1 cm2/(Vsec), respectively, and the samples tended to show higher resistivity at lower temperatures. Then we found that the addition of chemicals which may promote crystallization effectively improved the electrical properties of Ga-doped ZnO thin films prepared at lower temperatures, that is, at the substrate temperature of 300°C they showed the resistivity, electron concentration, and mobility of 3.0×10-3 Ωcm, 1×1020 cm-3, and 38.6 cm2/(Vsec), respectively. Further, being assisted by ozone gas low resistivity Ga-doped ZnO thin films were obtained at the substrate temperatures above 120°C. Further reduction in sheet resistivity is in progress.
The series of achievements encourage the successful application of the mist CVD technology for the practical device processes. In the symposium, we will discuss the physics and chemistry in the mist CVD processes as well as the details of the experiments.
[1] T. Kawaharamura, Ph.D. Thesis, Faculty of Engineering, Kyoto-Univ., Kyoto, 2008 [in Japanese]
[2] T. Kawaharamura, H. Nishinaka, and S. Fujita, Jpn. J. Appl. Phys., Vol.47 (2008) pp.4669
9:00 PM - M13.12
Synthesis and Characterization of Al-Doped Zinc Oxide Films by Ion Beam Assisted E-Beam Evaporation for Transparent Electrode Applications.
Vamsi Kumbham 1 , Srinitya Musunuru 1 , Ronak Rahimi 1 , Kyoungnae Lee 1 , Lee Rodak 1 , Dimitris Korakakis 1 , Lawrence Hornak 1
1 Lane Department of Computer Science and Electrical Engineering, West Virginia University, Morgantown, West Virginia, United States
Show AbstractTransparent conducting oxide (TCO) films have been widely used for mobile display applications, such as organic lighting diodes, liquid crystal displays (LCD), micro displays, and solar cells [1]. Zinc oxide (ZnO) has been considered an ideal alternative material to Indium Tin Oxide (ITO) due to its lower cost and wide availability [2]. An Ion Beam Assisted e-beam evaporation mechanism had been used to deposit ZnO thin films. The ZnO films were opaque and highly resistive after deposition on p-GaN substrate, so a post annealing treatment had been employed to improve its transparency up to ~85% in the near UV and visible wavelength ranges and resistivity as low as 3E-03 Ω.cm. In this study, we investigate the effects of Al doping and O2 flow rate on the structural, electrical, and optical properties of Al doped ZnO (AZO) films. Different deposition mechanisms are used for different transparent electrode applications, for InGaN based LEDs the ZnO films are deposited at room temperatures and are annealed at high (500C - 800C) temperatures in N2 ambient to make it transparent and conductive. For Organic LED applications, since glass cannot withstand such high post annealing temperatures, the substrate temperatures are increased up to 300C during deposition to form polycrystalline transparent and conductive ZnO electrodes. ZnO films are characterized for crystalline nature, based on the X-Ray Diffraction (XRD) studies, thermal stability and roughness using AFM and SEM techniques, electrical properties using Hall Effect and circular Transmission Line Method (c-TLM) measurements, and optical properties using Transparency and Ellipsometry measurements.REFERENCES:[1] K. Matsubara, P. Fons, K. Iwata, A. Yamada, K. Sakurai, H. Tampo, and S. Niki, Thin Solid Films, 369 (2003).[2] E. Fortunato, P. Barquinha, A. Pimentel, A. Gonçalves, A.Marques, L. Pereira, and R.Martins, Thin Solid Films 487, 205 (2005)
9:00 PM - M13.13
Growth and Structure of Atomic Layer Deposition of ZnO on Polar Oxide Surfaces.
Kallol Pradhan 1 , Paul Lyman 1
1 Department of Physics and Laboratory for Surface Studies, University of Wisconsin-Milwaukee, Milwaukee, Wisconsin, United States
Show AbstractIn recent years, there has been enormous interest in ZnO owing to its many superior properties as wide-bandgap semiconductor. With a room-temperature bandgap of ~3.37 eV and large exciton binding energy of 60 meV, this direct-gap material can be expected to form the basis of blue, violet, or UV optoelectronic devices.In this study ZnO thin films were grown using atomic layer deposition (ALD) technique. A viscous-flow atomic layer deposition reactor has been designed and constructed for the ZnO growth. The design is based heavily upon that of Elam, Groner, and George1. An in-situ quartz crystal microbalance (QCM) has been interfaced with the reactor to monitor the ALD film growth. The commercially available QCM has been modified to flow ~ 20 sccm nitrogen over the back surface of the crystal. This nitrogen flow prevents the growth of ALD films on the back surface of QCM. The modified QCM can measure relative mass changes in real time during ALD cycles at 8 Hz sampling rate. Using this ALD reactor we have grown ZnO films on oxidized Si(001) and MgO(111) polar oxide substrates. Nitrogen gas was used as carrier gas at 1 torr pressure to grow the ZnO films from diethyl zinc (DEZ) and deionized water precursors at various temperatures. QCM was used to monitor the film growth rate as function of precursor pulse time and temperature. In the ALD temperature window (130 to 170oC), the growth rate was found to be about 2.3 Å/cycle, consistent with earlier work2. Initial results of structure, growth and ex-situ AFM studies of ZnO thin films on both polar and non-polar surfaces will be reported.REFERENCES:1. J.W. Elam, M.D. Groner, and S.M. George, Rev. Sci. Instrum. 73,2981-2987 (2002)2. J.W. Elam, and S.M. George, Chemistry of Materials. 15(4),1020-1028 (2003)
9:00 PM - M13.14
Transparent Conducting Doped ZnO Thin Films by Controlled Pulsed Laser Deposition on Flexible Substrates: Structural and Electronic Properties.
Joseph Franklin 1 , Jonathan Downing 1 , Mary Ryan 1 , Martyn McLachlan 1 2
1 Material Science and Engineering, Imperial College London, London United Kingdom, 2 Centre for Plastic Electronics, Imperial College London, London United Kingdom
Show AbstractThe structural and electronic properties of ZnO films are known to be strongly affected by processing conditions. Although the direct cause of conductivity in ZnO thin films still highly debated, investigation is made increasingly difficult with the low defect concentration causing large differences in electronic properties. In addition, morphological changes hinder comparison of films deposited under different deposition conditions. Separation of the effects of morphological, crystallographic and defect chemistry is essential in order to gain a full understanding of thin film characteristics if future devices are to be improved. Pulsed laser deposition is a highly controllable technique, allowing precise control of oxygen content of films via the deposition temperature and background oxygen pressure. Careful control of native defect concentration, in addition to the inclusion of extrinsic dopant cations is possible. In this work, the problem is approached from several angles, linking deposition conditions with structural, morphological and optoelectronic characterisation. As a result of these studies, we have identified a deposition window under mild conditions (<200 °C), allowing us to produce highly orientated doped films directly on to functional organic materials for use as transparent conducting oxides. Franklin et al. J. Mat Chem. 2011, 21, 8178-8182
9:00 PM - M13.15
Depth Profiling of Doped ZnO Films Deposited by Atmospheric Pressure Chemical Vapor Deposition on Glass Substrates.
Roman Korotkov 1 , Liang Fang 1 , Pierre Ricou 1
1 , Arkema Inc., King of Prussia, Pennsylvania, United States
Show AbstractAs the manufacturing for organic photovoltaic cells, organic light emitting diodes, and other electronic devices ramps up its speed, the need for large-scale transparent conductive substrates at a reduced cost becomes more apparent. One of the materials that is currently considered in industry as a possible replacement for ITO thin film coatings is doped ZnO deposited on inexpensive glass substrates at a high growth rate using chemical vapor deposition (CVD) at atmospheric pressure. In addition to many benefits of the CVD grown ZnO, there are several challenges. For example, strong inhomogeneities of electrical and structural properties along the direction of the film growth are common for the films deposited at high growth rate > 10 nm/s on amorphous substrates. In addition, due to the high ionic character of ZnO matrix and relatively high deposition temperatures, annealing effect are paramount in governing electrical properties of the doped ZnO. In this work, we will present deposition of group-III doped ZnO thin films on 6x6 inch glass substrates by atmospheric pressure CVD. The properties of the doped ZnO are analyzed in 3 dimensions using high-throughput mapping instrumentation. The 2D resistivities of the coatings measured by 4-point probe compare well with the resistivity values calculated from the 2D electron concentration and mobility maps derived from the spectroscopic ellipsometer measurements. To study the variation of the film properties along z-axis, the films are polished using mechanical planarization technique. The electrical depth profiles for these films are studied by differential Hall-effect and spectroscopic ellipsometer, wherever as structural depth profiles are studied using x-ray diffraction. The electron mobility increases continuously from the glass-film interface (10 cm2/Vs) to the ZnO film surface (25 cm2/Vs). The electron concentration depth profiles have bell-like dependencies with a maximum at 1.55 x 1021 cm-3 and the lower values observed at the corresponding glass and air-interfaces. The electrical properties in each removed slice of the ZnO are calculated using a multi-level conduction model. Observed electrical properties correspond well to the columnar trapezoidal grain growth model with the grain growing from the substrate towards the films surface as confirmed by cross-section TEM and x-ray diffraction measurements. In addition to the increasing grain size from the substrate, the texture coefficients for the (002) reflection decrease and (103) reflection increase towards the air-film interface. Air-annealing effects on the depth profiles of the ZnO will be presented.
9:00 PM - M13.16
In-Plane Epitaxial Relationship of (0001)ZnO on (0001)Sapphire Grown by Compound-Source MBE.
Yohei Sugiura 1 , Takuto Oda 1 , Takeyoshi Onuma 2 , Tomohiro Yamaguchi 1 , Tohoru Honda 1
1 , kogakuin Univ., Hachiouji, Tokyo, Japan, 2 , Tokyo National College of Technology, Hachiouji, Tokyo, Japan
Show Abstract The optical devices based on ZnO have attracted much attention for the application to the UV light-emitting devices [1]. In the time of the fabrication of ZnO-based laser diodes (LDs) on (0001)sapphire substrates, the lack of the common cleavage direction between ZnO-based layers and sapphire substrates is a crucial issue. This is due to the 30° rotational relationship (that is, <10-10>ZnO//<11-20>Sapphire) along the in-plane direction in (0001)ZnO/(0001)sapphire heterostructures. In this paper, we have investigated in-plane epitaxial relationship of (0001)ZnO on (0001)sapphire grown by compound-source molecular beam epitaxy (CS-MBE).
The ZnO layers were grown on (0001)sapphire substrates by CS-MBE [2]. ZnO powder with a purity of 99.99 % was used as a source. Oxygen gas was supplied to the chamber during the growth, provided that no active oxygen species such as ions and radicals were generated. The substrate temperature was fixed at 250°C during growth. For the obtained ZnO layers, a near-band-edge emission peak was dominant in the photoluminescence spectra at room temperature although yellow emission was also observed. The reflection high-energy electron diffraction (RHEED) patterns indicated that the layers were epitaxially grown on the substrate. The growth rate was changed as a function of the oxygen gas pressure during growth. This will be due to the change of the decomposition rate of the ZnO source.
In-plane epitaxial relationship between ZnO and sapphire was investigated by X-ray diffraction (XRD) φ scan measurements using asymmetric ZnO{11-22} and sapphire{11-23} reflections. The 6-fold rotational symmetry of the ZnO peaks indicated the grown ZnO layer had wurtzite structure. These peaks had 30° rotational relationship to those of sapphire in the samples grown under low oxygen gas pressure, while these peaks had 0° rotational relationship in the samples grown under high oxygen gas pressure. This difference would be attributed to the change of the decomposition rate of ZnO source and the effective II/VI ratio on the surface.
Thus, we have successfully grown ZnO layers controlled with either 30° rotational relationship or 0° rotational relationship. The determination of in-plane epitaxial relationship by controlling the oxygen gas pressure is expected to be the development of the device fabrication of ZnO-based LDs with the common cleavage direction between ZnO-based layers and sapphire substrates.
[1] A. Tsukazaki, A. Ohtomo, T. Onuma, M. Ohtani, T. Makino, M. Sumiya, K. Ohtani, S. F. Chichibu, S. Fuke, Y. Segawa, H. Ohno, H. Koinuma and M. Kawasaki, Nature Materials 4, 42 (2005).[2] K. Ohkawa, A. Tsujimura, T. Nishikawa, S. Yoshii, T. Yokogawa, M. Kubo and Y. Sasaki, J. Cryst. Growth 159, 632 (1996).
9:00 PM - M13.17
Linear Bandgap Engineering of Zn1-xMgxO Alloy Grown on ZnO Substrate.
Yoshikazu Kaifuchi 1 , Rintaro Morohashi 1 , Koji Omichi 1 , Yoshihiro Terada 1 , Akihiko Yoshikawa 2 3
1 Optics And Electronics Laboratory, Fujikura Ltd., Chiba, Sakura Japan, 2 , Graduate School of Electrical and Electronics Engineering, Chiba University, Chiba, Chiba Japan, 3 , Venture Business Laboratory, Chiba University, Chiba, Chiba Japan
Show AbstractZn1-xMgxO is a promising alloy material for bandgap engineering in the ultraviolet spectral region because its energy bandgap can be controlled from 3.37 to 6.2 eV with small lattice mismatch to ZnO. Therefore, hetero epitaxial growth of Zn1-xMgxO on ZnO substrate has been proposed in some papers. Interestingly, lattice relaxation is not induced in these Zn1-xMgxO alloys even at high Mg content. It is important for double hetero structure designing to quantify energy bandgap of such stressed alloys. In this study, we investigate bandgap variation of Zn1-xMgxO alloy with increasing Mg content.600 nm thick Zn1-xMgxO alloy films were grown directly onto Zn-polar ZnO (0001) substrates with vicinal surface toward [1-100] direction by molecular beam epitaxy. Higher growth temperature of over 800 °C was employed to obtain higher Mg content without phase separation. Energy bandgap of the alloys were estimated from band-edge emission peak of photoluminescence using 325 nm He-Cd laser excitation (in the content range of 0 < x ≦ 0.2) and reflection peak of ultraviolet spectroscopy. First, we confirmed that a-axis lattice constant of Zn1-xMgxO alloys were matched to that of ZnO substrate even at high Mg content of up to x = 0.37. Although film thickness of the alloys was much thicker than critical thickness of ≦ 100 nm, lattice relaxation was not induced as in the previous reports. Low residual carrier density of less than 1 x 1016 cm-3 was also confirmed in the same range of x from electrochemical capacitance-voltage (ECV) measurement. These results indicate that both threading dislocations and point defects are remarkably reduced in Zn1-xMgxO alloys. Next, we investigated energy bandgap of the alloys. It increased linearly up to 4.2 eV with increasing Mg content of up to x = 0.37. It should be noted that the estimated energy bandgap of 6.18 eV at x = 1.0 (MgO) was very close to that of hexagonal MgO bulk in previous report. We succeeded in controlling energy bandgap from 3.37 to 4.2 eV linearly with increasing Mg content.
9:00 PM - M13.18
X-Ray Diffraction and Raman Spectroscopy for a Better Understanding of ZnO:Al Growth Process.
Coralie Charpentier 1 2 , Patricia Prod'homme 1 , Isabelle Maurin 3 , Pere Roca i Cabarrocas 2
1 , TOTAL , Courbevoie France, 2 , LPICM Ecole Polytechnique, Palaiseau France, 3 , LPMC Ecole Polytechnique, Palaiseau France
Show AbstractTransparent Conductive Aluminum-doped Zinc Oxide films used as front electrode in silicon thin film solar cells have attracted significant attention because of their low cost, non-toxicity, high stability against hydrogen plasma and high surface texturability. Highly conductive and transparent Al-doped ZnO films have been deposited by RF magnetron sputtering. A deeper understanding of the influence of sputter process conditions on growth process and microstructure is required to optimize electro-optical properties of the films. Furthermore the influence of a post-annealing step on the ZnO:Al films in a vacuum and in a (5%H2+95%N2) atmosphere at various temperature up to 400°C is studied.The microstructural characteristics on Al-doped ZnO films have been determined using a X-ray diffractometer in the θ-2θ scanning mode and a four-circle diffractometer. From X-ray diffraction measurements microstructural properties are extracted such as additional orientations, crystallite size and microstrain using Williamson-Hall plots. The results have been systematically correlated with information given by Raman spectroscopy. The morphological properties are analyzed by Atomic Force Microscopy, optical properties by UV-VIS spectrophotometry and electrical properties by Hall Effect measurements.Depending on the substrate temperature, Raman spectra are dominated by longitudinal vibrations at 570 cm-1. The asymmetric characteristic of the band reveals an improvement of the crystallinity and reduction in defect, confirmed by AFM and X-Ray diffraction. The improvement of the electrical properties is the consequence of the increase of crystallite size, namely the decrease in the grain boundaries. With increasing working pressure, the Raman spectroscopy and X-Ray Diffraction reveal a degradation of crystallinity and an increase in point defects which leads to a decrease of mobility of ZnO:Al film. This tendency can be ascribed to the decrease in the carrier concentration caused by the decrease of the oxidation efficiency and by the increase in surface roughness and in grain boundaries. However, at very low pressure, a decrease of the Hall mobility is observed once again, due to the bombardment of the growing film by highly energetic ions. Two structure zones are observed, depending on substrate temperature and working pressure: densely packed fibrous grains zone at high temperature and low pressure, and porous structure consisting of tapered crystallites separated by voids at high pressure. But the microstructure is not enough to find the electrical optimum. At low pressure, the high energy of sputtered particles enables a high mobility of atoms at the surface of the films but also leads to a high bombardment of the film which creates defects, and degrades electrical properties of the film. Therefore the electrical optimum is in densely packed fibrous grains zone at high temperature, but not at too low pressure because of the high bombardment of the film.
9:00 PM - M13.19
Atomic Layer Deposition of p-Type ZnO and Application for UV Detecting Diode.
Kang Hyemin 1 , Jung Hanearl 1 , Choi Taejin 1 , Lee Kyung H 2 , Im Seongil 2 , Kang Ji Yeon 3 , Myoung Jae-Min 3 , Kim Jungwon 4 , Choi Wonyong 4 , Kim Hyungjun 1
1 School of Electrical and Electronic Engineering, Yonsei University, Seoul Korea (the Republic of), 2 Institute of Physics and Applied Physics, Yonsei University, Seoul Korea (the Republic of), 3 Department of Materials Science and Engineering, Yonsei University, Seoul Korea (the Republic of), 4 School of Environmental Science and Engineering, Pohang University of Science and Technology (POSTECH), Pohang Korea (the Republic of)
Show Abstract We investigated p-type doping of atomic layer deposition (ALD) ZnO thin films by nitrogen incorporation using ammonium hydroxide (NH4OH) as a single source for reactant and nitrogen dopant. Heavy nitrogen doped p-type ZnO simply realized above 15 % of NH4OH contents and the maximum hole concentration is limited to ~1016 cm-3 due to the limited nitrogen solubility. The nitrogen doping effect is also found in X-ray diffraction analysis that the p-ZnO forms Zn3N2 structure and (002) wurtzite peak shift. ALD p-type ZnO was employed for the fabrication of nanorods (NRs) based UV photo-detecting diode. The n-type ZnO NRs were prepared by hydrothermal method on nitrogen doped n-ZnO seed layer, followed by ALD p-ZnO deposition. For comparative study, p-n ZnO planar diode was also fabricated. Photoluminescence (PL) intensity of the core-shell NRs diode was significantly lowered for overall wavelength due to the rapid separation of photo-generated carrier. The measured photo responsivity of core-shell diode has two times improved sensitivity compared to planar diode with maximum value of 2.35 A/W at 325 nm. The carrier transport mechanism in core-shell NR is discussed based on band-bending phenomenon and the calculated depletion width indicated that the core-shell structure is fully depleted on the whole. So, the photo-generated electrons are accumulated on the center of n-ZnO NRs and the holes are swept away to the p-ZnO surface. Therefore, the positional carrier separation effectively blocks carrier recombination as increasing the sensitivity.
9:00 PM - M13.20
Influence of the Film Thickness on the Optical and Electrical Properties of AZO Films Deposited on the Flexible Substrate.
Jin-Bao Wu 1 , Chao-Ying Chen 1 , Jia-Jen Chang 1 , Ming-Sheng Leu 1 , Hong-Yih Tseng 2 , Ying-Cheng Lu 2
1 , Materials and Chemical Research Laboratories, Industrial Technology Research Institute, Hsinchu Taiwan, 2 , BeyondPV Co., Ltd, Tainan Taiwan
Show AbstractHighly transparent conductive Al-doped ZnO (AZO) thin film deposited on flexible substrate (polyethylene terephthalate:PET) by laser induced high current pulsed arc (LIHCAP) technology from a Al-Zn alloy target. The surface morphology, crystallinity and electro-optical properties of films with different thickness were studied. Substrate temperature was approximately 100°C.The XRD spectra indicated that the AZO films has highly c-axis orientation along the (002) plane with the grain size between 12.8-13.5 nm. The resistivity of AZO films reduced as film thickness increased. The reduction of resistivity was due to the change of carrier concentration and the increment of Hall mobility in thick films. A resistivity as low as 4.8×10-4 Ω-cm and an average transmittance above 84.4 % in the wavelength range of 400–900 nm were obtained in films thicker than 446 nm. The optical band gap was also found to increase from 3.05 to 3.60 eV when AZO film thickness increased from 26 to 446 nm.
9:00 PM - M13.22
Effects of Substrate Pre-Deposition Annealing and Deposition Parameters on the Properties of rf Sputter-Deposited ZnO Films.
Tom Oder 1 , Michael McMaster 1 , Andrew Smith 1 , Nagaraju Velpukonda 1
1 Physics and Astronomy, Youngstown State University, Youngstown, Ohio, United States
Show AbstractZinc Oxide thin films were deposited on sapphire substrates by RF magnetron sputtering deposition method from an ultra pure ZnO solid target. The substrate temperature was varied from 25 °C to 700 °C and the deposition gas pressure was varied from 5 mT to 40 mT. The ZnO films were also deposited on substrates heated in oxygen and/or in vacuum prior to deposition. The resulting films were annealed in a rapid thermal processor in N2 gas at 900 °C for 5 min. Analyses carried using photoluminescence spectroscopy and X-ray diffraction measurements indicate that films deposited at 500 °C using Ar:O2 (1:1) had the best optical and microstructure qualities. Pre-heating the sapphire substrate in oxygen prior to deposition was found to create a smoother sapphire surface with an AFM rms roughness of 1.0 nm, and this produced a ZnO film with greatly improved qualities. This film had a luminescence peak at 3.347 eV with a full-width-half maximum (FWHM) value of 21 meV when measured at 10 K. The XRD 2θ-scans had peaks at about 34.5° with the best FWHM value of only 0.10°. Production of high quality ZnO materials is a necessary step towards realizing highly conductive p-type doped ZnO materials which is currently a goal of high interest.
9:00 PM - M13.23
ZnO/CdTe Core Shell Nanowires on Tin Dioxide Thin Films for Solar Cells.
Vincent Consonni 1 2 , Germain Rey 1 , Muriel Jolliot 3 , Etienne Puyoo 1 , Béatrice Doisneau 4 , Hervé Roussel 1 , Céline Ternon 2 , Sébastien Renet 3 , Daniel Bellet 1
1 , LMGP, CNRS - Grenoble INP, Grenoble France, 2 , LTM, CNRS - UJF - Grenoble INP, Grenoble France, 3 , CEA-LETI, Minatec Campus, Grenoble France, 4 , SIMaP, CNRS - Grenoble INP, Grenoble France
Show AbstractSemiconductor nanowire (NW)-based radial hetero-structures have received in the last decade increasing interest due to their high technological potential, for instance to produce low-cost but efficient solar cells. The core can be composed of ZnO NWs, which can be grown by a wide number of deposition techniques. The shell can consist of a suitable compound semiconductor with a specific band alignment with respect to ZnO in order to form type II hetero-structures. This can induce an efficient charge carrier separation between electrons and holes without creating a conventional pn type junction. CdTe is a good candidate as the absorbing layer since its band gap energy is about 1.50 eV at room temperature while its absorption coefficient is larger than 104 cm-1.In this work, ZnO NWs are grown by chemical bath deposition on polycrystalline fluorine-doped SnO2 thin films acting as transparent conductive oxides. The NWs are subsequently covered with the CdTe shell grown by close space sublimation. The main features of such radial structures such as the structural morphology and the optical properties are investigated by scanning and transmission electron microscopy imaging, x-ray diffraction measurements as well as low-temperature cathodoluminescence and photoluminescence measurements. In particular, it is found that the CdTe shell completely covers ZnO NWs from their bottom to their top and consists of polycrystalline nanograins (NGs). These NGs are slightly oriented along the <111> direction owing to grain growth process driven by surface energy minimization. Their nucleation follows the Volmer-Weber growth mechanism: island coalescence is expected to result in the generation of high tensile stress, which significantly reduces in turn the CdTe optical band gap as shown by ultra-violet/visible absorption measurements. Furthermore, both ZnO and CdTe exhibit emission bands especially around 3.36 and 1.56 eV, respectively. The solar cells based on these radial structures are eventually tested under an AM 1.5 simulated sunlight at 100 mW/cm2 through dark and illuminated current-voltage spectra as well as photoresponse measurements.This work has been supported by the grants from the Nanosciences Foundation of Grenoble and from Grenoble INP through the project CELESTE. [1] V. Consonni, G. Rey, J. Bonaimé, N. Karst, B. Doisneau, H. Roussel, S. Renet, and D. Bellet, Appl. Phys. Lett. 98, 111906 (2011).
9:00 PM - M13.24
Deposition, Properties, and Solar-Energy Conversion of Cu2O Thin Films.
Changhong Chen 1 , Xuan Pan 1 , Yong Zhao 1 , Zhaoyang Fan 1
1 Department of Electrical and Computer Engineering, Texas Tech University, Lubbock, Texas, United States
Show AbstractCuprous oxide (Cu2O) has a simple cubic structure with two molecules per unit cell, and its structure belongs to the Oh4=Pn-3 m space group. Fully occupied Cu2O is a direct-gap semiconductor with a band gap energy of Eg≈2.1 eV, and has been considered as one of the most promising materials for solar energy conversion due to its high-absoption coefficient in the visible spectral range, reasonable mobility, nontoxicity, and low-cost of materials. Cu2O is also a candidate as a good p-type material for semiconductor-based photocatalysis and/or photoelectrolysis. Here, we present the deposition and structural, elctrical and optical properties of single-phase Cu2O thin films. We also demonstrate the possibility of Cu2O/TiO2 single heterojuction for photovoltaic cell and multiple heterojuction for water splitting applicatons. Cu2O growth shows more sensitive to O2 flow-rate ratio in sputtering ambient than growth temperature (TS). At an O2 flow-rate ratio of 15%, pure-phase Cu2O can be obtained in a wide range of TS. Increased acceptor concentration with decreased bandgap was observed at elevated TS. As the O2 flow-rate ratio changes from 5% to 20%, either metal particles of Cu on the surface or higher valence-stated oxide of CuO were found in the sample. TiO2/Cu2O heterostructure shows high absorption in a wide spectral range, but the measured efficiencies are still low for the single heterojunction photovoltaic cell and periodic multi-junction photoelectrochemical cell, due to charge trapping, indirect bandgap of TiO2, and non-aligned band structure between TiO2 and Cu2O.
9:00 PM - M13.25
Cu2O Thin Films Grown by Chemical Vapor Deposition.
Sebastian Eisermann 1 , Achim Kronenberger 1 , Andreas Laufer 1 , Johannes Bieber 1 , Gunther Haas 1 , Stefan Lautenschlaeger 1 , Bruno Meyer 1
1 1. Physics Institute, Justus-Liebig-University Giessen, Giessen Germany
Show AbstractAs the increasing world energy consumption is nowadays mainly covered by limited non-renewable fossil fuels like coal, oil and gas, the need for alternative energy sources becomes imminent. Solar cells could play a key role here as they are cheap, sustainable and clean energy sources.The p-type semiconductor cuprous oxide (copper-I-oxide, Cu2O), which exhibits an optical direct-forbidden bandgap of 2.17 eV, is a versatile low-cost and non-toxic optoelectronic material with great potential due to its high theoretical efficiency of approximately 23 % which makes it a possible candidate for a top cell in cascade solar cells.Therefore, it was the purpose of this study to investigate the heteroepitaxial growth of copper-I-oxide thin films in a CVD process using different copper and oxygen precursors and varying the growth parameters as well as the substrate materials. The impact of these deposition conditions on the crystalline, electrical, vibrational and optical properties of the films as well as on the incorporated background impurities was examined.
9:00 PM - M13.26
Growth and Doping of Cuprous Oxide Thin-Films Prepared by Reactive Sputtering.
Yun Seog Lee 1 , Mark Winkler 1 , Sin Cheng Siah 1 , Yaron Segal 1 , Riley Brandt 1 , Tonio Buonassisi 1
1 , Massachusetts Institute of Technology, Cambridge, Massachusetts, United States
Show AbstractCuprous oxide (Cu2O) is considered a promising material for low-cost photovoltaic applications due to its direct band gap (Egap = 1.9-2.1 eV), elemental abundance, and non-toxicity. In this contribution, we report growth of high-quality Cu2O crystalline thin-films by reactive dc magnetron sputtering[1]. We control electrical, optical, and structural properties of the resulting films by varying deposition parameters. The films exhibit a columnar grain structure with an average grain size as large as 884±373 nm and mobility as high as 62 cm2/V-s at room temperature. We measure the temperature-dependent Hall effect and model the p-type conductivity using a low-temperature approximation for a compensated semiconductor. All films exhibit an optical bandgap between 1.9 and 2.0 eV, and the samples grown at high temperature show enhanced sub-bandgap optical transmission. We also present an effective p-type doping method for Cu2O thin-films by introducing dopant gas during reactive sputtering process. We successfully reduce the electrical resistivity of the Cu2O thin-films down to 0.2 Ω-cm by increasing the carrier density. In summary, we will present a full description of crystalline Cu2O growth, control of doping and electrical properties, and characterization of optical, electronic, and structural properties.
[1] Lee et al. Appl Phys Lett 98 192115 (2001)
9:00 PM - M13.27
Influence of Post Deposition Annealing on Structural, Optical and Electrical Characteristics of NiO/ZnO Thin Film Hetero-Junction.
Manisha Tyagi 1 , Monika Tomar 2 , Vinay Gupta 1
1 Department of Physics and Astrophysics, University of Delhi, Delhi, Delhi, India, 2 Physics Department , Miranda House, University of Delhi, Delhi, Delhi, India
Show AbstractHigh quality n & p-type semiconducting oxides with good interface are essential to obtain efficient transparent heterojunctions for optoelectronic device applications. Nickel oxide thin films as a p-type semiconducting oxide have been investigated as an attractive material due to its superior chemical stability, as well as unique optical, electrical and magnetic properties. It is considered to be a good semiconductor material with direct wide band gap (3.6 to 4.0 eV), stable cubic structure. Zinc Oxide (ZnO) is an n-type wide bandgap (3.3 eV) semiconductor material having large exciton binding energy (60 meV). Thin films of ZnO find application as transparent conducting electrode in photovoltaics, in display devices & electronics transducers. The resistivity of NiO and ZnO could be tailored with the change in concentration of native defects such as Ni/Zn and O vacancies.In the present study, a heterojunction of NiO with ZnO was fabricated using a reactive RF sputtering. The NiO and ZnO thin films were deposited at 12 mTorr sputtering pressure and 30W power. The effect of post deposition annealing by varying temperature from 373 to 773 K(ambient air) on structural, optical and electrical properties of the deposited films were investigated using X-Ray diffraction, UV-Visible spectrophotometer, Thermo electric power measurements and Hall studies. The as-grown amorphous NiO thin films became polycrystalline having preferred (200) orientation with post annealing at temperatures > 373 K. Band gap was found to be increasing from 3.35 to 3.91 eV with an increase in annealing temperature from 373 to 773 K. Hall effect and thermoelectric power measurements indicate that NiO films starts showing p-type conduction on post deposition annealing at temperatures greater than or equals to 473K exhibiting a minimum value of resistivity 1.54×10-1 Ω-cm (473 K) with the majority carrier (hole) concentration of 4.45x1018 cm-3. On the other hand ZnO thin films were highly c-axis oriented and crystallinity improves with increase in annealing temperature. All the films of ZnO are highly transparent (> 80%) in the visible region. ZnO thin films have resistivity of 1.21×102 Ω-cm with electron carrier concentration of 1.16x1015 cm-3.Transparent and conducting thin films of NiO and ZnO were integrated insitu without breaking vacuum for fabrication of p-n junction and annealed in atmospheric air after deposition at 373 to 773 K. The influence of post deposition annealing on I-V characteristics of NiO/ZnO hetero-junction was studied using platinum as top as well as bottom electrodes, which confirms the rectifying nature of the prepared junction. The measurement results for the proposed p-NiO/n-ZnO device show that the forward threshold and the reverse breakdown voltages vary with the annealing temperature. The lowest forward threshold voltage of about 1.1 V was obtained (for 573 K annealed p-n junction) with -2.9 V as the reverse breakdown voltage.
9:00 PM - M13.28
Fabrication of a Novel Solar Cell Using p-Type Oxide Semiconductors Deposited Using RF Reactive Sputtering Method.
Moe Warasawa 1 , Jun Ishida 1 , Shigefusa Chichibu 2 , Mutsumi Sugiyama 1
1 Faculty of Science & Technology, Tokyo University of Science, Noda Japan, 2 Multidisciplinary Research for Advanced Materials, Tohoku University, Sendai Japan
Show AbstractWide bandgap oxide semiconductors are widely used in flat-panel displays, touch panels, and solar cells. In general, transparent conducting oxide (TCO) films such as indium tin oxide (ITO) and ZnO:Al exhibit n-type conductivity. The most fundamental step in realizing transparent electronic devices is the fabrication of a visible-transparent pn junction. For this purpose, both p- and n-type semiconductors having wide bandgap are required. NiO is a promising candidate because of its p-type conductivity and wide bandgap (3.7 eV). NiO is cheap and safe for the human body. Moreover, NiO thin films have been studied for electrochromic behavior, and deposited by various methods, including sputtering, pulsed laser deposition, and spray pyrolysis. Among these, sputtering is the most suitable method because large-area film having a well-controlled composition is able to be deposited economically.Visible-transparent solar cells are much more attractive because optical transparency permits more installation locations; therefore, the total power generated in a building can be increased. In addition, visible-transparent solar cells are a candidate for the top layer of multiple-junction solar cells. In this presentation, NiO-related solar cells with a p-NiO/n-ZnO heterojunction will be shown.For NiO-related solar cells, optical transmittance >70% was obtained in the wavelength range 500–800 nm. The fabricated NiO-related solar cells consisting of the p-NiO/n-ZnO heterojunction generated electricity under illumination. In addition, the NiO-related solar cell has been fabricated by reactive sputtering without intentional heating. These results indicate that the fabrication of NiO-related solar cells has industrial and physical advantages.
9:00 PM - M13.29
XAFS Study of Photochromic Mg-Sn-O Thin Film.
Hiroshi Kajiyama 1 , Shintaro Miyamoto 1 , Atsushi Ootomo 1 , Hiroshi Tanaka 1 , Shuhei Inoue 1 , Nobuaki Terakado 2 , Akira Nakazawa 2
1 , ADSM, Hiroshima Univ., Higashi-hiroshima Japan, 2 , Guala Technology Co., Ltd., Yokohama Japan
Show AbstractWe have synthesized Mg-Sn-O thin film which shows interesting electron storage characteristics. By irradiating ultraviolet (UV) light, this material starts to storage electrons. The density of stored electron increases by increasing UV irradiation time. The purpose of this study is to study the electron storage mechanism in the Mg-Sn-O. The effects of UV irradiation on the electronic and bonding structures are analyzed by using x-ray absorption fine structure (XAFS) spectroscopy. Both EXAFS and XANES spectra are measured at the Sn-L3 edge at 300 K by a fluorescence mode.EXAFS spectra are analyzed by a Fourier transform (FT) method. In the Fourier transformed spectrum, a strong nearest peak at 0.15 nm and 2nd and 3rd weak peaks are appeared. A reverse FT of 1st peak shows a simply decaying profile. This suggests that the 1st nearest neighbor atom is a light element. In this case, it is concluded that oxygen is the nearest neighbor atom: Sn-O bonds are formed in the film. The Sn-O distance is unchanged by UV irradiation. However, the Debye-Waller factors decreases by increasing UV irradiation time. It means that the vibration of oxygen atoms is suppressed by UV irradiation. The causal relation between oxygen vibration and UV irradiation is not clear at this moment. The conclusion of EXAFS analyses is UV irradiation affects the bonding network in the Mg-Sn-O.XANES spectra are not analyzed numerically. They are simply plotted in term of UV irradiation time and compared. It shows that the local electronic structures around Sn atoms change with UV irradiation. The details of electronic structures are now underway.
9:00 PM - M13.30
Growth, Structural and Optical Characterization of Undoped and Er-Doped Bi2O3 Micro and Nanostructures.
Maria Vila 1 , Carlos Diaz-Guerra 1 , Javier Piqueras 1
1 Facultad de Físicas. Depto. Física de Materiales, Universidad Complutense de Madrid, Madrid, Madrid, Spain
Show AbstractBismuth oxide (Bi2O3) is a wide band-gap semiconductor with high values of refractive index, dielectric constant and ionic conductivity. These properties make Bi2O3 an attractive material for gas sensing, optical coating, electrochromic devices and electrovoltaic cells [1]. In spite of these properties, the synthesis of Bi2O3 nanostructures and the characterization of their physical properties have been scarcely reported [2]. In this work, undoped and Er-doped bismuth oxide micro and nanostructures with different morphologies have been grown by a vapor-solid process in a horizontal tubular furnace under Ar flow. Pure Bi, or mixtures of Bi and Er2O3 powders pressed into pellets, were used as precursor materials. The samples were treated at temperatures between 500 and 800 oC for 1 to 8 h. The morphology, composition and structure of the samples were investigated by scanning electron microscopy (SEM), energy-dispersive X-ray microanalysis, micro-Raman spectroscopy and X-ray diffraction, while their luminescence properties were assessed by micro-photoluminescence (μ-PL) spectroscopy in a confocal microscope and cathodoluminescence (CL) in a SEM. Bi2O3 powders were annealed at different temperatures in different atmospheres in order to obtain further information on the luminescence emission of the grown structures.Monoclinic α-Bi2O3 crystals with diameters of (10-80) µm and up to 1 mm long have been obtained after treatments at 800 oC. These elongated crystals end in blunt pyramids and appear covered by arrays of triangular micro-plates. CL and PL spectra of such crystals show a complex emission with bands peaked near 2.2, 2.7, and 3.1 eV depending on the excitation conditions. Comparison of these spectra with those obtained in the treated Bi2O3 powders suggests that the 2.2 eV band may be related to oxygen defects.Erbium incorporation induces significant changes in the size, morphology and luminescence spectral distribution of the structures. Prismatic hollow microtubes are grown after treating mixtures with a 20% Er content at 650 oC for 4 h. When the annealing temperature is increased to 800oC, pine tree-shaped hierarchical nanostructures are obtained. These samples consist of 600 nm cylindrical cores with branches of about 200 nm diameter covered by thinner wires ending in nanohooks. Ordered arrays of microcrystals of different shapes are grown after 8 h treatments at 800 oC. Er3+C intraionic transitions give rise to sharp emission lines in the green range of the visible spectrum.[1] Latha Kumari, Jin-Han Lin, and Yuan-Ron Ma, Nanotechnolgy 18, 295605 (2007).[2] B. Ling, X.W. Sun, J.L. Zhao, Y.Q. Shen, Z.L. Dong, L.D. Sun, S.F. Li, and S. Zhang, J. Nanosci. Nanotechnol. 10, 8322 (2010).
9:00 PM - M13.31
Inclined Substrate Deposition of Sputtered Amorphous In0.5Zn0.5Ox.
Natalie Bodington-Rosen 1 2 , Andriy Zakutayev 1 , John Perkins 1 , David Ginley 1 , Thomas Gennett 1
1 , National Renewable Energy Laboratory, Golden, Colorado, United States, 2 , Brown University, Providence, Rhode Island, United States
Show AbstractAmorphous mixed-metal oxide semiconductors and conductors play an essential role in emerging thin film transistor applications, as well as in more traditional thin-film solar cell and flat-panel displays. Here, we report on the use of inclined substrate deposition (ISD) to extend the amorphous compositional range of indium zinc oxide (a-IZO) to lower indium levels. As indium availability is limited, decreasing the necessary indium content in amorphous TCO films – which currently use a standard 84 atomic % In – would reduce costs for relevant technologies. While crystalline films, particularly those made from indium tin oxide (ITO), are the current industry standard for high-conductivity transparent electrical contact applications, amorphous TCOs have recently emerged as an appealing alternative. They display high transparency and conductivity while offering greater smoothness, weather-resistance, and flexibility, and can be deposited at temperatures below 100°C. a-IZO has been of particular interest as a high-conductivity amorphous TCO. When sputtered normally incident to the substrate, IZO films are amorphous for compositions between 55 and 85 at. % In, with maximum conductivity of 3000 S/cm found at high indium content (In0.8Zn0.2Ox). Here, we have found that amorphous IZO films can be sputtered at indium levels below 55 at. % for an inclined sputtering geometry with an incident angle 30-40° from the normal. In particular, In0.5Zn0.5Ox films were deposited at 4.5 mTorr total pressure from a 50 watt RF sputtering gun in an argon environment with 10% oxygen mix. Depositions were performed at ambient temperature from a 2” In2O3/ZnO ceramic target onto Eagle 2000 glass substrates. Ongoing work seeks to establish the feasibility of using two converging guns to deposit uniform-thickness films with a similarly broadened compositional range for amorphous films. Subsequently, we will optimize the conductivity and optical transmission of the resulting films over oxygen content. Film analysis will be performed using profilometry, four-point probe, and x-ray fluorescence (XRF) for thickness, sheet resistance, and composition measurements, respectively, and film structure will be examined using x-ray diffraction (XRD).
9:00 PM - M13.32
Ultra-Shallow Junction Formation and Transient-Enhanced Boron Diffusion Understood by Molecular Dynamics and Kinetic Monte-Carlo Simulation.
Chansoo Kim 1 , Byung-Joo Lee 2 , Kwang-Ryeol Lee 1
1 Computational Science and Engineering, Korea Institute of Science and Technology, Seoul Korea (the Republic of), 2 Dep't of Material Science and Engineering, Pohang , Pohang University of Science and Technology Korea (the Republic of)
Show AbstractSemiconductor industries are highly interested in the dopant diffusion mechanism at both scientific curiosity and technological importance. As they shrink semiconductors’ size and enhance their integration, it is required to reduce the diffusion length of dopant in silicon, which forms the Ultra-Shallow Junction (USJ), the essence of integrated semiconductor fabrication. However, it is not easy to make ultra-shallow boron junction, which is less than 10 nm deep, by controlling the boron diffusion, because boron diffusion in silicon is yet understood clearly at short-time regime. Boron diffusion rates are higher at early stage of annealing than under longer annealing, and the implanted boron undergoes anomalous diffusion at early annealing, which is named Transient-Enhanced Diffusion (TED) and detrimental to the USJ formation. An atomistic-level large-scale simulation, Molecular Dynamics (MD) is suitable to reveal the behavior of boron atoms in detail, because it is especially powerful for short-time phenomena. We use a modified bond-order type interatomic potential for the interaction between boron and silicon, which suggested by T. Kumagai et al. Initial configurations are made by a novel statistical approach, bootstrapping: spatial positions of implanted boron and resultant silicon vacancies are bootstrap-sampled from a series of Monte-Carlo (MC) simulations mimicking boron injection, having 0.5~2 KeV, onto silicon substrate. Annealing process for boron diffusion at around 1,300 K is simulated by large-scale MD for the transient time. For the following longer time relaxation and diffusion process, we employ kinetic Monte-Carlo simulations. These consecutive simulations could cover almost-immediate and longer-time diffusions of boron atoms in silicon semiconductors for formulating USJ. Simulation results lead us to understand point defect generation and diffusion under the thermal equilibrium condition and their interplay with boron diffusion in atomistic picture. Energetic analysis reveals that stable substitutional and interstitial configurations, and the relative appearances of the different types of interstitials, for both silicon and boron. Atomistic pictures of defect-instigated diffusion phenomena also improve our understanding TED.
9:00 PM - M13.4
N-Type and P-Type CuInO2 Films Grown by RF Sputtering.
Otto Gregory 1 , Matin Amani 1
1 University of Rhode Island, Department of Chemical Engineering, Kingston, Rhode Island, United States
Show AbstractSignificant progress has been made over the past several years in developing thin film transistors based on amorphous and polycrystalline n-type transparent conducting oxides (TCOs). However, these developments have been limited by the lack of a suitable p-type semiconductor. Several groups have reported on complementary TFTs using SnO2 (n) and SnO (p) semiconducting oxides. Cuprous delafossites have also been known as potential p-type TCOs, but these films lack high carrier mobilities and often require high temperature processing. Moreover, due to the native defects that exist in most oxide semiconductors they can either be doped as a “n” or “p” type material, but not both. Unlike most other TCOs, CuInO2 can easily be doped in both directions. In this study, the cation stoichiometry in CuInO2 films was systematically varied, which resulted in a transition from “n” to “p” type conduction. The electrical and optical properties of these materials were characterized; in addition, x-ray photoelectron spectroscopy and electron diffraction were also performed on the films, as a function of heat treatment. Based on these results, “n” and “p” channel thin film transistors were fabricated on Si substrates.
9:00 PM - M13.5
Optimization of Optical and Electrical Properties of Room Temperature Deposited ZnO:Ga and ZnO:Al TCO Electrodes.
Tobias Diez 1 , Alexander Lajn 1 , Holger von Wenckstern 1 , Marius Grundmann 1
1 Semiconductor Physics Group, Universitaet Leipzig, Leipzig Germany
Show AbstractTransparent conductive oxides (TCOs) are commercially exploited as electrodes in transparent electronics (e.g., transparent displays) and optoelectronic device applications (e.g., thin-film solar cells). Both, the natural abundance of the constituents and the process temperature determine significantly the price of a given electrode type and thus the competitiveness of the respective product.
In this work, we investigated the electrical and optical properties of indium-free and highly abundant ZnO:Ga and ZnO:Al thin films grown at room temperature, being the most competitive starting point, and optimized the growth conditions with respect to the figure of merit ν = –(ln(T) Rs)-1 (taking into account both resistivity via the sheet resistance Rs and the transmittance T of the thin film).
About 100 nm thick films were deposited on quartz glass substrates by pulsed laser deposition; the dopant concentration and the oxygen pressure during growth was varied in a wide range for optimization. The films exhibit a smooth surface, as revealed by atomic force microscopy, and are X-ray amorphous.
For the optimal growth conditions, specific resistivities of 8.5x10-4 Ωcm and 1.3x10-3 Ωcm for ZnO:Ga and ZnO:Al, respectively, were determined by van der Pauw resistivity measurements. The mean transmittance in the visible spectral range is 83% and 80%, respectively.
The highest figure of merit was obtained for each dopant at an oxygen pressure of 0.002 mbar and for doping concentration of 1.9% Ga and 1.5% Al yielding ν = 0.08 Ω-1 and ν = 0.04 Ω-1, respectively. Compared to the currently dominating indium-tin oxide (ITO), the figure of merit at room temperature growth is only a factor three lower [1].
Furthermore, the contact resistance of the optimized TCO electrodes deposited on nominally undoped ZnO was evaluated using the transmission line method, revealing for both electrodes specific contact resistivities below 2x10-4 Ωcm-2. Finally, we analyzed the impact of the optimal growth conditions on the device performance of fully transparent metal-semiconductor field-effect transistors (MESFET) using highly conductive ZnO as source and drain electrodes [2].
In summary, our results reveal the possibility to fabricate transparent low cost electronic based on highly conductive indium-free TCOs deposited at room temperature.
[1] H. Kim et al., J. Appl. Phys. 86, 6451 (1999)
[2] H. Frenzel, et al., Advanced Materials 22, 5332 (2010)
9:00 PM - M13.6
Zinc Oxide and Zinc Magnesium Oxide Devices Based on Films Deposited Using a Filtered Cathodic Vacuum Arc.
Jim Partridge 1 , Salim Elzwawi 1 , Robert Heinhold 1 , David Kim 1 , Edwin Mayes 2 , Matthew Field 2 , Dougal McCulloch 2 , Roger Reeves 1 , Martin Allen 1
1 MacDiarmid Institute for Advanced Materials and Nanotechnology, University of Canterbury, Christchurch, Canterbury, New Zealand, 2 School of Applied Sciences, RMIT University, Melbourne, Victoria, Australia
Show AbstractZinc oxide has inherent properties that make it a suitable candidate for a wide range of electrical and optical devices. Typically ZnO films are prepared using techniques such as pulsed laser deposition, atomic layer deposition and molecular beam epitaxy. Whilst these methods yield high quality films, they impose limits on cost reduction and throughput. Reactive sputtering is a lower cost alternative with potentially high throughput but typically produces less ordered films with higher surface roughness. The filtered cathodic vacuum arc (FCVA) deposition technique has been successfully employed in the production of high quality Al doped ZnO for transparent conducting oxide films but to date, few studies have been performed that explore potential device applications for FCVA grown ZnO.In this study, a FCVA system was used to deposit ZnO and ZnMgO films on a-plane sapphire substrates using a range of filtering conditions. The electrical, optical and structural properties of these films were characterized using Hall effect and capacitance-voltage measurements, atomic force microscopy, x-ray photoemission spectroscopy, photoluminescence spectroscopy and x-ray diffraction. These FCVA films showed considerable promise for device applications with properties including a high transparency, moderate intrinsic carrier concentrations (1017 – 1018cm-3), mobilities up to 30 cm2/Vs, low surface roughness (typically <2% of film thickness) and well-structured photoluminescence. UV Schottky photodiodes were fabricated on these films using IrOx anodes and their performance characterised as a function of Mg content.The FCVA deposition method is simple to implement and enables high throughput with very low production costs. Its suitability for the production of ZnO based UV photodiodes has been demonstrated and could lead to a more widespread use of devices based on these materials.
9:00 PM - M13.7
Fabrication of Titanium Oxide Film with High Crystallinity by the New Electrochemical Techniques.
Hiroki Ishizaki 1 , Seishiro Ito 2
1 Department of Electronic System Engineering, Tokyo University of Science Suwa, Chino-shi, Nagano, Japan, 2 Faculty of Science and Engineering , Kinki University, HIgashiosaka, Osaka, Japan
Show AbstractRecently, titanium oxide films are paid much attention for many applications such as photocatalysis, chemical sensor, ferroelectrical devices, opto-electrical devices and solar cells, because of its ferroelectrical, photocatalytic and optical properties. In order to develop the dye-sensitized solar cell devices with high-performance, the photocatalytic property and crystallinity of titanium oxide film need be improved. Thus, we will suggest that titanium oxide film with high crystallinity will be obtained on the conductive substrate at the low temperature by electrochemical techniques.In particular, the electrochemical deposition techniques presents several advantages: (1) relatively uniform film can be obtained on substrates with melting point lower than 373K, (2) the thickness and morphology of films can be controlled by electrochemical parameters, (3) the deposition rate is relatively high, (4) the equipment is not expensive, and (5) the process is less hazardous and environmentally friendly. In this presentation, we reported the detail results for the fabrication of poly crystalline titanium oxide films on conductive substrate (NESA glass) from the titanium ion aqueous solution containing a complex agent and a hydroxylamine at pH9 by electrodeposition without the heat treatment.
9:00 PM - M13.8
Flexible MgZnO and ZnO Thin Films: Optical and Material Properties.
Jesse Huso 1 , John Morrison 1 , Wei Jiang Yeh 1 , Leah Bergman 1
1 Department of Physics, University of Idaho, Moscow, Idaho, United States
Show AbstractFlexible electronic structures have been extensively studied due to their distinct advantageous properties such as conforming to irregular surfaces, the ability to sustain bending and deformation, and coating of large areas for ultra-light-weight applications. Flexible devices have potential applications in displays, solar cells, and large scale sensor arrays. We present research on MgxZn1-xO thin films grown via a sputtering technique on a flexible and UV-compatible substrate. The MgxZn1-xO alloy system has been the focus of recent studies due to its bandgap and luminescence tuneability that ranges from the bandgap of ZnO ~ 3.4 eV to that of MgO ~ 7.4 eV, depending on the composition x. The choice of a flexible substrate which is UV transparent may enhance the potential applications of MgxZn1-xO based alloys. ZnO and Mg0.3Zn0.7O nanocrystalline flexible films were achieved utilizing a FEP flexible substrate that is transparent in the portion of the UV range that is compatible with that of the alloys. The analysis indicated that the ZnO film has a room-temperature bandgap of ~ 3.34 eV, while that of the Mg0.3Zn0.7O film is at ~ 4.02 eV; thus in that regard bandgap engineered flexible optical alloys were realized. The PL of the ZnO film had emissions due to a neutral donor–bound exciton D0X and the free A-exciton, which are the expected PL from a ZnO bulk material. Additionally, an emission at ~ 3.316 eV was present, whose origin is discussed in terms of surface-related defects arising from the nanocrystalline morphology of the films. The PL of the Mg0.3Zn0.7O film was found to have two broad peaks at 3.38 eV and 3.95 eV that were assigned to the Zn-rich and Mg-rich distribution of precipitates, which in turn are due to the inherent limited solubility of the ZnO-MgO solid solution. From the Raman mode behavior it was concluded that in the ZnO film its underlying FEP substrate was under tensile stress. The residual tensile stress was attributed to the large difference of the thermal expansion coefficients of ZnO and that of the FEP. Importantly, the applied external deformation on the ZnO film did not show any effect on its stress state, making these types of films promising for potential UV flexible applications.
9:00 PM - M13.9
Realization of Luminescent MgZnO Ceramics.
John Morrison 1 , Jesse Huso 1 , Stanley Rhodes 1 , Michelle Huso 1 , Brianna Blanchard 1 , M. Norton 2 , Leah Bergman 1
1 Department of Physics, University of Idaho, Moscow, Idaho, United States, 2 School of Mechanical and Materials Engineering, Washington State University, Pullman, Washington, United States
Show AbstractOxides in the Mg
xZn
1-xO system are promising alloys with UV-tuneable bandgap. The alloy can have the hexagonal or cubic structure depending on the composition, x, and growth conditions. The end-members of the system, MgO and ZnO, have desirable optical and material properties such as deep excitonic binding energies, direct wide-bandgaps, and relatively benign chemical nature. Specifically, ZnO has the hexagonal wurtzite structure, a bandgap of ~ 3.4 eV, and a deep exciton level ~ 60 meV, while MgO has the NaCl cubic structure, a bandgap of ~ 7.4 eV, and excitonic binding energy ~ 140 meV. The Mg
xZn
1-xO can provide an alloy system with bandgaps and bandedge photoluminescence spanning the range of 3.4 eV to 7.4 eV that are achieved via the choice of the composition x. Mg
xZn
1-xO thin films and nanocrystals have been previously investigated, and one of the main outcomes was that low Mg composition resulted in an alloy with the wurtzite structure, while high Mg composition resulted in the NaCl cubic structure. Alloys with composition at an intermediate range were found to be insoluble, which resulted in phase segregation.
To date, less is known about the properties of MgxZn1-xO bulk ceramics. In this research, we present studies of the optical and material properties of sintered ceramics with two compositions within the alloy system: x=0.1 and x=0.6. The rationale for choosing these two compositions was that alloys of both the wurtzite and the cubic phases, respectively, can be investigated. To study the alloying dynamics for the optimization of light emission, the properties as a function of annealing temperature in the range of 600-1100°C were investigated via micro-photoluminescence, X-ray diffraction, and imaging techniques.
For the Mg0.1Z0.9O ceramic sample it was found that a threshold temperature of ~ 900°C is required in order to initiate the formation of the solid solution of MgxZn1-xO with the wurtzite structure. At the elevated temperature regime, the photoluminescence energy for this ceramic sample shifted from 3.25 eV to 3.6 eV, while the ceramic retained the wurtzite structure. The Mg0.6Z0.4O ceramic sample was found to have a sequence of phases: initially the alloy formed with the wurtzite structure, and then a transition into the NaCl cubic structure took place. Similar to the Mg0.1Z0.9O sample, a threshold temperature of ~ 900°C was required in order to initiate the alloying process. At that temperature regime an alloy was formed with the wurtzite structure, and its PL energy was ~ 3.25 eV. At ~1100°C the alloy was found to undergo a phase transition from wurtzite to cubic structure. The photoluminescence energy at that temperature was considerably shifted into the UV-range of 4 eV.