Symposium Organizers
Theresa Mayer, Pennsylvania State University
Anlian Pan, Hunan University
Heike Riel, IBM Research Laboratory
Margit Zazharias, Albert Ludwig University of Feiburg
Symposium Support
Nanoscale
Oxford Instruments Plasma Technology
RAITH
LL3: III-V Nanowires: Optical Properties
Session Chairs
Monday PM, December 01, 2014
Hynes, Level 2, Room 206
2:30 AM - *LL3.01
Quantum Emitters in III-V Nanowires
Anna Fontcuberta I Morral 1
1Ecole Polytechnique Famp;#233;damp;#233;rale de Lausanne Lausanne Switzerland
Show AbstractQuantum emitters comprised in nanowire structures represent one of the most promising technologies for quantum photonics applications. The most common approach of nanowire-based quantum dot formation consists in changing the chemical composition along the nanowire axis in a very short length scale. Other methods consist in the self-assembly of small InAs islands on GaAs nanowire facets following the Stranski-Krastanov mechanism [1]. Recently it has been shown that ternary alloys obtained on the nanowire facets exhibit segregation phenomena, leading to the formation of nanoscale islands [2].
We present a quantum-dot-in-nanowire system formed in a self-assembly manner in the AlGaAs shells grown around GaAs nanowires. The quantum dots form at the apex of a GaAs/AlGaAs interface. They are highly stable, and exhibit extremely bright emission and small linewidth. We present a complete study on the growth parameters leading to the formation of bright quantum emitters, substantiated by high resolution transmission electron microscopy and luminescence spectroscopy studies.
Finally, we show how these quantum dots in nanowires can be cut in the shape of a nanoscale optical and mechanical resonators [3,4]. The excellent optical properties survive the fabrication process, thereby opening a new way of embedding quantum dots in high quality cavities.
References
[1] Uccelli, E. et al. 2010 ACS Nano 9 2
[2] Heiss M. et al. 2013 Nat. Mater.12 439-444
[3] Martinez, R.V. et al. 2014 Nano Lett. 14 524
[4] Montinaro, M. et al, 2014 Nano Lett asap
3:00 AM - LL3.02
Enhancement in Optical Activity of GaAs Nanosheet after Passivation
Shermin Arab 1 Chunyung Chi 1 Teng Shi 2 Howard Jackson 2 Leigh Smith 2 P Daniel Dapkus 1 Stephen B Cronin 1
1University of Southern California Irvine USA2University of Cincinnati Cincinnati USA
Show AbstractGaAs nanosheets are grown using selected area growth (SAG) metal organic chemical vapor deposition (MOCVD). These GaAs nanostructures show enhanced optical and electronic properties compared to GaAs nanowires even in the non-passivated form. Photoluminescent intensity enhancement by over 6X, 2-fold enhancement in carrier&’s lifetime and carrier&’s diffusion length of 170 nm are handful of optoelectric improvements that GaAs nanosheets provide over their counterparts, GaAs nanowires. GaAs nanosheets are in form of triangle with average base size of 6 µm and height of 2-3 µm. Here, we demonstrate the effects of AlGaAs passivation on these nanostructures. The AlGaAs layer is grown in the MOCVD chamber with the thickness of 20 nm. The Photoluminescent spectra of the AlGaAs passivated GaAs nanosheets are measured using a micro-PL setup using a 100X objective lens over the range of 750 nm to 1000 nm; samples are excited using a 532 nm CW laser at relatively low powers. The formation and uniformity of AlGaAs layer is confirmed by Raman measurement which is also performed in the micro-PL setup. The Time-resolved PL data is collected at 10K using a Ti-Sapphire laser, where the samples are excited at 558nm using a 50X objective lens (NA of 0.6). The measured carrier&’s lifetime for passivated nanosheets is 4.2 ns which shows significant increase in comparison to the non-passivated nanosheet. AlGaAs passivation of GaAs nanosheets also improves the photoluminescence efficiency by 42X and decrease the surface recombination velocity.
3:15 AM - LL3.03
Optical and Structural Properties of Ultrathin GaAs-AlGaAs Core-Shell Nanowires
Bernhard Loitsch 2 Daniel Rudolph 2 Stefanie Morkoetter 2 Gianluca Grimaldi 2 Lukas Hanschke 2 Lucas Schweickert 2 Max Bichler 2 Gerhard Abstreiter 2 1 Jonathan Finley 2 Gregor Koblmueller 2
1Institute for Advanced Study - TU Mamp;#252;nchen Garching Germany2Walter Schottky Institut - TU Mamp;#252;nchen Garching Germany
Show AbstractIII-V semiconductor nanowire (NW) heterostructures are known to hold large potential for various important device applications, such as for photo-detectors, solar cells, lasers, light emitting diodes and transistors. For example, we recently demonstrated that single-mode optically pumped NW lasers can be fabricated from individual radial core-shell GaAs-AlGaAs NWs with high optical efficiency that exploit the many benefits of the core-shell geometry, such as effective surface passivation, carrier confinement and optical waveguiding [1,2]. In most radial core-shell GaAs-AlGaAs NWs studied so far, the electronic properties are mostly described by the 3D bulk-like properties of the NW core, despite the 1D-like aspect ratio of the NWs. Thus, only very limited studies exist on exploring the 1D-like electronic nature of GaAs-based NWs, although major performance enhancements are expected from exploiting these electronically strongly confined systems.
Here, we report on the growth, structural and optical properties of autocatalytic GaAs-Al0.3Ga0.7As core-shell NWs with ultrathin GaAs core diameters (~5-20 nm). All NW growths were performed on SiO2-mask templated Si (111) substrates via solid-source molecular beam epitaxy (MBE). The GaAs NW cores were grown in an autocatalytic, Ga-droplet mediated vapor-liquid-solid (VLS) growth mode, while the Al0.3Ga0.7As shell was overgrown radially on the {110} sidewall facets in a non-VLS growth mode [2]. After growth we performed systematic micro-photoluminescence spectroscopy (µ-PL), photoluminescence excitation (PLE) spectroscopy as well as transmission electron microscopy (TEM) on exactly the same NWs for direct correlation studies.
The ultrathin NWs exhibit a characteristic wurtzite (WZ) region along one half and a zincblende (ZB) region along the other half of the NW with occasional stacking defects. Low-temperature µ-PL data evidences very strong blue-shifts (up to > 100 meV above the free exciton energy of GaAs) in the PL energy for both the WZ and ZB parts, when the NW core diameter is decreased from ~40 nm down to ~5 nm [3]. This diameter dependence of the PL peak energy is in good agreement with simulations of electrons and holes confined in 1D quantum wires. Interestingly, the strongly blue-shifted PL exhibits also some sharp PL features with line widths below 100 ueV [3]. This indicates that also axial confinement of the excitons is present, likely stemming from stacking defects along the NW axis. PLE experiments reveal additional transitions appearing at 3 meV higher energies for distinct energy ranges of the excitation laser which could be attributed to the different charge states of these localized excitons. These investigations present a promising first attempt to explore the rich potentials of truly 1D-like electronic nature of ultrathin GaAs NWs.
1 B. Mayer, et al., Nature Comm. 4, 2931 (2013)
2 D. Rudolph, et al., Nano Lett. 13, 1522 (2013)
3 B. Loitsch, et al., in preparation (2014)
3:30 AM - LL3.04
High Optical and Structural Quality Pure Wurtzite InP Nanowires Grown by Selective-Area Metal-Organic Vapor-Phase Epitaxy
Qian Gao 1 Dhruv Saxena 1 Fan Wang 1 Lan Fu 1 Sudha Mokkapati 1 Yanan Guo 1 Li Li 2 Jennifer Wong-Leung 1 3 Philippe Caroff 1 Hark Hoe Tan 1 Chennupati Jagadish 1
1The Australian National University Canberra Australia2The Australian National University Canberra Australia3The Australian National University Canberra Australia
Show AbstractGrowing of high quality InP nanowires for optoelectronic and photovoltaic device applications has been the subject of intense research effort in recent years, in particular in the context of nanowire solar cells, which have shown promising high efficiency (of 13.8% with only 12% of surface coverage). Nanowires can be fabricated by a variety of crystal growth techniques. However, so far no report has shown diameter-independent pure crystal phase InP nanowires, with proven high quantum efficiency, long room temperature minority carrier lifetime, low surface recombination velocity and taper-free nanowire arrays.
We report successful growth of stacking-fault-free and taper-free wurtzite InP nanowires with a wide range of diameters using selective-area metal-organic vapor-phase epitaxy. We have experimentally determined a quantum efficiency of ~50%, on par with InP epilayers. The direct link between growth conditions such as growth temperature and precursor flow rates with nanowire morphology, crystal structure and optical properties will be presented in detail. Their excellent structural and optical quality lead to further demonstration of room temperature photonic mode lasing from these nanowires, opening up new possibilities for both fundamental quantum optics, as well as optoelectronic/photovoltaic device applications.
3:45 AM - LL3.05
Transition from Delocalized to Localized States in GaAs/AlGaAs Quantum Well Tubes
Teng Shi 1 Howard E Jackson 1 Leigh M Smith 1 Changlin Zheng 3 Joanne Etheridge 3 Nian Jiang 2 Qiang Gao 2 H Hoe Tan 2 Chennupati Jagadish 2
1University of Cincinnati Cincinnati USA2Australian National University Canberra Australia3Monash University Melbourne Australia
Show AbstractLow temperature photoluminescence (PL), PL imaging and photoluminescence excitation (PLE) measurements are conducted on single nanowires (NWs) to explore the optical and electronic properties of GaAs/AlGaAs quantum well tubes (QWTs). These QWTs are radial heterostructure NWs with thin GaAs layers varying from 8nm to 1.5nm thickness, sandwiched by AlGaAs barriers on both sides wrapping around a central GaAs core. PL emissions of high quantum efficiency are detected from the QWTs as well as GaAs core. As QWT well width decreases from 8nm to 2nm, a large number of sharp emission lines from the QW begin to be observed: we attribute this response to exciton localization due to non-uniform well widths and alloy fluctuations. PLE spectra indicate that transitions from electron and hole excited states only appear for well widths larger than 5nm. Based on the structural information obtained from HAADF-STEM images of NW cross-sections, we carried out a cylindrically symmetric theoretical model to calculate the energy levels. The transitions of the electron and hole ground states as well as the first excited states from the QW observed in the experiments are in good agreement with our theoretical calculations.
In order to understand the narrow lines, we implemented a detailed PL imaging spectroscopy with enhanced spatial resolution and collection efficiency. High resolution spatially-resolved PL images are taken at 10K for QWTs with different well widths. The PL images reveal many localized states distributed at different spatial positions along the 2nm and 4nm QWT wires. No such localized states are observed in the 6nm and 8nm QWT wires.
We acknowledge the NSF through DMR-1105362, 1105121 and ECCS-1100489. We also acknowledge the support of the Australian Research Council (ARC) and the Australian National Fabrication Facility.
LL4: Nanowires Heterostructures: Photonics and Optoelectronics
Session Chairs
Anna Fontcuberta I Morral
Monday PM, December 01, 2014
Hynes, Level 2, Room 206
4:30 AM - LL4.01
Polarity-Driven Growth of GaAs1-XSbx Nanowires and Heterostructures for Photodetection
Xiaoming Yuan 1 Fan Wang 1 Yanan Guo 1 Jennifer Wong-Leung 1 2 Philippe Caroff 1 Hark Hoe Tan 1 Chennupati Jagadish 1
1The Australian National University Canberra Australia2The Australian National University Canberra Australia
Show AbstractIII-V group nanowires (NWs) are considered as versatile building blocks for future optoelectronic applications due to their efficient strain relaxation, superior optical and electrical properties. Ternary alloy NWs offer tunable bandgap and the possibility of different band alignment with various heterostructures. In particular, GaAs1-xSbx has great potential for applications of devices operating in the near infrared wavelength region. In addition, the band alignment of GaAs1-xSbx with other semiconductors can be tailored to form a type I, type II (staggered) or type III (broken) structure for different applications. Interestingly, staggered band alignment can be used to favor the separation of charge carriers for photo-detection and photovoltaic applications.
Despite these key advantages, GaAs1-xSbx NWs have not been studied much. Comprehensive studies of the synthesis of heterostructures or their optical properties are missing. From the growth perspective, polarity has recently been demonstrated to cause 3D compositional inhomogeneity in ternary NWs and core-shell heterostructures. However, very few studies have considered metal-catalyzed NWs grown on substrates with different polarities, such as (111)A. Consequently, the poor understanding of NWs grown on (111)A substrate restricts the development of polarity driven NW heterostructures and their applications.
Here we present growth studies on Au-seeded GaAs1-xSbx vertical NWs grown on GaAs(111) substrate with both A and B polarity. We demonstrate the crucial role of the substrate and nanowire polarity in improving the material quality of GaAs1-xSbx NWs in terms of tapering and crystal quality. Next, growth of GaAs1-xSbx/GaAs and GaAs1-xSbx/InP core shell heterostructures was realized. Optimized shell morphologies were found to depend on the growth condition and Sb content in the core. With the passivation effect of shell, the optical properties of the nanowires improved significantly. Both type I and II band alignment photoluminescence emission were observed in temperature and power dependent optical spectroscopy studies. Strain engineering using either core/shell thickness ratio or composition of the core was also investigated, offering emission tunability over a large range of wavelength in the infra-red region. Finally, potential benefits from the original type II staggered band alignment in photodetectors application were investigated.
4:45 AM - LL4.02
Bandgap Engineering along Single Semiconductor Nanowires
Honglai Li 1 Liang Ma 1 Pengfei Guo 1 Anlian Pan 1
1Hunan University Changsha China
Show AbstractBand gaps are one of the most important parameters of semiconductor materials for optoelectronic applications since they determine the spectral features of absorption and emission processes. Semiconductor nanowires have been proposed and demonstrated as building blocks for future optical and electronic devices. An important task in the research of nanowire photonics and optoelectronic is to achieve wires with engineered gaps [1]. In this talk, we will report our recent research progress on bandgap engineering based on single semiconductor nanowires. In details, we will show how to realize bandgap tenability along the length of single nanowires, through in-situ composition control during the growth [2]. Using the engineered nanowire structures, some interesting nanophotonics applications have been realized, like on-nanowire white lighting [3], asymmetric waveguiding [4], wavelength splitters [5], low-threshold nanowire lasers [6] and room temperature near-infrared photodetectors [7].
References:
[1] X. J. Zhuang, C. Z. Ning and A. L. Pan*, Adv. Mater., 2012, 24, 13. (Invited Review)
[2] F. X. Gu, Z. Y. Yang, H. Yu, J. Y. Xu, P. Wang, L. M. Tong, A. L. Pan*, J. Am. Chem. Soc., 2011, 133, 2037.
[3] Z. Y. Yang, J. Y. Xu, P. Wang, X. J. Zhuang, A. L. Pan*, L. M. Tong, Nano Lett., 2011, 11, 5085.
[4] J. Y. Xu, X. J. Zhuang, A. L. Pan*, et al. Scientific Reports., 2012, 2, 820.
[5] J. Y. Xu, X. J. Zhuang, A. L. Pan*, et al. Nano. Lett., 2012, 12, 5003.
[6] P. F. Guo, X. J. Zhuang, J. Y. Xu, Q. L. Zhang, W. Hu, A. L. Pan*, et al. Nano Lett., 2013, 13, 1251.
[7] L. Ma, W. Hu, Q. Zhang, P. Ren, X. Zhuang, H. Zhou, A. L. Pan*, et al. Nano Lett., 2014, 14, 694.
5:00 AM - *LL4.03
GaAs-AlGaAs Core-Shell Nanowire Lasers on Silicon
Benedikt Mayer 1 Daniel Rudolph 1 Gerhard Abstreiter 1 2 Jonathan Finley 1 Gregor Koblmueller 1
1Walter Schottky Institute Garching Germany2Institute for Advanced Study Garching Germany
Show AbstractSemiconductor nanowire (NW) lasers provide the potential to generate highly localised, intense monochromatic optical fields in a geometry that is very well suited to an efficient coupshy;shy;shy;ling to highly integrated nanophotonic elements and optical circuits. In this sense, employshy;shy;ing III-V NW lasers is most attractive since their emission wavelength can be tuned to the important telecommunications band and they can be directly grown on CMOS-compatible silicon (Si) platform, which could enable the III-V lasers to emit unishy;directionally into the underlying Si photonic hardware. Fabrication of III-V NW lasers, and in particular of GaAs-based materials, is however a challenging task since the extenshy;dshy;shy;ed surface-to-volume ratio and associated surface states as well as issues with low refractive index contrast between GaAs and Si pose deleterious impacts on optical efficiency and effective resonant laser cavity function.
Here, we report recent progress in achieving efficient suppressshy;ion of surface-state mediated non-radiative recombination using surface-passivated GaAs-AlGaAs core-shell NWs. These sophisticated NW heterostructures are further demonstrated to be functional as nanolasers up to room-temperature when subjected to optical excitation, both in pulsed and continuous-wave (cw) mode. In this talk, we will address in logical order the following steps: (i) design criteria for fabrication of Fabry-Perot resonators with single-mode transverse optical confinement for the GaAs-NW system [1], (ii) the growth of GaAs-AlGaAs core-shell NW laser structures with suppressed surface recombination [2], (iii) different optical excitation schemes (pulsed mode and cw mode) and the respective input-output characteristics as a function of temperature, and (iv) estimation of carrier density close to threshold. Most importantly, we will highlight that clear lasing operation via “s-like” dependence of the luminescence peak intensity with excitatshy;ion power density is observed that persists even up to room-temperature with threshold power densities as low as 0.76 kW/cm2 [1].
[1] B. Mayer, et al., Nature Communications 4, 2931 (2013).
[2] D. Rudolph, et al., Nano Letters 13, 1522 (2013).
5:30 AM - LL4.04
Photocurrent Spectroscopy of Single Quantum Well Tube Nanowire Heterostructures
Bekele Badada 1 Teng Shi 1 Howard E Jackson 1 Leigh M Smith 1 Qiang Gao 2 H Hoe Tan 2 Chennupati Jagadish 2
1University of Cincinnati Cincinnati USA2Australian National University Monash Australia
Show AbstractWe investigate the optical and transport properties of single GaAs/AlGaAs core-multishell Quantum Well Tube (QWT) nanowire heterostructures. The QWT is defined by a thin 4 nm or 8 nm GaAs layer embedded inside a thick 40% AlGaAs shell which surrounds a 50nm diameter GaAs NW core. Single nanowire devices were fabricated by standard photolithography followed by deposition of Ti (20nm)/Al (500nm) metal contacts on both ends of the nanowire. The QWT nanowire devices exhibit very low (sub pA) dark current and are extremely photosensitive (10nA) under modest illumination. These QWT devices exhibit peaks in the photocurrent (PC) when excitons are resonantly excited in the GaAs core or the ground and excited states of electrons and holes confined to the quantum well tube. Spectroscopic signatures are also seen of excitons excited into the continuum above the AlGaAs barriers. The identification of these spectroscopic peaks in the photocurrent are confirmed through simultaneous measurements of the photoluminescence (PL) of the ground state excitons in the core and QWT, as well as photoluminescence excitation (PLE) measurements of the excited states on the same devices. The observed resonant peaks in the PL, PC, and PLE spectra agree very well with each other and also with calculated values of confined energies of electrons and holes in the quantum well tube where the hexagonal symmetry of the nanowires is approximated by cylindrical symmetry. The identified optical transitions in photocurrent measurement of 8nm QWT nanowire device are the core (GaAs), and the transition between the electron and hole quantum confined states hh1→e1, hh2→e2, lh2→e2 and the onset of the shell (AlGaAs). For the 4nm QWT in addition to the core (GaAs) absorption, the transitions VB (AlGaAs)→e1, VB→e1, hh1→CB (AlGaAs)and hh2→CB are evident. We observe a strong quenching of the PL intensity from the quantum well tubes as we increased the source- drain bias, consistent with field-dependent ionization of the excitons which produces the photocurrent.
We acknowledge the NSF through DMR-1105362, 1105121 and ECCS-1100489. We also acknowledge the support of the Australian Research Council (ARC) and the Australian National Fabrication Facility.
5:45 AM - LL4.05
Composition Modulation within Single Semiconductor Nanoribbons
Zhaoyang Qi 1 Xiaoxia Wang 1 Honglai Li 1 Pengfei Guo 1 Xiujuan Zhuang 1 Anlian Pan 1
1Hunan University Changsha China
Show AbstractComposition/band gaps modulation is particularly important for the development of semiconductor based multifunctional and high-performance optoelectronic components, such as tunable lasers and broadband-response photodetectors. Quasi one dimensional (1D) semiconductor nanoribbons, with depth from tens to hundreds of nanometers, have aroused great interest for their high crystal quality and potential applications in integrated photonics and electronics [1]. Recently we have developed a source-exchanging chemical vapor deposition route and successfully realized the growth of semiconductor nanostructures with engineered composition/band gaps [2]. In this talk, we will summarize our new achievements in band gap engineered nanoribbons, with band gap/composition gradually or abruptly changed along the width direction of the ribbons [3-5]. The controlled growth of these nanoribbon heterostructures, involved in-situ ions exchange and lateral epitaxial growth, will be discussed in details. Some interesting applications using these novel nanoribbon structures, including dual-color nanolasers [3] and high-performance broadband photodetectors [4], will also be presented in the talk.
References:
[1] Z. W. Pan, Z. R. Dai, Z. L. Wang, Science, 2001, 291, 1947-1949.
[2] X. J. Zhuang, C. Z. Ning, A. L. Pan*, Adv. Mater., 2012, 24, 13. (Invited Review)
[3] J. Y. Xu, L. Ma, P. F. Guo, X. J. Zhuang, X. L. Zhu, W. Hu, X. F. Duan, A. L. Pan*, J. Am. Chem. Soc., 2012, 134, 12394-12397.
[4] P. F. Guo, X. J. Zhuang, J. Y. Xu, X. Zhu, W. Hu, H. Zhou, X. Wang, X. F. Duan, A. L. Pan*, Adv. Mater., 2014, 26, 2844-2849.
[5] P. F. Guo, D. Li, X. J. Zhuang, A. L. Pan*, 2014, submitted.
LL5: Poster Session I: Semiconductor Nanowires - Growth, Physics, Devices and Applications
Session Chairs
Theresa Mayer
Anlian Pan
Heike Riel
Margit Zacharias
Monday PM, December 01, 2014
Hynes, Level 1, Hall B
9:00 AM - LL5.01
Surface Controlled Optical Properties of GaN Nanowire
Tevye Ryan Kuykendall 1 Virginia Altoe 1 Frank Ogletree 1 Shaul Aloni 1
1Lawrence Berkeley National Lab Berkeley USA
Show AbstractUsing a bimetallic catalyst, the crystallographic orientation of GaN nanowires can be directed either along the a- or m-axis. The resulting a- and m-axis wires have triangular cross-sections displaying unique semipolar side facets of either the {1-101} or {11-22} family, respectively, and a common (0001) facet. These surfaces exhibit distinct differences in their optical and chemical properties. Cathodoluminescence results suggest enhanced non-radiative charge-carrier recombination caused by the m-axis nanowire surface. The differences in their surface structures affect the growth rate and indium incorporation during overgrowth. The resulting heterostructures, with GaN nanowire cores and InGaN multiple quantum well shells, show dramatic differences in their light emission depending on the growth axis.
9:00 AM - LL5.02
Phonic Crystal Structure for Enhancement of Light Extraction Efficiency by Laser Interference Lithography
Chul Jong Yoo 1 Jae Yong Park 1 Jong-lam Lee 1 Seung Joe Oh 1
1POSTECH Pohang Korea (the Republic of)
Show AbstractGroup III-nitride light-emitting diodes (LEDs) are promising candidates for next-generation lighting sources because of their high efficiency, long life, and environmental friendliness. To successfully replace conventional light sources, the quantum efficiency is determined using the internal quantum efficiency (IQE) and the light extraction efficiency (LEE). Although an IQE of nearly 80% has been achieved, much room remains for LEE enhancement because most of the generated photons from the active layer remain inside the LEDs because of the total internal reflection (TIR) at the semiconductor-air interface. To circumvent this problem, a number of solutions based on geometrical optics have been developed.
To eliminate the TIR and to extract more light from the device surface, several approaches have been proposed and demonstrated, including growth of nano wire such as zinc oxide (ZnO), and integration of two-dimensional (2D) photonic crystal (PC) patterns on the device surface. Vertically aligned ZnO nanorods have been grown on the transparent electrode of GaN LEDs to improve the light output. Although the methods did not include a complex lithography, significant thermal damage on the transparent electrodes is occurred during the growth. Several groups have applied PC on the top p-GaN layer of GaN-based LED devices, increasing the emission efficiency, but elaborate grating patterns on those PC-LED devices had to be written using electron beam lithography, which is intrinsically expensive, low-throughput and small-area processing.
Here, we present the fabrication of PC structure with controllable period of the pattern to maximize the light extraction efficiency by using laser interference lithography (LIL). LIL method allows the production of very precise nanometer sized structures, uniformly and over large area. To quantitatively demonstrate the effect of PC structure on light extraction efficiency in LEDs, we used the 3-D finite-difference time-domain (FDTD) method. This PC structure by laser interference lithography could be used in other optical devices such as organic LEDs, solar cells and laser diodes, due to simple and easy fabrication with large area capability.
9:00 AM - LL5.03
Controlling GaN Nanowire Growth Orientation with a Bimetallic Catalyst
Tevye Ryan Kuykendall 1 Virginia Altoe 1 Frank Ogletree 1 Shaul Aloni 1
1Lawrence Berkeley National Lab Berkeley USA
Show AbstractHere we use the composition of a bimetallic catalyst to control the crystallographic growth-axis of GaN nanowires. Using the vapor-liquid-solid mechanism, the gold/nickel ratio of a catalyst particle can be tuned to selectively grow nanowires either along the a-axis or m-axis. We demonstrate this growth strategy is independent of the epitaxial substrate. Moreover, by carefully choosing the catalyst composition and growth condition, the nanowires spontaneously switch growth direction from a- to m-axis allowing direct comparison of their optical properties, which we explore using Cathodoluminescence.
9:00 AM - LL5.04
Spontaneous Core-Shell InGaP Nanowires: Twinning and Polarity Effects
Douglas Soares Oliveira 1 Luiz H.G. Tizei 2 Tiago L. Vasconcelos 3 Carlos A. Senna 3 Braulio S. Archanjo 3 Daniel Ugarte 1 Monica Alonso Cotta 1
1Universidade Estadual de Campinas Campinas Brazil2Universitamp;#233; Paris-Sud Orsay France3INMETRO Duque de Caxias Brazil
Show AbstractDespite huge recent advances in research on the growth of semiconductor nanowires, several questions have yet to be addressed regarding nanowire growth dynamics for a full understanding of the problem. The mechanical stability of the catalyst nanoparticle during vapor-liquid-solid growth is one of these questions, which has been related to the formation of kinks or structural deformations along the nanowires. In this work, we analysed InGaP nanowires using scanning and transmission electron microscopy. Our InGaP nanowires present spontaneous core-shell formation, with an In-rich core, despite the growth environment with similar In and Ga precursor flows and the larger temperature (480C) used. This is not totally unexpected since the growth was carried out using Au nanoparticles which show higher affinity to In than Ga. Some of the grown nanowires are <211> oriented and present twins and stacking faults parallel to the nanowire axis. The observed configuration of twins is associated with a change in the polarity of the growing interface. However, different growth rates can be expected for different facet polarities for III-V compounds. Therefore, changes in polarity could lead to a mechanical instability of the nanoparticle for nanowires growing with <211> orientation. We observe several stacking faults only for interfaces with a determined polarity, possibly due to an increase of the growth rate of the corresponding segment of the metal/semiconductor interface. In addition, the nanoparticle migrates away from the top of our nanowires when the growth is terminated, indicating stability for some specific condition on a <111> oriented sidewall facet. These results suggest a self-regulated stacking fault generation mechanism, in order to equalize the growth rate of the facets forming the nanoparticle/nanowire interface.
9:00 AM - LL5.05
Sb2Te3 Nanowires Grown by a Novel Pulsed Laser Deposition Technique
Biswajit Saha 2 Pragati Chaturvedi 1 Alok Shukla 3 Dipankar Saha 4 Swaroop Ganguly 5
1Indian Institute Of Technology Bombay Mumbai India2Indian Institute Of Technology Bombay Mumbai India3Indian Institute Of Technology Bombay Mumbai India4Indian Institute Of Technology Bombay Mumbai India5Indian Institute Of Technology Bombay Mumbai India
Show AbstractThe exotic properties of topological insulators (TIs) like suppression of back scattering, spin-momentum locking and linear E-K relation are very promising for applications in various fields like electronics, spintronics, optoelectronics and thermoelectrics. For future applications of the topological surface states, however, a major bottleneck remains the lack of high quality bulk materials devoid of unintentional doping. One of the effective means to address this issue is to increase the surface-to-bulk ratio by synthesizing thin film or nanostructures. Though a lot of work has been done to study the growth of topological insulators by various state-of-the-art techniques, the growth of high quality nanostructures is still a major challenge. In this work, we report substrate-independent catalyst-free growth of nanowires of high purity antimony telluride (Sb2Te3) by the pulsed laser deposition (PLD) technique; Sb2Te3 is a 3D topological insulator material that is promising for information storage and thermoelectric applications [1].
We contrived an unconventional PLD setup for the nanowire growth that affords precise control on the horizontal growth direction. Structural, morphological, compositional and electrical characterization of the samples was done to evaluate the quality of the nanowires. We observed insensitivity of the nanowire properties to the substrate by growing on silicon (cubic and used most commonly), SiO2 (amorphous dielectric), glass (amorphous) and mica (hexagonal symmetry). We have also investigated the effect of growth time on the areal number density of nanowires. Scanning electron microscopy (SEM) and atomic force microscopy (AFM) were used to study the morphology and topography of the nanowires. Transmission electron microscopy (TEM) confirmed the single crystalline growth and hexagonal symmetry of the nanowires; this was further corroborated by Raman spectroscopy. Finally, energy dispersive X-ray spectroscopy (EDS) revealed that the Sb2Te3 nanowires are precisely stoichiometric.
Reference:
[1] Shahil et al., J. Appl. Phys.111 (2012), 054305
9:00 AM - LL5.06
Optimization of MOCVD-Grown GaAs/AlGaAs Core/Shell Nanowires by Precise Control of Growth Temperature
Nicholas G. Minutillo 1 Yi-Hsin Chiu 1 Robert Williams 3 Gregory J. Smith 1 David W. McComb 3 John A. Carlin 2 Ezekiel G. Johnston-Halperin 1 Fengyuan Yang 1
1The Ohio State University Columbus USA2Institute for Materials Research, The Ohio State University Columbus USA3Center for Electron Microscopy and Analysis, The Ohio State University Columbus USA
Show Abstract
The growth of III-V semiconductor nanowires using the vapor-liquid-solid mechanism by metal-organic chemical vapor deposition (MOCVD) has emerged as a leading approach to bottom-up nanowire synthesis. Precise control of the growth parameters is essential for understanding the growth process and for synthesizing materials of high electronic and optical quality for use in future applications and fundamental studies of electronic behavior in this material system. We have used a close coupled shower head MOCVD to grow gold-catalyzed GaAs/Al0.35Ga0.65As core/shell nanowires. By using an in-situ optical pyrometer, we are able to improve our control of the surface temperature during the core nanowire growth. We use liquid helium temperature photoluminescence characterization to evaluate the optical quality of the GaAs/AlGaAs nanowires at each deposition temperature. We find a narrow region of optimal temperatures for the GaAs core nanowire growth around 430 °C that results in sharp band edge luminescence at a wavelength of 818 nm. Furthermore, we see that the defect peak at 830 nm in the photoluminescence spectra is dependent on the growth surface orientation, i.e., (100) vs. (111)B. This result indicates the important role of precise temperature control in synthesizing high quality semiconductor nanowire heterostructures. This research is supported by the U.S. Department of Energy, Office of Basic Energy Sciences, Division of Materials Sciences and Engineering under Award No. DE-SC0001304.
9:00 AM - LL5.07
On MOVPE Growth Dynamics of AlGaAs Shell and Its Effects on Radiative Emission of GaAs-AlGaAs Core-Shell Nanowire Arrays
Paola Prete 1 Ilio Miccoli 2 Fabio Marzo 2 Nico Lovergine 2
1IMM Lecce Italy2University of Salento Lecce Italy
Show AbstractIII-V nanowires (NWs) are considered key elements for the fabrication of future nano-photonic devices. GaAs is a prime candidate for such applications. Recently, optically-pumped lasing has been reported for single GaAs-AlGaAs core-shell NWs [1,2]. Indeed, the overgrowth of a wider bandgap AlGaAs shell around GaAs NWs leads to effective passivation of GaAs surface states and to improved minority carrier diffusion lenghts, and recombination lifetimes with respect to bare GaAs NWs. However, growth conditions (temperature, V:III precursor ratios in the vapor, etc.) and relevant geometrical parameters (namely, the shell-thickness to core-radius ratio) of GaAs-AlGaAs core-shell NWs affects the nanostructure carrier lifetime [3], as well as built-in lattice strain and core radiative emission [4].
GaAs-AlGaAs core-shell NWs were grown by low pressure MOVPE in an Aixtron reactor, using trimethylgallium, trimethylaluminum and tertiarybuthylarsine as Ga, Al and As precursors. GaAs core NWs were grown at 400°C on either semi-insulating (111)B-GaAs or (111)Si substrates [5] by the Au-catalyzed method, after which an Al0.33Ga0.67As shell was overgrown at 650°C by conventional MOVPE. In some cases a few-nm thin GaAs cap layer was deposited around the shell to protect it from oxidation. During NW core and shell+cap growth the V:III precursors ratio in the vapor was changed between 5:1 and 30:1.
We demonstrate that, under conventional MOVPE growth conditions, the initial diameter, height and density of core NWs strongly impact on the actual shell growth rate. We further explain such findings by validating a detailed MOVPE growth model of AlGaAs shell based on the mass-transport of III-group species. Besides ensuring strict control over shell thickness in core-shell and core-multishell NW structures, the model allows to calculate effective (around the NWs) vapor stoichiometry during shell growth.
7K photoluminescence of GaAs-AlGaAs core-shell NWs is thus reported as function of the NW relevant geometrical parameter, and values of V:III effective ratios during AlGaAs shell growth obtained from the model. After deconvoluting the strain-dependent red-shift of GaAs excitonic emission from the shell-dependent localization effect in present core-shell NWs [4], the latter is reported as function of as-calculated V:III effective ratios and discussed.
References
[1] D. Saxena, S. Mokkapati, P. Parkinson, N, Jiang, Q, Gao, H,H, Tan, C, Jagadish, Nature Photon. 7 (2013) 963.
[2] B. Mayer, D. Rudolph, J. Schnell, S. Morkötter, J. Winnerl, J. Treu, K. Müller, G. Bracher, G. Abstreiter, G. Koblmüller, J.J. Finley, Nature Comm. 4 (2013) 2931.
[3] N Jiang, Q. Gao, P. Parkinson, J. Wong-Leung, S. Mokkapati, S. Breuer, H.H. Tan, C.L. Zheng, J. Etheridge, C. Jagadish, Nano Lett. 13 (2013) 5135.
[4] P. Prete, I. Miccoli, F. Marzo, N. Lovergine, Phys. Status Sol. - RRL 7 (2013) 874.
[5] I. Miccoli, P. Prete, F. Marzo, D. Cannoletta, N. Lovergine, Cryst. Res. Technol. 46 (2011) 795.
9:00 AM - LL5.08
Controlling the Polarity and Structural Uniformity of ZnO Nanowire Arrays by Selective Area Growth
Vincent Consonni 1 Sophie Guillemin 1 2 Amandine Bocheux 1 3 Herve Roussel 1 Laetitia Rapenne 1 Fabrice Donatini 4 Georges Bremond 2 Ivan-Christophe Robin 3 Joseph Kioseoglou 5 Eirini Sarigiannidou 1 Estelle Appert 1
1CNRS - Univ. Grenoble Alpes Grenoble France2Institut des Nanotechnologies de Lyon Lyon France3CEA, LETI Grenoble France4CNRS - Univ. Grenoble Alpes Grenoble France5Aristotle University of Thessaloniki Thessaloniki Greece
Show AbstractOne key advantage of ZnO is its ability to grow with the nanowire (NW) shape by low-cost and surface scalable deposition techniques such as the low-temperature chemical bath deposition (CBD) process. Although widely investigated by determining chemicals and seed- layer structural morphology effects, the nucleation and growth mechanisms of ZnO NWs by CBD are still not completely understood. This results in an insufficient control of their structural properties and uniformity, which is detrimental for integrating ZnO NWs in advanced electronic, optoelectronic and photovoltaic devices. In this work, ZnO NWs are grown by CBD either on various ZnO seed layers (with different diameter, roughness, texture) grown on Si substrates or on as-grown and electron-beam patterned ZnO single crystals with distinct crystal orientation and polarity [1-3]. It is shown that the growth of ZnO NWs is limited by the mass transport of chemical precursors in solution [1]. Additionally, ZnO NWs only develop homoepitaxially on polar c-plane ZnO single crystals, showing the critical role of polarity in CBD process [2]. In contrast, two-dimensional layers are homoepitaxially deposited on non-polar plane ZnO single crystals [2]. Importantly, by combining selective area growth with CBD, both O- and Zn-polar ZnO NWs with high structural uniformity (i.e., length, diameter, period) and optical quality can be grown on pre-patterned O- and Zn-polar c-plane ZnO single crystals revealing that 1) no fundamental restriction limits the growth of O-polar ZnO NWs and that 2) ZnO NW polarity can be controlled by CBD in contrast to other vapour phase deposition techniques [3]. Based on these results, a new interpretation of the nucleation and growth mechanisms of ZnO NWs by CBD is deduced [2,3]. Eventually, the physical properties of the resulting ZnO NWs are discussed in detail and strongly depend on the polarity [3].
[1] S. Guillemin et al., J. Phy. Chem. C 116, 25106738-25111 (2012).
[2] S. Guillemin et al., J. Phy. Chem. C 117, 20738-20745 (2013).
[3] V. Consonni et al., ACS Nano 8, 4761-4770 (2014).
9:00 AM - LL5.09
Self-Powered Nanosensors Based on PEDOT:PSS/ZnO Micro/Nanowire
Junjie Qi 1 Pei Lin 1 Zengze Wang 1 Yue Zhang 1
1University of Science and Technology Beijing Beijing China
Show AbstractDeveloping tailored micro/nanostructure interfaces is an effective way to make novel mechanical/optoelectronic devices or enhance their performances. Here we report the fabrication of a PEDOT:PSS/ZnO micro/nanowire-based self-powered nanosystem that can work both as UV photosensor and strain sensor.
On the basis of the property of PEDOT:PSS/ZnO micro/nanowire heterojunction, a self-powered UV photodetector was realized. At zero bias, the sensitivity of device was about 103 under the illumination of UV light (325 nm), along with a fast response time <1 s. The property of self-power is attributed to the formation of built-in electric field at the heterojunction, under which the photogenerated carriers was separated toward opposite directions. Furthermore, the piezotronic effect on the UV photoresponsivity under different strains is investigated. Due to the intrinsic coupled semiconducting and piezoelectric properties of ZnO, the strain-induced piezopotential could tune the energy band profile at the heterointerface and increase/decrease the barrier height, consequently modulate the performance of these optoelectronic devices.
The PEDOT:PSS/ZnO micro/nanowire heterojunction on a PS substrate can also work as a strain sensor under solar light without an external power source. The current change for the device under strain can be viewed as piezoelectric effects of ZnO. The strain-induced piezo-potential is created under an externally applied strain, which tunes the energy band structure. The fabricated sensor without an external bias voltage has a higher sensitivity and a lower reset time under solar light due to the piezo-phototronic effect.
Compared with traditional ones, these flexible self-powered photosensors are probable to work in harsh environment more sustainably and independently. This unique property might expand its applications in medicine, communication, and environmental monitoring areas.
9:00 AM - LL5.10
Single-Crystalline ZnO Hexabranched Nanostructures Derived from Seeded Solution Growth
Andreas Menzel 1 Rajeevan Kozhummal 1 Yang Yang 1 Margit Zacharias 1
1University of Freiburg Freiburg Germany
Show AbstractThe rational bottom-up growth of diverse Zinc Oxide (ZnO) nanostructures has greatly promoted by the development of hydrothermal synthesis. The use of branched ZnO nanostructures in the application fields of catalysis, sensing, dye-sensitized solar cells etc. is more attractive to the normal ZnO nanowires, for instance due to the larger surface area. However, either the multi-step or the one-step synthesized nanowires contain a boundary-governed non-epitaxial branch/stem interface. In our contribution we demonstrate a seeded growth procedure of single-crystalline ZnO hexabranched nanostructures that can be achieved by the assistance of a polyethylene glycol (PEG) capping agent. The growth strategy is based on a low-temperature and laterally epitaxial solution growth. In order to demonstrate the generality of this PEG-assisted process, we used a different ZnO seed layers, such as a continuous film, patterned dots, and vertically aligned nanowire arrays. The patterned ZnO seed dots were achieved by a low cost wafer scale lithography where a ZnO layer by atomic layer deposition (ALD) was patterned and etched by phase shift lithography and a dry etching technique (all standard cleanroom processes). We will show that PEG is a distinctive c-direction inhibitor that is responsible for the lateral growth and the subsequent branching of ZnO because PEG has nonionic and nonacidic features and the reactivity in the solution system is weak. Due to the homoepitaxial growth mode all the branched nanostructures show single crystalline structures. With such a PEG assisted growth process it is possible to precisely tune the diameter and the branch formation of the ZnO nanowires by a secondary growth. Moreover, first experiments have shown that the ZnO hexabranched ZnO nanostructures have revealed superior photocatalytic efficiency for dye degradation relative to normal ZnO nanowires.[1]
[1] R. Kozhummal et al. ACS Nano, 2012, 6 (8), 7133-7141
9:00 AM - LL5.11
Spatially Resolved Observation of Light Trapping on the Grating Structure of Semiconducting ZnO
Cheolmin Park 1 2 Jihye Lee 3 Hye-Mi So 2 Seung-Mo Lee 2 Won Seok Chang 2 1
1University of Science and Technology Daejeon Korea (the Republic of)2Korea Institute of Machinery amp; Materials (KIMM) Daejeon Korea (the Republic of)3Korea Institute of Machinery amp; Materials (KIMM) Daejeon Korea (the Republic of)
Show AbstractSpatially resolved photocurrent images on the grating structure of semiconducting ZnO nanobeam show the light trapping effect. The photocurrent on the space between ZnO nanobeams increased more than that of the top of ZnO nanobeams with increased light intensity (ge; 393 µW/cm2), which is attributed to increased scattering due to the geometric structure. The structural effect of ZnO nanobeams for light trapping was compared with ZnO flat film via optical power absorbed volume by the calculated penetration depth and intensity profile by computer simulation, which reveals significant reduction of reflection and 1.64 times higher optical power absorbed volume on the grating structure. In case of lower intensity (le; 82 µW/cm2), subtle photocurrent related with surface layer that has dense deep levels of ZnO was shown in the SPCM images on the grating structure. Additionally, with various intensity and bias voltage, we find out that conducting path due to drift current exists in the inner side (bulk) of ZnO nanobeams.
9:00 AM - LL5.12
Zn3As2 Nanowires and Nanoplatelets Grown by MOVPE: An Earth Abundant 1.0 eV Optoelectronic Nanomaterial
Tim Burgess 1 Yanan Guo 1 Yuda Wang 2 Bekele Badada 2 Leigh M Smith 2 Howard E Jackson 2 Jennifer Wong-Leung 1 Qiang Gao 1 Philippe Caroff 1 Hoe H Tan 1 Chennupati Jagadish 1
1Australian National University Canberra Australia2University of Cincinnati Cincinnati USA
Show AbstractThe highest performing commercial thin-film photovoltaic devices currently contain elements of relatively low earth abundance. The use of such elements increases price and renders production potentially unsustainable. There is thus an ongoing need to develop efficient earth abundant optoelectronic materials. This need is especially pressing in the context of the increasing demand for consumer electronics and the move towards terawatt scale photovoltaics. At the same time, the nanowire and other novel cell geometries are being pursued to reduce material usage while also increasing cell efficiency. Here we introduce nanowires and nanoplatelets of the semiconductor material Zn3As2 synthesized using standard MOVPE precursors. The unique crystal structure and morphology of this nanomaterial is firstly investigated by TEM to confirm its identity and phase. We then present the results of several complementary optical spectroscopies to demonstrate its promising optoelectronic performance. Room temperature emission is obtained from single unpassivated nanowires at 1.0 eV, an energy relevant to both optical communication and photovoltaic applications. Using transient Rayleigh scattering, we measure a recombination lifetime at low temperatures of over 1 ns which is consistent with a low non-radiative recombination rate and the relatively high efficiency of this emission. Finally we fabricate a simple metal-nanowire-metal photosensitive device from a single 50 nm diameter nanowire and investigate its performance using photocurrent spectroscopy. The synthesis and promise of a II-V nanowire material by MOVPE opens the door to the fabrication of a whole new class of materials.
9:00 AM - LL5.13
ZnO Nanowires with Enhanced Sensitivity for Ammonia Gas Sensing at Room Temperature by Regulating Deep Level Surface Defects
Suranan Anantachaisilp 1 2 Siwaporn Meejoo Smith 2 Cuong Cuong Ton-That 1 Anthony R. Moon 1 Matthew R. Phillips 1
1University of Technology Sydney Australia2Mahidol University Bangkok Thailand
Show AbstractThe influence of deep level surface defects on electrical and gas sensing properties of ZnO nanorod ammonia gas sensors was studied. ZnO nanorods (NR) 50-60 nm in diameter were synthesized via low temperature hydrothermal growth at 90°C on sapphire substrates. The as-grown nanorods exhibited a strong cathodoluminescence (CL) peak centered at 1.90 eV (YL), generally attributed to LiZn deep acceptors or O interstitials. Subsequent annealing in oxygen gas and Zn vapor at 650°C and 1 atmosphere, produced strong broad CL peaks centered at 1.70 eV (RL) and 2.44 eV (GL) respectively. The chemical origin of the RL has been ascribed to VZn related centers while GL has been assigned to complexes involving VO. Aluminum electrodes were thermally evaporated onto the ZnO nanowires with each of the three different surface defect structures exhibiting RL, YL and GL peaks. All electrical and gas sensing measurements were conducted at room temperature to avoid surface defect modification and to establish their performance under practical operating conditions. The I-V curves for all three samples were found to be ohmic over -1V to +1V. Compared with the measured I-V current for the as-received YL sample, the RL NR samples exhibited a 1000x enhancement and GL NRs had a 100x increase. NH3 response sensitivity (S) measured against an Ar standard was S = 22.6 for RL, S = 1.4 for GL and S = 4.1 for YL (as-received) samples, confirming a significant enhancement for NH3 detection with ZnO NRs showing RL. These data establish that deep level surface defects play a major role on the electrical properties of ZnO NRs and that they can be tailored to detect specific gas species.
9:00 AM - LL5.14
Growth of InGaAs/InP Nanowires with Pure Crystal Phase, Uniform Morphology and Composition for Room Temperature Infra-Red Emission
Amira Saryati Ameruddin 1 2 Aruni Fonseka 1 Philippe Caroff 1 Jennifer Wong-Leung 1 3 Hark Hoe Tan 1 Chennupati Jagadish 1
1The Australian National University Canberra Australia2Universiti Tun Hussein Onn Malaysia Batu Pahat Malaysia3The Australian National University Canberra Australia
Show AbstractSemiconductor nanowires have been shown to be excellent building blocks for many potential applications such as lasers and solar cells. Ternary compound semiconductors nanowires in particular have the advantage of bandgap and lattice parameter tunability. For example, InxGa1-xAs can be tuned from 900-3500 nm covering the useful wavelengths which are widely used in the telecommunications field, remote sensing, environmental monitoring, gas and bio-hazardous material detection. However, progress in InxGa1-xAs nanowires research has been slow due to the complex growth mechanisms and the competition between the In and Ga adatom incorporation pathways. Au-catalysed nanowires have been previously shown to have variation of the In content across the nanowires due to the self-formation of core-shell-like structures. Although the composition was shown to be more uniform with less tapered Au-catalysed nanowires, crystal structure of the nanowires is yet to be improved. Unfortunately, self-catalysed and selected-area InxGa1-xAs nanowires also suffer from high defect density despite being more homogenous in composition. Homogeneity and high quality crystal structure is essential if III-V ternary nanowires are to be used in real device applications.
In this work, we present a comprehensive growth study over various growth parameters towards achieving non-tapered Au-assisted InxGa1-xAs nanowires grown by the metal-organic vapour phase epitaxy. Compositional profile of the InxGa1-xAs nanowires was investigated using the energy-dispersive X-ray spectroscopy which includes the cross-sectional composition profile mapped across the different temperature and V/III ratios. The amount of defects in the nanowires is believed to affect the radial growth. We found that with more defects, radial growth rate is higher than the axial growth rate, thus induces tapering. By tailoring the growth conditions, non-tapered , pure wurtzite Au-catalysed InxGa1-xAs nanowires with uniform In composition along the whole nanowire are achieved. The non-tapered morphology improves the composition uniformity of the nanowires and thus eliminates the formation of the unintended shell. The high quality crystal structure allows the nanowires to be grown up to several micrometers with no tapering.
The optical properties of the uniform and pure wurtzite nanowires are also presented showing room temperature luminescence centered around 1560nm when capped with an InP passivating shell. These results demonstrate the excellent potential of Au-catalysed InxGa1-xAs nanowires with improved crystal structure and homogenous composition which can be further integrated into heterostuctures and devices.
9:00 AM - LL5.15
Facet-Selective Epitaxy of Compound Semiconductors on Faceted Si Nanowires
Max N Mankin 1 Robert W Day 1 Ruixuan Gao 1 You-Shin No 1 4 Sun-Kyung Kim 2 Arthur A McClelland 3 David C Bell 3 5 Hong-Gyu Park 4 Charles M Lieber 1 5
1Harvard University Cambridge USA2Kyung Hee University Gyeonggi-do Korea (the Republic of)3Harvard University Cambridge USA4Korea University Seoul Korea (the Republic of)5Harvard University Cambridge USA
Show AbstractIntegration of Si and compound III-V or II-VI semiconductors is a long sought after goal for (opto)electronic and photonic devices since heterostructure devices can take advantage of properties of both Si (e.g. high thermal conductivity and compatibility with standard electronics fabrication processes) and the compound semiconductors (e.g. direct band gaps and high carrier mobilities). However, mismatches in lattice constant, thermal expansion coefficient, and polarity between Si and compound semiconductors render growth of epitaxial heterostructures technically challenging. Nanowires are promising material platforms for the integration of Si and compound semiconductors since their small footprint and limited surface area can alleviate issues created by lattice, thermal, and polarity mismatches. Recently, we and others have explored the facet-dependent properties of core/shell nanowires in a variety of material systems. However, these investigations have been limited to homostructure III-V/III-V or IV/IV core/shell NWs. Here, we demonstrate facet-selective, epitaxial growth of ~20-100 nm thick crystalline films of CdS and InP along the entire length of the {111} and {110} surface facets of Si nanowires. Crystallographic analysis suggests that the facet-selective growth is enabled by the formation of an oxide on the Si{113} wire facets which prevents subsequent film growth. Studies show that this ‘masking oxide&’ forms selectively on certain facets of our nanowires due to the intrinsic differences in reactivities among the facets. We note an analogy between our observed mechanism of facet-selective nanowire shell growth and selective area epitaxy, which is also referred to as selective area growth, aspect ratio trapping, and, by extension, epitaxial lateral overgrowth. Our technique, “facet-selective epitaxy,” is general for film growth on faceted Si nano- and microwires and enables laterally confined growth of compound semiconductors on Si without lithographic patterning, suggesting that it could be a promising route to integration of compound semiconductors on Si.
9:00 AM - LL5.16
Growth Mechanism of Hydrothermally Synthesized Zinc Oxide Nanowires
Jayce J Cheng 1 Silvija Gradecak 1
1Massachusetts Institute of Technology Cambridge USA
Show AbstractHydrothermally synthesized zinc oxide nanostructures have found application in electronic and optical devices such as photovoltaics, LEDs and piezoelectrics. Although well-aligned, uniform zinc oxide nanowire (NW) arrays can be fabricated at low temperatures on a variety of substrates, control over nanowire length, diameter and array density remain elusive, primarily due to the lack of fundamental understanding of the growth mechanisms under homeostatic conditions. However, these parameters are critical for future applications in which intrinsic materials properties, such as exciton diffusion length, piezoelectric coefficient or carrier mobility dictate the exact device architecture.
Here, we study zinc oxide solution growth mechanisms by controlling array density through zinc oxide seed dispersion, investigating zinc precursor transport by varying NW array density and utilizing a continuous flow reactor to investigate c- and m-plane growth rates versus Zn2+ concentration, temperature and pH. We demonstrate control over NW array density by varying spincoating speed of a zinc acetate sol-gel seed solution. Subsequent hydrothermal growth carrier out in a continuous flow reactor subjects the seeded substrates to homeostatic Zn2+ concentration, pH and temperature conditions, which are more conducive for studying growth and transport mechanisms than typical bulk growth reactors. We find greatly accelerated c-plane growth rates in sparse NW arrays and attribute this to a transition from reaction-limited to transport-limited growth as NW density increases. We show that c-plane growth rate scales linearly with Zn2+ concentration, which strongly suggests that c-plane growth takes place by a direct incorporation mechanism. These findings pave the way for more informed, bottom-up design of zinc oxide NW arrays for device applications.
9:00 AM - LL5.17
Solution Grown ZnO as a Near-Term Replacement of 3He for Thermal Neutron Scintillators
Ken Charles Pradel 1 Youfan Hu 1 George Spencer Mickum 1 Christopher Summers 1 Benjamin Klein 1 Nolan Hertel 1 Zhong Lin Wang 1 2
1Georgia Institute of Technology Atlanta USA2Chinese Academy of Science Beijing China
Show AbstractThere is currently a need to replace 3He in thermal neutron detectors with a much cheaper, more abundant material. Zinc oxide is a promising material due to its picosecond scale decay time and high scintillation light output due to its direct band gap and large interband optical matrix element. To use ZnO as a neutron detector, a radiator such as lithium-6 or boron-10 must be used in conjunction with the ZnO scintillator. The radiator converts the neutron into an alpha particle and triton. The energy deposited by the charged particles (alpha and triton) in the ZnO scintillator produces electron-hole pairs which recombine and generate optical photons, which must can be extracted from the scintillating material and detected. Zinc oxide is relatively easily grown by a number of techniques. While MOCVD can produce high quality single crystalline films, it is also costly and slow process. As an alternative, here we present ZnO nanowire films grown through a modified hydrothermal method. While the material quality is less than that produced by MOCVD growth, it is a significantly cheaper growth technique, and produces single crystalline wires. Furthermore, the nanowires themselves can serve as an optical waveguide for optical photons, thus reducing their reabsorption. By adding citrate ions to the growth solution, the wires grow preferentially in the radial direction, causing them to coalesce into a thin film. By adjusting simple growth parameters such as reaction temperature and precursor concentrations, nanowire arrays and continuous films of varying heights could be produced. We tested these films using an americium-241 alpha particle source and a photomultiplier tube, demonstrating a distinct, measureable alpha response. The hydrothermal growth parameters have been optimized in order to improve the alpha response. In the future, through controlled patterning of nanowire growth, photonic crystals could be designed to further increase conversion efficiency.
9:00 AM - LL5.18
A Comparative Study of InGaAs Capped Dots, Dots-in-Well and Sub-Monolayer Quantum Dots Architectures for Quantum Dot Infrared Photodectors
Hemant Ghadi 1 Saikalash Shetty 1 Ashutosh Manohar 1 Saumya Sengupta 1 Subhananda Chakrabarti 1 Naresh Babu Pendyala 2 Sachin Prajapati 2
1Indian Institute of Technology Bombay Mumbai India2Space Application Center Ahmedabad India
Show AbstractQuantum dot infrared photodetector (QDIP) and quantum well infrared photodetector (QWIP) which rely on the principle of inter-sub-band transition have received plenty of attention in last 20 years. Multilayer InAs quantum dots with InGaAs capping is helpful to extend the detection towards longer wavelength infrared region by providing an additional energy level closer to the bound states. In dot-in-well (DWELL) structure, InAs QDs are placed between two asymmetric InGaAs wells offers the advantage of tuning the detection peak wavelength, while providing lower dark current and higher operating temperature. Sub-Mono layer (SML) QDs has the advantage of absence of wetting layer which increases the device efficiency compared to the others. In this article we are reporting a comparative study between three of different design of QDIPs , InGaAs capped InAs dots (sample A - 3.0 ML InAs QDs covered with 60Å In0.15Ga0.85As capping followed by a 500Å GaAs barrier), InAs DWELL (sample B - 3.0 ML InAs dots placed between two 20Å and 40Å In0.15Ga0.85As QWs in addition to a 500Å GaAs barrier) and InAs SML (sample C- each of the dot layers consist of 8 stack of 0.5ML of InAs separated with 2ML In0.15Ga0.85As spacer followed by a 500Å GaAs barrier) heterostructures in terms of optical and spectral behavior of the devices. The ground state photoluminescence (PL) emission peaks are found at 1149.25nm, 1141.34nm, and 1108.5nm for sample A, B and C respectively at 8K. PL intensity measured at 8K for sample C i.e. SML structure is 20 times stronger compare to others. Activation energy is calculated using temperature dependent PL and dark current measurements which follows the same trend and the values obtained were 317, 306 and 166meV for Samples A, B and C respectively. Two color spectral responses is observed for device A and B while for device C (SML) one strong peak is observed at 7.9 µm due to the absence of wetting layer in SML QDs. The maximum operating temperature is high (110 K) for SML device due to strong coupling of wave functions of the carriers in the SML dots which increases the oscillator strength to produce higher photocurrent. Lower depth of potential well of sample C compared to others along with broader spectrum was observed indicating smaller size of the SML dots and the splitting of energy levels due the electronics coupling. One order enhancement in responsivity is observed in SML device compared to other two types of devices. The Peak detectivity of sample A, B and C is calculated to be 4.1E9, 4.99E9 and 3.89E9 Jones respectively at 87K. Therefore Sample C (SML QDIP) showed comparatively better results and confinement enhancing barriers will further improve device performance. Riber, France and DST, India is acknowledged.
9:00 AM - LL5.19
Piezo-Phototronic Effect Tuned Performance of Force Sensor Based on Longitudinal PtIr-ZnO Wire Schottky Diodes
Zengze Wang 1 Yue Zhang 1 Junjie Qi 1 Qingliang Liao 1
1University of Science amp; Technology Beijing China
Show AbstractForce sensing in the nanonewton range is essential in NEMS, stucture monitoring areas, and intelligent electron devices. A longitudinal PtIr-ZnO wire Schottky diode was fabricated on the conductive glass. The sensitivity of device under UV can be three orders of magnitude larger than that without UV. The current through the device rise exponentially rather than linearly, under UV illumination. The piezoresistive effect is main factor to control the current while device in the dark. When device is under UV illumination, the piezoelectric effect dominates the current change. The piezotronic effect through a three-way coupling among mechanical, electronical and optical properties was proposed based on energy band theory. Our discovery offers a way to tune the performance of electromechanical nano device.
9:00 AM - LL5.20
Phosphide Nanowire Arrays on GaAs and Si as Electrochemical Photocathodes for the Hydrogen Evolution Reaction
Vijay Parameshwaran 1 Xiaoqing Xu 1 Bruce Clemens 1
1Stanford University Stanford USA
Show AbstractAn emerging sub-field of photovoltaic materials research is in developing electrodes for solar-driven water splitting. III-V semiconductors, which have superior light absorption and charge transport properties, are particularly ideal for driving the hydrogen evolution reaction within a photocathode. As photoelectrode research has progressed from the bulk to thin film stacks and nanostructures, most of the focus has been on metal oxides for photoanodes and silicon for photocathodes. In contrast, relatively little work has been done on III-V materials.
In this work, metalorganic vapor phase epitaxy (MOVPE) is used as a tool to synthesize III-V phosphide nanowire arrays on Si and GaAs by using indium nanoparticle catalyst seeds ranging from 50 to 75 nm in diameter. Due to the introduction of indium during growth, the diameter expands outwards as the nanowire growth proceeds, producing an inverted cone morphology. Single crystal InP nanowire arrays grown on silicon demonstrated enhanced photocurrent under cathodic bias regimes. Additionally, InGaP nanowire arrays were grown on GaAs and Si using the same indium nanoparticle catalyst seeding method. High-resolution x-ray diffraction confirmed the epitaxial nature of the nanowires on GaAs, but also indicated that the resulting material is a mixed arsenide/phosphide of the form InxGa1-xAsyP1-y. The likely explanation is arsenic diffusion into nanowires during crystal growth. In addition to standard aqueous photoelectrochemical (PEC) measurements, advanced analysis utilizing impedance spectroscopy and non-aqueous measurements with specific redox couples are used to probe the specific nature of the nanowire/substrate heterojunction and how it boosts PEC performance.
9:00 AM - LL5.21
Mechanism of Raising Nitride Nanocolumns Quality on a Sputter-Deposited Aln/Si (111) Substrate
Fukushima Daishi 1 Hiroaki Hayashi 1 Yuta Konno 1 Katsumi Kishino 1 2
1Sophia University Tokyo Japan2Sophia Nanotechnology Research Center Tokyo Japan
Show AbstractNitride nanocolumns (NCs) on MOCVD-grown GaN templates/sapphires are dislocation-free crystals having high emission properties [1]. Toward cost-effective/large-area optical devices, the selective area growth (SAG) of NCs applying a sputter-deposited AlN nucleation layer on the Si substrate are further attractive [2]. In the previous study, we revealed a clear correlation between NC diameter of SAG mask and PL intensity of NCs on sputter-deposited AlN layer [2]. Here we report the effect of pre-growth patterning on the crystalline quality of GaN NCs grown on the sputter-deposited AlN layer with a lot of dislocations (3 × 1011 cm-2). We focused attention here on the lateral growth of NCs, which are affected by both the growth condition and pre-growth patterning.
In the experiment, ordered nanoholes (NHs) in triangle lattice with various diameters (41 nm - 370 nm), pitches (200 nm - 400 nm), and depths (approximately 6 nm - 15 nm) were prepared on the same substrate. On the NH patterns, ordered GaN NCs are grown using Ti-mask SAG by rf-MBE [1, 2]. PL intensities of the grown NCs were measured under the 325-nm He-Cd laser excitation and the lateral expansion ratio (LER) of NC, which was defined by the NC diameter divided by NH diameter, was evaluated. With decreasing the NH diameter, both the PL intensity and LER of NC monotonically increased; the LER increased more steeply for a shorter NH pitch. At the NH pitch of 200 nm, for example, the LER of NC was 1.27 and 1.97 for the NH diameters of 144 nm and 56.4 nm, respectively, and the PL intensity of the latter NC was 60 times higher than the former NC. Furthermore, when the NH depth was increased approximately from 9 nm to 15 nm, the LER increased as a factor of 1.1-1.2; the growth mechanism related to the difference in crystal nucleation depending on the depth. Thus, the lateral growth of NCs was enhanced with a smaller diameter, shorter pitch and deeper depth of NHs.
Growth parameters (e.g., substrate temperature, N2 flow) also enhanced the lateral growth [1]. The lateral growth of NCs causes bending of threading dislocations; even a slight leaning in the propagation direction results in the termination of dislocations at side wall of NCs. Consequently, the dislocation bending mechanism adds the NC to a higher crystalline quality.
In summary, we revealed that the lateral growth can be controlled by tuning the pre-growth parameter (diameter, pitch and depth of NH) and the lateral growth contributed to an improved crystalline quality of NCs even on sputter-deposited AlN layer with a high dislocation density. Now, more detailed crystalline evaluation is being gone forward for cautiously discussion.
Acknowledgement
This research was supported by a Grant-in-Aid for Specially Promoted Research (#24000013) from the MEXT of Japan.
[1] K. Kishino, et al., J. Cryst. Growth 311, 2063 (2009).
[2] H. Hayashi, et al., ICNS-10, A8.05, Washington D.C., Aug. 25-30, 2013.
9:00 AM - LL5.22
Selective Area Grown GaN Nanowire Based InGaN/GaN MQWs Coaxial Array: Structural Characterization and Luminescent Properties
Byung Oh Jung 1 2 Si-Young Bae 1 Sang Yun Kim 3 Dong-Seon Lee 4 Yoshio Honda 1 2 Hiroshi Amano 1 2
1Nagoya University Nagoya Japan2Nagoya University Nagoya Japan3KAIST Daejeon Korea (the Republic of)4GIST Gwangju Korea (the Republic of)
Show AbstractGeneral planar type devices may not be the best choice for next generation light emitting diodes (LED) sources with optical efficacy above 200 lm/W due to lattice mismatched and high dislocation density of gallium nitride (GaN) in the structure. Recently, one-dimensional nanostructures started to be one of the major research focuses of GaN based LEDs due to thier various unique properties. Especially, GaN nanowires can be utilized the potential of the LED devices over planar type ones with respect to achieving higher efficiency. In order to investigate possibility of GaN nanowire arrays as basal template for growth of InGaN/GaN multiple quantum wells (MQWs), three-dimensional GaN nanowire based coaxial array with InGaN/GaN MQWs are fabricated via selective-area MOCVD epitaxial growth technique in this research. The single GaN nanowire consists of three different GaN facets such as polar plane of (0001), semi-polar planes of {1-101}, and non-polar planes of {1-100} six-fold sidewalls. After selective growth of GaN nanowire array, the three periods of InGaN/GaN MQWs are grown on GaN nanowire array. The structure showed highly ordered GaN nanowire based coaxial array morphology. The detailed structural properties of MQWs grown on polar, semipolar, and non-polar planes are analyzed by high-resolution transmission electron microscopy (TEM) in this study. Especially, we mainly focus on optical properties of GaN nanoarchitecture coaxial array according to extended sidewall {1-100} m-plane area. Cathodoluminescence (CL) measurements are carried out to investigate the local emission characteristics from the MQWs of GaN coaxial array. We could clearly confirm the bright sidewall emission on m-planes of GaN nanowire. It indicated that the predominant light emission comes from the MQWs grown on nonpolar m-planes of GaN nanowire. And also, the dominant emission peak intensity has different behavior following GaN nanowire m-plane basal area. Extending the m-plane area can affect the enhancement of the light emission properties. We believe that the GaN nanowire arrays specifically exposed sidewalls non-polar {1-100} planes with dislocation free structure in GaN nanowire will enable significant advances to reduce the piezoelectric fields and increase the radiative recombination efficiency for general solid-state illumination applications.
9:00 AM - LL5.23
Impact of Controlled Branching and Networking on Thermoelectric Properties in Lead Chalcogenide Nanowires
Nimai Mishra 1 Oleksiy Roslyak 2 Andrei Piryatinski 2 Jennifer A Hollingsworth 1
1Los Alamos National Lab Los Alamos USA2Los Alamos National Lab Los Alamos USA
Show AbstractMore than half of the energy generated worldwide is lost as heat. Such ‘waste heat&’ can originate from large point sources (e.g., industrial processes) or smaller distributed sources (e.g., automobiles). Even partial recovery of this lost energy would have a dramatic impact on the economic and environmental costs associated with the increasing global appetite for energy. Thermoelectric (TE) conversion of heat (temperature gradients) into electricity taking advantage of the ‘Seebeck Effect&’ has the potential to address this often overlooked energy source and utilization challenge. Nanoscale semiconductors are increasingly viewed as viable TE materials capable of realizing a high TE figure-of-merit, or ZT, where nanostructuring can be used to reduce phonon transport and, ideally, to simultaneously increase another key ZT parameter, the Seebeck coefficient, via direct modify of the semiconductor electronic density-of-states (DOS).
Here, we describe synthetic efforts to fabricate lead chalcogenide nanowires (NWs) with structures designed for optimized ZT. Recent numerical simulations (Roslyak and Piryatinski) reveal the potential to enhance phonon impedance toward ZT enhancements of 20-150% by NW branching and networking. In addition, it has been demonstrated experimentally by others that quantum confinement effects can be used to modify PbSe DOS and, thereby, to dramatically increase thermopower. In an attempt to combine these influences on ZT, we synthesize branching and diameter-controlled PbS, PbSe, PbSeS and PbTe NWs. We utilize the catalyzed solution-phase growth method known as solution-liquid-solid (SLS) growth that affords diameter control by tuning of the size of the metal nanoparticle catalyst. We take advantage of the stoichiometry control afforded by single-source precursors, and we demonstrate unique and facile control over NW branching as a function of growth temperature, achieving high yields of branched NWs (PbSe: 90%; PbS: 60-70%; PbSSe: 80%). Based on both ensemble and single-NW measurements, we correlate NW structure - diameter and branching - with measurements of Seebeck coefficient, electrical conductivity, and thermal conductivity. Finally, we compare our experimental observations with theoretical simulations.
9:00 AM - LL5.24
Laser Assisted Fabrication of All Nanowire UV Sensor in Solution Environment
Sukjoon Hong 1 Junyeob Yeo 3 1 Jinhyeong Kwon 1 Seungyong Han 1 Habeom Lee 1 Jinhwan Lee 2 Seung Hwan Ko 1
1Seoul National University Seoul Korea (the Republic of)2KAIST Daejeon Korea (the Republic of)3University of California, Berkeley Berkeley USA
Show AbstractRecently, various types of nanomaterials including metal and metal oxide nanowires have been synthesized successfully without using vacuum environment or expensive equipments by solution processes, but their applications to electronics have been highly limited since the manipulation of the nanomaterials has been remained as a difficult problem. Up to date, most nanowire based researches and devices have been more focusing on the novel electrical and chemical characteristics.
In this study, we fabricated all nanowire based electronics by integrating metal and metal oxide nanowires in solution environment with the assist of a selective laser ablation process. A most common and well known technique to prepare nanowire based network is vacuum filtration method, and it has been intensively studied for metal nanowires, silver (Ag) nanowire in particular, in order to build a conductor based on a nanowire percolation network. We modified the silver metal nanowire percolation network in two different ways. Firstly, zinc oxide (ZnO) nanoparticles, which act as the initiation spots for further growth of ZnO nanowire, are adhered to the Ag nanowire beforehand. This procedure is also conducted in solution environment by simple mixing of two solutions with a gentle stirring. Secondly, the percolation network is selectively ablated by focused laser beam in order to disconnect the conductive percolation network at micro scale. We utilized 355 nm UV pulsed laser as the ablation source, and the resultant ablation feature well reduces down to 5 mu;m. Through consequent hydrothermal growth of ZnO nanowire, the gap between two isolated nanowire percolation networks is bridged by the network of ZnO nanowires, which can be directly applied as a functional channel.
As a proof-of-concept, we confirmed that the final device can be operated as a UV sensor under a constant voltage bias, while the amount of photocurrent is easily controlled by the ablation feature on the conductive percolation network, controlled by the scanning path of the focused laser beam. Since the entire process has been conducted under low temperature, non-vacuum and solution environment, this process is compatible to flexible substrate that is weak to high temperature or toxic chemicals. We therefore expect that this technique can be useful for the fabrication of all nanowire electronic devices under ambient conditions on various substrates.
9:00 AM - LL5.25
Growth of AlN Nanowires on Sapphire and Silicon Using a Pulsed Electron Beam Deposition (PED) Process
Nazmul Arefin 2 Preston Larson 4 Matthew H Kane 3 1 Matthew B Johnson 5 Patrick J McCann 2
1Texas Aamp;M University at Galveston Galveston USA2University of Oklahoma Norman USA3Texas Aamp;M University College Station USA4University of Oklahoma Norman USA5University of Oklahoma Norman USA
Show AbstractThis poster will describe results recently obtained with pulsed electron beam deposition (PED) of AlN on c-plane sapphire and silicon substrates. The PED technique is potentially useful for growth of III-nitrides at lower substrate temperatures, a capability that can allow use of new buffer layer materials, integration of chemically dissimilar materials, and help solve wafer bowing issues. In addition, PED has the advantage to deposit materials that are transparent to the Kr-F excimer lasers used in pulsed laser deposition and would thus be suitable for ultra-wide bandgap materials. Systematic studies are needed to explore the growth regimes for various materials as a function of processing conditions and sample preparation techniques. AlN was deposited on sapphire and silicon (111) at substrate temperatures of 500°C and 550°C, respectively, in a UHP N2 (15 mTorr) environment without any surface pre-treatment, i.e., pre-nitridation. A high power electron gun pulse was used to ablate the AlN target (1” dia. x 0.250” thick, 99.8% pure) stationed at a 5 cm vertical distance from the substrate. The electron pulses were generated at 15KV, 0.3 J/pulse at 1 Hz for one hour. No post growth processing was performed following the growth. Scanning electron microscopy (SEM), electron back scattered diffraction (EBSD), X-ray diffraction (XRD), and various optical characterization techniques were performed on the as-grown material. SEM imaging confirmed hexagonal faceted high aspect ratio AlN nanowires on both sapphire and silicon substrates. The nanowire lengths ranged from 10-100 µm with average diameter of 2.5 µm on the sapphire substrate, while on the silicon (111) substrate the nanowire dimensions ranged from 200 nm-10 µm in length and 0.5 µm in diameter. EBSD scans over the nanowires identified the structures as c-plane oriented AlN. XRD theta;-2theta; scans from 2theta; = 30° to 2theta; = 50° showed only one peak other than those from the sapphire substrate, at 2theta; = 37.56°. We had insignificant contribution from the AlN NWs in the XRD scan due to limited quantity of the NWs on the sample. The obtained peak at 2theta; = 37.56° represents Al (111) suggesting that during the initial growth phases Al was accumulated as metal on the substrate which acted as a precursor in initiating the nanowire growth afterwards. This finding was also verified with EBSD scans, as it also detected presence of Al crystallites on the sample surface as well as highly c-axis oriented AlN nanowires.
9:00 AM - LL5.26
Growth and Transport Property Characterization of <110> InAs Nanowire
Zhixin Cui 2 Rajagembu Perumal 2 Tomotsugu Ishikura 2 Keita Konishi 2 Kanji Yoh 2 Junichi Motohisa 1
1Hokkaido University Sapporo Japan2Hokkaido University Sapporo Japan
Show AbstractInAs nanowire with <110> orientation is proposed to be use as the electron transport channel for application to spintronics devices, particularly the Datta-Das spin transistor. [1] According to predictions of reduced spin relaxation in the 1D channel,[2] InAs NW might be able to provide a big advantage by depressing the relaxation of spin coherence along the channel, which is one of the most important goals to achieve for feasible operation. Moreover, it also has been demonstrated that when the Rashba and Dresselhaus SOI linear-in-k terms have equal strength, the relaxation of spin orientation in one of the <110> axes is totally suppressed. [3] Hence, we expected that InAs NW with <110> orientation might be applied to spintronics devices as an efficient 1D spin transport channel, therefore, we investigated the growth and transport properties of InAs nanowire with <110> orientation.
First, <110> InAs nanowires were grown by using the VLS process in a Riber MBE system with a Pd catalyst on a GaAs (111)B substrate. For a fixed As/In flux ratio of 125, an optimal growth temperature of 425 °C was determined which can provide a reasonable density of NW. Stable zinc blende (ZB) crystal InAs NW without wurzite (WZ) defects were grown, and common features of NW with <110> orientation, having a stable ZB crystal structure without crystal imperfections such as WZ stack defects, were confirmed by transmission electron microscopy.
Second, the transport properties of this NW were characterized with both field effect transistor structure (FET) and Hall-bar structure. The grown NWs were detached from the substrate in DI water by using a knife blade and dispersed onto a heavily doped p-type Si substrate with a 300-nm-thick SiO2 layer and address markers. The precise positions of NWs were determined by scanning electron microscope (SEM) and all of the patterns were defined by electron beam lithography (EB). For the FET structures, the heavily doped Si substrate with a SiO2 layer was used as a global back-gate electrode; and a top-gate, whose HfOx dielectric layer was form by an automatic layer deposition reactor (ALD), was also fabricated. Subsequently, field effect mobility of nanowire was investigated. Furthermore, since the free carrier concentration and mobility of NWs are crucial parameters for fabricating spintronics and other quantum devices on the nanoscale, evaluations with FETs are insufficient as they overestimate the free carrier change caused considerably by many inherent uncertainties. Therefore, fabricating a Hall-bar structure based on a single <110> InAs NW was investigated and carried out, and this structure allowed us to obtain a relatively accurate carrier concentration and mobility results with Hall effect measurements.
[1] S. Datta and B. Das: Appl. Phys. Lett.56, 665 (1990).
[2] J. Schliemann, J. C. Egues, and D. Loss: Phys. Rev. Lett.90, 146801 (2003).
[3] M. Ohno and K. Yoh: Phys. Rev. B75 , 241308(2007).
9:00 AM - LL5.27
InxGa1-xAs Radial Quantum Wells Grown inside [100] InP Nanowires
H. Aruni Fonseka 1 Philippe Caroff 1 Yanan Guo 1 Fan Wang 1 Jennifer Wong-Leung 1 2 H. Hoe Tan 1 Chennupati Jagadish 1
1The Australian National University Canberra Australia2The Australian National University Canberra Australia
Show AbstractIII-V nanowires offer significant freedom in the design of heterostructures with potential applications in optoelectronics, owing to the ability to combine axial and radial growth modes. In particular, radial heterostructures make use of the high surface area around the nanowires to provide large active interfaces without compromising the small nanostructure foot-print. However, in contrast to the planar quantum wells, the formation of quantum wells and barriers on [111] oriented nanowires are complicated by the 6 facets/apices of the nanowire core, leading to a 3-dimensional nature of the radial heterostructures. These non-uniformities directly affect the nanowire optical properties.
The radial heterostructures grown on commonly studied [111] oriented nanowires are formed on {110}, {112} or their equivalent facets in wurtzite phase. The recent successes in growing high vertical yield [100] oriented nanowires on (100) substrates open up new families and combinations of facets to engineer radial heterostructures on the nanowires. For example, the {100} side facets and the combination of co-existing {100} and {110} facets forming octagonal cross-sections, are not achievable on [111] oriented nanowires.
Here we demonstrate novel InxGa(1-x)As (x= 0 to 1) quantum wells that are grown inside vertically [100]-oriented InP nanowires. The InxGa(1-x)As-InP material combination is commonly used in planar photonic integrated circuits and telecommunication applications, though rarely demonstrated in its nanowire-based counterpart. The complex nature of the ternary quantum well growth on the [100] oriented nanowire geometry is modelled. The band structure of these InGaAs quantum wells are simulated and verified by experimental results. We also demonstrate the tunability of these quantum wells in terms of thickness and composition leading to emission spanning the near to mid infra-red regions, which makes them suitable for a wide range of photodetector applications.
9:00 AM - LL5.28
Single Nanowire Diode Fabricated by PN-Junction GaN NW Grown by MOCVD
Ji Hyeon Park 1 Yong Hyun Choi 1 San Kang 1 Da Som Lee 1 Cheul Ro Lee 1
1Chonbuk National University Jeon Ju Korea (the Republic of)
Show AbstractUniaxially p-n junction gallium nitride nanowires have been synthesized via metal-organic chemical vapor deposition method. Nanowires prepared on Si (111) substrates were found to grow perpendicular to the substrate, and the transmission electron microscopy studies demonstrated that the nanowires had single-crystal structures with a <0001> growth axis. The parallel assembly of the p-n junction nanowire was prepared on a Si substrate with a thermally grown SiO2 layer. The transport studies of horizontal gallium nitride nanowire structures assembled from p- and n-type materials show that these junctions correspond to well-defined p-n junction diodes. The p-n junction devices based on GaN nanowires suspended over the electrodes were fabricated and their electrical properties were investigated. The horizontally assembled gallium nitride nanowire diodes suspended over the electrodes were exhibited a substantial increase in conductance under UV light exposure. Apart from the selectivity to different light wavelengths, high responsivity and extremely short response time have also been obtained.
9:00 AM - LL5.29
Investigation of Semiconductor Nanowire Lasing Emission
Robert Roeder 1 Max Riediger 1 Daniel Ploss 2 Arian Kriesch 2 Robert Buschlinger 2 Ulf Peschel 2 Carsten Ronning 1
1University of Jena Jena Germany2University of Erlangen-Nuremberg Erlangen Germany
Show AbstractRecent progress in the fields of nanophotonics and plasmonic circuitry emphasize the urgent need for nanoscale light sources, which might be overcome by exploiting the ability of semiconductor nanowires as functional connection units between electronic and photonic applications on the nanoscale. Single semiconductor nanowires are promising to accomplish these demands in offering efficient waveguide properties and generating highly localized intense monochromatic light as they mark the lower size limit of photonic laser systems. High quality II-VI semiconductor nanowires consisting of zinc oxide (ZnO) or cadmium sulfide (CdS) emit in the ultraviolet and green spectral range respectively acting as Fabry-Pérot laser resonators with remarkable lasing performance [Geburt et al, Nanotechnology 23, 365204 (2012), Zimmler et al, Appl. Phys. Lett. 93, 051101 (2008)]. Even a continuous wave emission mode was demonstrated for CdS nanowires [Röder et al, Nano Letters 13, 3602 (2013)]. Since optical processing and coupling depends on the emission characteristic of the nanowire device, a “head-on” setup was developed to investigate the light output originating out of the end facet of a single nanowire laser. This setup is suited to investigate super luminescence (ASE) as well as lasing emission as a function of the polarization of the optical pump beam. Furthermore, the measured Stokes parameters of the CdS nanowire emission reveal that due to the onset of lasing the degree of polarization rapidly increases from approximately 15% to 85%.
9:00 AM - LL5.30
Controllable Growth and Device Applications of 1D Semiconductor Heterostructures with Abrupt Interface
Xiaoxia Wang 1 Honglai Li 1 Liang Ma 1 Xiujuan Zhuang 1 Anlian Pan 1
1Hunan University Changsha China
Show Abstract1D semiconductor heterostructures with radially or axially modulated compositions are fundamentally important for constructing high-performance nanoscale optoelectronic devices [1, 2]. So far, various kinds of strategies, including MOCVD and MBE, have been reported to realize the growth of semiconductor nanowire heterostructures for nanoscale device applications. Although the traditional CVD approach owns the merits of simple and low cost, it has been prevented by its poor growth controllability from achieving high-quality nanowire heterostructures. Recently, we have developed a source moving or exchanging strategy in the traditional CVD growth, and realized the controllable growth of various semiconductor nanowire heterostructures with high-quality crystallization and atomic abrupt interface, such as axial GaSb/GaInSb p-n heterojunctions [3], ZnSe/ZnTe p-n heterojunctions [4] and CdS/CdSSe multi-junction nanowire heterostructures [5]. More important, this growth strategy can also be extend to realize the growth of quasi 1D semiconductor nanoribbon heterostructures. As an example, the growth of CdS-CdSSe nanoribbon heterostructures with atomic abrupt interface at the lateral width direction [6,7], will be exhibited in detail. Based on these achieved heterostructures, some novel devices have been constructed, like dual wavelength nanolaser [6], room-temperature near infrared photodetectors [3] and broadband visible photodetectors [7] etc., which will all be included in the presentation. These interesting nanostructures may find more important applications in integrated photonics and optoelectronics systems.
References:
[1] X. Zhuang, C. Ning and A. Pan*, Adv. Mater., 2012, 24, 13-33.
[2] P. F. Guo, X. J. Zhuang, J. Y. Xu, X. Zhu, W. Hu, H. Zhou, X. X. Wang, X. F. Duan, A. L. Pan*, Adv. Mater. 2014, 26, 2844-2849.
[3] L. Ma, W. Hu, Q. Zhang, P. Ren, X. Zhuang, H. Zhou, A. L. Pan*, et al. Nano Lett., 2014, 14, 694-698.
[4] H. L. Li, X. X. Wang, X. J. Zhuang, X. Zhuang, H. Zhou, A. L. Pan*, 2014, submitted.
[5] P. F. Guo, X. X. Wang, X. J. Zhuang, A. L. Pan*, 2014, submitted.
[6] J. Y. Xu, L. Ma, P. F. Guo, X. J. Zhuang, X. L. Zhu, W. Hu, X. F. Duan, A. L. Pan*, J. Am. Chem. Soc., 2012, 134, 12394-12397.
[7] P. F. Guo, X. J. Zhuang, J. Y. Xu, X. Zhu, W. Hu, H. Zhou, X. Wang, X. F. Duan, A. L. Pan*, Adv. Mater. 2014, 26, 2844-2849.
9:00 AM - LL5.31
Synthesis and Characterizations of ZnO-Nanostructured Hierarchical Morphology on Zn Microwire
Cynthia M. Gomez 1 Alejandro Zuniga 1 Denise Criado 1 Jose Antonio Souza 1
1Universidade Federal do ABC Santo Andramp;#233; Brazil
Show AbstractThe physical properties of nanostructured transition metal oxides have attracted much interest from researchers of condensed matter field due to their potential technological applications. In this work, we have prepared metal-semiconductor (Zn/ZnO) core/shell microwires with hierarchical morphology. The semiconducting shell is comprised of a ZnO thick microlayer covered with ZnO nanowires. This hierarchical morphology ZnO microlayer/nanowires was obtained in a tubular furnace by oxidizing Zn metallic microwire in air. The nanostructures formation through this oxidation process above the Zn melting point was accompanied in-situ by electrical resistivity measurements. We have observed that the morphology of the nanostructured shell depends not only on the set point temperature, but also on the electrical current magnitude. At low electrical current, we have obtained ZnO nanowires homogeneously distributed over the ZnO thick layer. The diameter of the nanowires ranged from 60 to 80 nm, while their length varied from 1 and 3.5 µm for oxidation temperatures between 450 and 700 °C. At higher temperatures and increasing the electrical current, the morphology of the nanowires is changed to nanoparallelepiped and the ZnO layer becomes denser. X-ray diffraction (XRD) results confirmed that the ZnO nanostructures belong to wurtzite hexagonal structure with space group P63mc. The electric transport properties as a function of temperature and magnetic field in the (Zn/ZnO) core/shell array have been studied at low temperatures. The temperature dependence of electrical resistivity obeys the Bloch-Grüneisen (BG) model. We have observed that the electron-phonon coupling has been affected by the presence of nanostructured ZnO shell. The electrical resistivity measurements under an applied magnetic field revealed a positive magnetoresistance effect. A detailed study of the magnetoresistance effect along with electron-phonon coupling as a function of the ZnO nanostructure thickness at the surface of the metal is in progress and will be discussed.
9:00 AM - LL5.32
The Study of Cell Size Effect for Touch Sensor Technology Using the ZnO Nanowire
Minkyung Sim 1 Kyunghwa Lee 1 Jae Eun Jang 1 Yeri Jeong 2
1Daegu Gyeongbuk Institute of Science amp; Technology(DGIST) Dalseong-Gun Korea (the Republic of)2Samsung Display Yongin Korea (the Republic of)
Show AbstractThe ZnO is attractive material for a variety of applications because of its outstanding properties, such as wide bandgap (3.37eV), high exciton binding energy (~60meV), and good piezoelectric property inducing the polarization in material when the material is mechanically stressed. In these days, many researchers have studied the piezoelectric effect of the ZnO nanowires for energy harvesting or new electrical device applications. The touch sensor is also one of the many applications using the ZnO nanowires. In previous applications, there are many method for touch sensor, such as capacitive, resistive, optical, magnetic, piezoelectric sensors, and so on. Currently, most of all, the capacitive sensor have been widely used in robotics, human interface or touch display technology for many electronic devices. This method has many advantages in cell size, sensitivity and robustness. Nevertheless, because of some disadvantages which can only detect conductive tip, many researcher have an effort to develop other method for touch sensor. In our study, we demonstrated the touch sensor using the piezoelectric property of ZnO nanowire. Since the strained material generates the electric signal, we can sense the pressure through appropriate signal processing. Then, if nanowires were grown on patterned area, we can also detect pressured region. However, as nanowires were grown, the most of results employed “forest type” ZnO nanowire structure due to fabrication structure. Unfortunately, the “forest type” ZnO nanowire structure cannot give each nanowire an enough space to bend easily. Therefore, to enhance the signal efficiency, we have studied various cell size and structure for touch sensor. In addition, because the resolution of human fingers is very small about 1mm2, the small cell size control gives other design merit for touch sensor application. Finally, low temperature process through hydrothermal synthesis allows the substrate to be flexible. Although the material cannot detect the temperature sense, because of as-mentioned advantages, this is worthy of researching to develop the touch sensor. Therefore, the tactile sensor based on patterned ZnO nanowire satisfies important requirements such as high sensitivity, high resolution, chemical stability, self-powered and flexibility for next-generation touch sensor technology.
9:00 AM - LL5.33
Non-Perturbative Study of Impurity Effects on the Kubo Conductivity in Semiconducting Nanowire Heterostructures
Vicenta Sanchez 1 Chumin Wang 2
1Universidad Nacional Autonoma de Mexico Mexico City Mexico2Universidad Nacional Autonoma de Mexico Mexico City Mexico
Show AbstractOne of the most basic topics in materials science beyond crystals is the presence of impurities in solids. They produce a wide variety of effects, particularly relevant in the conductivity and optical properties. In this work, we present a full real-space approach to nanowire heterostructures (NWH) with macroscopic length by using a renormalization plus convolution method [1]. This method has the advantage of being computationally efficient and capable to analyze periodic and non-periodic NWH without introducing additional approximations [2]. We further analyze the effects of site and bond impurities on the electrical conductance of periodic and quasiperiodic semiconducting nanowires with macroscopic length. Analytical and numerical conductivity spectra are obtained for one and two site impurities in periodic systems [3]. For many impurities, the spectral average of the conductivity versus the system length reveals a power-law behavior, when the distance between impurities follows the Fibonacci sequence. Moreover, the ac conductance of NWH at several frequencies could improve that of ballistic systems. Such phenomenon is due to the resonant scattering process in NWH [4]. Finally, the branched nanowires [5] will be also analyzed by introducing Fano-Anderson impurities at the nanowire surface through the renormalization procedure.
This work has been partially supported by CONACyT 131596, UNAM IN113813 and IN113714. Computations were performed at Miztli of DGTIC-UNAM.
[1] V. Sanchez and C. Wang, Phys. Rev. B70, 144207 (2004).
[2] C. Wang, F. Salazar and V. Sanchez, Nano Lett.8, 4205 (2008).
[3] V. Sanchez, C. Ramirez, F. Sanchez and C. Wang, Physica B449, 121 (2014).
[4] V. Sanchez, C. Wang, Int. J. Comp. Mater. Sci. & Eng. 1, 1250003 (2012).
[5] U.K. Gautam, X. Fang, Y. Bando, J. Zhan, D. Golberg, ACS Nano2, 1015 (2008).
9:00 AM - LL5.34
Crystallographic Characterization of II-VI Semiconductor Nanowires Using Optical Second Harmonic Generation
Mingliang Ren 1 Rahul Agarwal 1 Wenjing Liu 1 Ritesh Agarwal 1
1University of Pennsylvania Philadelphia USA
Show AbstractIt is well known that materials&’ properties are strongly dependent on their crystalline structure and growth axis. For example, carrier transport differs significantly along c-axis versus a-axis of wurtzite semiconductors, e.g. CdS and CdSe. Transmission electron microscopy (TEM) is one of most powerful techniques to study the crystallography of nanostructures, such as nanowires (NWs), nanobelts (NBs) and nanocrystals. However, TEM is an expensive and time-consuming characterization technique, which can only be performed on thin samples (< 300 nm) assembled on electron-beam transparent substrates. Furthermore, in many classes of materials with different ferroelectric domains of varying polarizations can be difficult to resolve via traditional TEM unless the contrasts between different polarizing domains are large or highly advanced techniques such as spherical aberration corrected TEM are required. Second harmonic generation (SHG), i.e., conversion of two photons with frequency omega; into a new photon with frequency 2omega;, has proven to be a powerful alternative technique to probe structure of materials. By means of this method, non-centrosymmetric crystals can be characterized for their crystallography on most substrates in their bare form and more importantly, in situ during device operation. Yet, SHG-reflected crystallographic studies are not common especially for nanomaterials owing to their geometry dependent in- and out-coupling efficiencies. In this work, we systematically study the crystallographic characterization of II-VI semiconducting NWs, NBs and nanoflakes (wurtzite CdS and zincblende CdTe) by using both SHG followed by TEM. The SHG-based crystallographic study can deterministically determine the growth axis and crystal structure of these nanostructures, which are confirmed via TEM measurements. More interestingly, the crystal anisotropy of SHG polarization differs in twinned and untwinned NWs and NBs (e.g. CdTe) and further depends on the fraction of different twin domains with varying polarization present which further helps in characterization of 2-D defects such as twin boundaries. We will discuss these results along with our models to obtain volume fractions of different domains in nanostructures, where even piezoresponse force microscopy techniques cannot produce meaning data due to the low conductivity of the samples.
9:00 AM - LL5.36
Strong Room Temperature Luminescence from Hierarchical ZnGa2O4 and Cr Doped Zn1minus;xMnxGa2O4 Nanostructures
Inaki Lopez 1 Emilio Nogales 1 Bianchi Mendez 1 Javier Piqueras 1
1Universidad Complutense de Madrid Madrid Spain
Show AbstractSemiconductor nanowires are being considered as building blocks for future efficient optoelectronic nanodevices. Transparent conductive oxide (TCO) materials present properties suitable for these applications, such as a wide energy band gap, electrical conductivity and high thermal and chemical stability. In particular, the zinc gallate spinel, ZnGa2O4 (ZGO), has a 4,4 - 4,7 eV band gap. In this work, branched, hierarchically grown ZGO and Zn1minus;xMnxGa2O4 (0.1 < x < 0.21) micro- and nanostructures have been fabricated by a thermal evaporation method. The presence of Mn favors the formation of the observed branched morphology. The nanowires present preferred orientations with respect to the main rods, and possess a high crystalline quality. The origin of the growth of these nanostructures is discussed. Raman peaks are observed to broaden and shift as a function of Mn content in the alloy. Cathodoluminescence (CL) shows that luminescence emission of the structures covers the range from the characteristic defect-related UV-blue band of the ZnGa2O4 structures to the strong green emission due to intraionic Mn2+ transitions in Zn1minus;xMnxGa2O4 nanowires. Furthermore, Zn1minus;xMnxGa2O4 structures have been doped with Cr3+ during thermal treatments in presence of Cr, leading to additional strong red emission. Varying the excitation conditions, selection of the dominant luminescence band has been achieved.
9:00 AM - LL5.37
VLS Growth of In2O3 Nanowires via Carbothermal Reduction: Growth Conditions, Structural Characterization and Gas Sensing Properties
Sven Barth 2 Jordi Sama 1 Albert Romano-Rodriguez 1
1Universitat de Barcelona (UB) Barcelona Spain2Vienna Technical University Vienna Austria
Show AbstractIn2O3 is a wide band-gap semiconducting material, with a direct gap of about 3.6eV, which is employed as transparent contact in the fabrication of solar cells and light emitting diodes and which is increasingly graining interest in other fields. Furthermore, like other metal oxide (MOX) materials, as SnO2, ZnO, Ga2O3, hellip;, In2O3 changes its resistance in the presence of different gases, like NO2, CO or NH3, due to the adsorption-desorption of the gas from the surface, where change transfer is involved. To increase the gas response, the use of In2O3 nanowires (NWs) is of interest because of the increased surface-to-volume ratio, which enhances the surface adsorption-desorption effects on the electrical parameters.
In this work we will present the growth of In2O3 NWs, as well as the fabrication and characterization of gas sensors based on individual NWs. The synthesis is carried out in a horizontal furnace using the vapour-liquid-solid (VLS) mechanism. The In is brought into the gas phase using the carbothermal reduction of In2O3 in the presence of graphite powder, and the transport and growth is promoted using Ar and O2 as carrier gases, the latter in low concentrations. The morphology of the grown material varies from thin layer to bundles of NWs as a function of the O2 concentration in the gas phase, of the flow of gas used, of the vacuum level in the furnace, of the furnace and substrate temperatures and of the In2O3 precursor power to substrate distance. For this, Si or Al2O3 substrates, covered with a discontinuous layer of Au, have been used to promote the growth. The samples have been structural, chemically, electrically and optically characterized to determine the correlation with the growth conditions.
NWs have been removed from the substrate and have been transferred to prepatterned Si substrates wit interdigitated electrodes and have been contacted using Focused Electron and Focused Ion Beam techniques to allow electrical and gas sensing characterisation. Responses to different gases and to simultaneous UV-light exposure have been measured in a self-constructed gas testing chamber and using SMUs for the electrical measurements.
The material&’s growth, structural and electrical characteristics will be presented as a function of the growth conditions and the correlation with the gas sensing properties discussed with the view on published sensing mechanisms.
LL1: Growth of III-V Nanowires
Session Chairs
Heike Riel
Margit Zacharias
Monday AM, December 01, 2014
Hynes, Level 2, Room 206
9:30 AM - *LL1.01
Correlations in Atomic Plane Stacking during III-V Nanowire Growth
G. Priante 1 Jean-Christophe Harmand 1 G. Patriarche 1 F. Glas 1
1CRNS Marcoussis France
Show AbstractWhich factors govern crystal phase selection in nanowires is a basic question with important implications. We address this issue by observing the atomic plane stacking in InP nanowires presenting pronounced hexagonal/cubic phase mixing [1]. From the observation of 2833 consecutive monolayers by transmission electron microscopy, we extract the length distributions of each type of pure phase segments: hexagonal or cubic. The cubic segments of two-monolayer length are the more frequent (Fig. 1). This maximum of the length distribution is well pronounced and proves the existence of stacking correlations. Indeed, correlations involving at least the two nearest underneath monolayers are necessary to explain this experimental distribution, as will be shown. The origin of these correlations will be discussed in the frame of nucleation theory. Results can be modeled by considering the energy barriers for nucleation of a new monolayer on top of all types of preexisting stacking. A quantitative agreement is obtained only if the interaction with the underlying layers involves the edge energy of the nucleus. This is easy to understand if nucleation occurs at the triple phase line bordering the nanowire top facet. In that case, the nucleus edge energy is directly influenced by the structure of the nanowire sidewalls and can depend on possible surface reconstructions involving the nucleus itself and several monolayers underneath. Thus, we propose the sidewall reconstruction as an important factor in determining the crystal phase of nanowires.
[1] G. Priante, J.-C. Harmand, G. Patriarche, F. Glas, Phys. Rev. B 89, 241301(R) (2014)
10:00 AM - LL1.03
Atom Probe Tomography of GaAs-AlGaAs Core-Shell Nanowire Heterostructures
Nari Jeon 1 Stefanie Morkoetter 2 Daniel Rudolph 2 Gregor Koblmueller 2 Lincoln J Lauhon 1
1Northwestern University Evanston USA2TU Munich Munich Germany
Show AbstractNanowire radial core-shell heterostructures show great promise in applications such as high-speed transistors, solar cells, and photodetectors. As in planar heterostructures, modulation doped nanowire heterostructures offer the potential to realize high carrier density without compromising mobility. However, the radial geometry presents significant challenges to the measurement of dopant distribution. We have analyzed the composition of modulation doped GaAs-AlxGa1-xAs core-shell nanowire heterostructures in three dimensions by atom probe tomography (APT). Correlated analysis by electrical transport, optical spectroscopy, and high resolution electron microscopy reveals a rich set of features and properties unique to these nonplanar heterostructures, including 1-D quantum wires that arise due to the tendency of aluminum to segregate. To better understand the origins of these features, and control their morphology and distribution, a three-dimensional perspective is highly desirable. Furthermore, the analysis of dopant distribution is necessary to the understanding of electronic transport properties. The nanowire heterostructures were grown by molecular beam epitaxy at TU Munich and analyzed by APT at Northwestern University. APT analysis of the Al enrichment at {110} facet vertices reveals frequent rotations of the threefold symmetric composition plots, presumably due to the introduction of stacking faults. For the first time, the distribution of Si dopants within the AlxGa1-xAs shell is revealed, enabling analysis of the relationship between Al and Si diffusion. Correlated studies of modulation doped planar heterostructures enable direct comparisons of intentional modulations in composition (e.g. doping) and unintentional modulations (e.g. segregation) in both planar and nonplanar geometries. Correlated atom probe tomography and photoluminescence analyses will also be presented.
10:15 AM - *LL1.04
From Random to Controlled Nanowire Networks
D. Car 1 M. A. Verheijen 2 I. van Weperen 3 J. Kammhuber 3 S. M. Frolov 3 4 L. P. Kouwenhoven 3 E.P.A.M. Bakkers 1 3 Sebastien Plissard 3 5
1Department of Applied Physics Eindhoven Netherlands2High Tech Campus 11 Eindhoven Netherlands3Delft University of Technology Delft Netherlands4University of Pittsburgh Pittsburgh USA5Universitamp;#233; de Toulouse Toulouse France
Show AbstractSignatures of Majorana fermions have recently been reported in an InSb single - nanowire device.[1,2] In order to prove the non-abelian properties of Majorana fermions, it is necessary to perform logical operations by interchanging the positions of the two Majoranas.[3] This is not possible in a single InSb nanowire; Majorana fermion is its own antiparticle so when two Majoranas meet they annihilate. Therefore, more complex structures are needed.
We have investigated the formation of X- and T- shaped InSb nanowires from an early random process, to a controlled one.
Depending on the meeting angle of the two wires, these structures can be single crystalline.
We are now developing a method to increase the yield of single-crystalline crosses. With this method we can also make nanowire networks.
First electrical measurements done on these nanostructures will be discussed. Hall effect measurements at low temperature prove the high quality of these new structures.
[1] V. Mourik; K. Zuo; S. M. Frolov; S.R. Plissard; E. P. A. M. Bakkers; L.
P. Kouwenhoven Science 2012, 336, 1003
[2] S.R. Plissard; D. R. Slapak, M. A. Verheijen; M. Hocevar; G. W. G.
Immink; I. van Weperen; S. Nadj-Perge; S. M. Frolov; L. P. Kouwenhoven; E.
P. A. M. Bakkers Nano Lett. 2012, 12, 1794 [3] J. Alicea1, Y. Oreg, G. Refael, F. von Oppen and M. P. A. Fisher , Nature Physics 2011, 7, 412
LL2: III-V Nanowires: Growth and Electrical Properties
Session Chairs
Heike Riel
Margit Zacharias
Monday AM, December 01, 2014
Hynes, Level 2, Room 206
11:30 AM - LL2.01
Electrical Characterization of GaAs Core-Shell n-p Junction Nanowires by the Nanoprobe Method
Ali Darbandi 1 Simon Watkins 1 Shima Alagha 1
1Simon Fraser University Burnaby Canada
Show AbstractSemiconductor nanowires (NWs) are promising candidates for various devices, including photovoltaics, tunnel field effect transistors, and light emitting diodes. In this work we present our recent progress in the growth and electrical characterization of single NW n-p core-shell structures grown by the vapor-liquid-solid (VLS) growth mechanism using gold nanoparticles as a catalyst. The major challenges are: doping of the NWs, passivation of surfaces in III-V materials, Ohmic contact formation with NWs, and fabrication of isolated core-shell p-n junctions. We explore the use of a nanoprobe method to measure the properties of single core-shell NWs on a conducting substrate, without the use of potentially damaging and expensive lithography. Core growth is achieved using the precursor trimethylgallium which promotes primarily axial growth at 400oC. n-doping of the core is achieved using diethyltellurium. Shell growth is achieved using triethylgallium at the same growth temperature in order to promote lateral growth. Diethylzinc is used to achieve very high shell doping approaching 1020cm-3. We formed Ohmic contacts to the p-type shell via the Au NPs, using high zinc doping to overcome the Schottky barrier that typically exists between GaAs and Au. Contact to the core is made through the n-type GaAs substrate. Coaxial junctions with various shell thicknesses and doping levels were studied in order to improve the electrical properties and ideality factors of the fabricated n-p devices. In order to employ the nanoprobe method on core-shell structures, we have developed a novel self- aligned isolation technique using gallium oxide deposition to eliminate the parasitic two dimensional layer that typically occurs at the substrate surface during shell growth. We have demonstrated clear evidence of radial core-shell current transport with ideality factors as low as 2.8 without passivation. In addition, we will present evidence of radial tunneling transport for highly doped p-n core shell structures, as evidenced by the observation of negative differential resistance behaviour with peak-valley ratios up to 2.3.
11:45 AM - LL2.02
Field Effect Transistors Based on Individual Ultrathin InAs Nanowire
Meng-Qi Fu 1 Dong Pan 2 Jianhua Zhao 2 Qing Chen 1
1Key Laboratory for the Physics of Chemistry of Nanodevices, Department of Electronics, Peking University Beijing China2State Key Laboratory of Superlattices and Microstructures, Institute of Semiconductors, Chinese Academy of Sciences Beijing China
Show AbstractInAs nanowires (NWs) are competitive candidates for high performance n-type devices owing mainly to their high electron mobility. However, their applications on integrated circuits are hindered by the difficulty of switch off because of the narrow bandgap of InAs. Here, we report field effect transistors (FETs) with excellent off characteristics and enhancement-mode based on ultrathin (with sub-10 nm diameter) InAs NWs. The InAs NWs are grown by molecular beam epitaxy and have a single crystal wurtzite structure without stacking faults [1]. FETs are fabricated on Si/SiO2 substrate through electron beam lithography and thin film deposition process. Top-gate dielectric HfO2 is grown by atomic layer deposition. The devices exhibit extremely high Ion/Ioff ratio up to 108, and subthreshold swing of 120 mV/decade. Quantum confinement effects are considered to be the major cause of these excellent behaviors in subthreshold region of the FETs based on InAs NWs with diameter ranging from 7 nm to 17 nm. The bandgap of ultrathin InAs NWs, especially when their diameter is below 12 nm, is found to be larger than that of bulk InAs. The outstanding off-state characteristic presents great potential of ultrathin InAs NWs on low-power consumption devices.
Reference:
[1] D. Pan, M. Q. Fu, X. Z. Yu, X. L. Wang, L. J. Zhu, S. H. Nie, S. L. Wang, Q. Chen, P. Xiong, S. von Molnár, J. H. Zhao, Nano Lett., 14(2014), 1214.
12:00 PM - *LL2.03
Molecular-Beam Epitaxy, Structure and Characterization of High-Quality InAs Nanowires on Si(111)
Jianhua Zhao 1
1Institute of Semiconductors, CAS Beijing China
Show AbstractAs a technologically important IIIminus;V semiconductor, InAs nanowires are promising candidates for applications in single-electron transistors, resonant tunneling diodes, and ballistic transistors due to their narrow band gap and very high electron mobility. To date, much work has been done to grow InAs nanowires on various substrates. Among them, InAs nanowires grown on Si are of particular significance and have been investigated by many groups since it may enable nanowire electronic devices with seamless integration with the Si platform. However, InAs nanowires usually exhibit random mixtures of wurtzite and zinc-blende crystal structures, which deteriorates their electrical properties and may pose problems in future nanoelectronic devices due to electron scattering at stacking faults or twin planes. Therefore, achieving phase purity in InAs nanowires is a necessity for many device applications. However, high-quality InAs nanowires have only been realized on substrates of IIIminus;V semiconductors rather than Si; controlling of the quality of InAs nanowires on Si in terms of the crystal structure still remains a challenge.
In this talk, after a brief introduction of our recent work related with high-quality GaAs-based nanowires [1,2], I will focus on the growth of phase-pure InAs nanowires on Si (111) substrates by molecular-beam epitaxy using Ag catalysts [3]. A conventional one-step catalyst annealing process is found to give rise to InAs nanowires with diameters ranging from 4.5 to 81 nm due to the varying sizes of the Ag droplets, which reveal strong diameter dependence of the crystal structure. In contrast, a novel two-step catalyst annealing procedure yields vertical growth of highly uniform InAs nanowires sim;10 nm in diameter. Significantly, these ultrathin nanowires exhibit a perfect wurtzite crystal structure, free of stacking faults and twin defects. Using these high-quality ultrathin InAs nanowires as the channel material of metal-oxide-semiconductor field-effect transistor, we have obtained a high ION/IOFF ratio of sim;106, which shows great potential for application in future nanodevices with low power dissipation.
References:
[1] X. Z. Yu et al., Nano Lett., 13 (2013) 1572
[2] X. Z. Yu et al., Nano Lett., 12 ( 2012) 5435
[3] D. Pan et al., Nano Lett., 14 (2014) 1214
12:30 PM - LL2.04
Modulating the Electrical Properties of Thin InAs Nanowires by In Situ Tension
Xing Li 1 Xian-long Wei 1 Qing Chen 1
1Key Laboratory for the Physics and Chemistry of Nanodevices, Department of Electronics, Peking University Beijing China
Show AbstractInAs nanowires (NWs) have great potential in novel electronic and optoelectronic devices. However, the effect of strain on the property of InAs NWs has been seldom studied. Here, the influence of strain on the electrical properties of InAs NWs is studied and correlated to their structures. Tension experiments are conducted in situ in a scanning electron microscope (SEM). Thin nanowires (10~30 nm) grown by molecular beam epitaxy are investigated.[1] Individual InAs NWs are picked up from the substrate and connected with a conductive cantilever and a W tip through two nanomanipulators installed in a SEM. Electron beam induced carbon deposition is used to make good mechanical and electrical contact. Current-voltage curves are measured when the NW is pulled and released, with axial strains being up to ~5%.[2] After the measurements, the fractured InAs NWs are transferred into a transmission electron microscope to characterize their atomic level crystal structures. Our results show that only NWs with wurtzite structure grown along <0001> direction are sensitive to tensile strains. At the same voltage, the current increases under tensile strains and the real-time current response is repeatable and reliable. Interestingly, the magnitude of the strain-induced-current increase is different at forward and reverse bias. Carrier concentration and mobility change caused by strain induced band structure change [3] [4], along with strain induced piezoelectric potential [5] [6] are supposed to be responsible for the asymmetric current increase behavior under tensile strains. However, strain has little influence on the NWs with other crystal structure or growth directions. According to our results, InAs NW can be a promising candidate for strain sensors and strain can be utilized to improve its transportation properties in future device design.
References:
[1] D. Pan, M. Q. Fu, X. Z. Yu, X. L. Wang, L. J. Zhu, S. H.Nie, S. L. Wang, Q. Chen, P. Xiong, S. V. Molnár, J. H. Zhao: Nano Lett., 14 (2014), 1214.
[2] X. Li, X. L. Wei, T. T. Xu, Z. Y. Ning, J. P. Shu, X. Y. Wang, D. Pan, J. H. Zhao, T. Yang, Q. Chen: Appl. Phys. Lett. 104 (2014),103110.
[3] C. L. D. Santos, P. Piquini : Phys. Rev. B, 81 (2010), 075408.
[4] C. Hajlaoui, L. Pedesseau, F. Raouafi, F. Ben CheikhLarbi, J. Even, J-M Jancu : J. Phys. D: Appl. Phys., 46 (2013), 505106.
[5] F. Boxberg, N. SPhi;ndergaard, H. Q. Xu: Adv. Mater., 24 (2012), 4692.
[6] M. Zervos, L.-F. Feiner: J. Appl. Phys., 95 (2004), 281.
Symposium Organizers
Theresa Mayer, Pennsylvania State University
Anlian Pan, Hunan University
Heike Riel, IBM Research Laboratory
Margit Zazharias, Albert Ludwig University of Feiburg
Symposium Support
Nanoscale
Oxford Instruments Plasma Technology
RAITH
LL8: Group IV Nanowires: Growth and Properties
Session Chairs
Tuesday PM, December 02, 2014
Hynes, Level 2, Room 206
2:30 AM - LL8.01
Wired Quantum Dot Heterostructures
Alois Lugstein 1
1Technical University of Vienna Vienna Austria
Show AbstractIn order to fabricate future high-speed integrated circuits or optoelectronic devices based on common processing technologies, it is inevitable to search for new means of heterostructure formation on the nanoscale. We present two novel approaches. The first one, combining ion implantation and flash lamp spike annealing of silicon nanowire (Si-NW) structures, yielding monolithic integration of III-V semiconductor nanocrystallites (NCs), namely InAs, InP and GaAs quantum dots (QDs) in Si-NWs. Therefore <111> oriented Si-NWs were grown via the vapor-liquid-solid (VLS) process and after careful removal of Au a thin layer of SiO2 or Al2O3 was deposited by PECVD or ALD, respectively. In order to achieve uniform implantation along the whole NW lengths, III-V materials were implanted into the samples using a 45° tilted and continuously rotating specimen holder. Subsequently annealing of the fully amorphized samples was carried out with a 20 ms flash lamp annealing step. Due to this spike annealing, the NW core encapsulated by the oxide shell is molten and crystal regrowth via liquid phase epitaxy occurs. For optimized ion fluences, III-V crystallite sections were formed inside the NW core, leading to a wired QD heterostructure. Using an implantation hardmask individual NCs could be achieved at predefined positions within the NWs.
The second approach is based on controlled phase conversion by diffusion resulting in an Al-Ge-Al NW heterostructure with length control of the intrinsic Ge-NW down to a few nm and an atomically sharp Schottky contact.
Both types of heterostructures were investigated by HRTEM proving the monolithic integration of NCs within the NW core. Furthermore mu;-Raman and photoluminescence spectroscopy as well as photocurrent measurements were done on single NWs with a wavelength-tunable supercontinuum laser source. Possible applications for device integration will be discussed.
2:45 AM - LL8.02
Probing the Density of Nanowire Surface Trap States to the Limit of Single Trapping Events
Yaping Dan 1
1Shanghai Jiao Tong University Shanghai China
Show AbstractDue to the large surface-to-volume ratio, surface trap states play a dominant role in the optoelectronic properties of nanoscale devices(1-6). Understanding the surface trap states allows us to properly engineer the device surfaces for better performance. But characterization of surface trap states at nanoscale has been a formidable challenge using the traditional capacitance-voltage (CV) techniques based on metal-insulator-semiconductor (MIS) structures(7) and deep level transient spectroscopy (DLTS)(8). Here, we demonstrate a simple but powerful optoelectronic method to probe the density of nanowire surface trap states to the limit of single trapping events. Unlike traditional capacitive techniques, in this method we choose to tune the quasi-Fermi level across the bandgap of a silicon nanowire photoconductor, allowing for capture and emission of photogenerated charge carriers by surface trap states. The experimental data show that the trap-induced photo-conductance is quantized due to single trapping events, and that the energy density of nanowire surface trap states is in a range from 109cm-2/eV at deep levels to 1013cm-2/eV near the conduction band. This optoelectronic method allows us to probe trap states of ultra-scaled nano/quantum devices at unprecedented precision.
References
1. H. Kind, H. Q. Yan, B. Messer, M. Law, P. D. Yang, Nanowire ultraviolet photodetectors and optical switches. Advanced Materials14, 158 (2002).
2. C. Soci, A. Zhang, B. Xiang, S. A. Dayeh, D. P. R. Aplin, J. Park, X. Y. Bao, Y. H. Lo, D. Wang, ZnO nanowire UV photodetectors with high internal gain. Nano Letter 7, 1003 (2007).
3. A. Zhang, H. Kim, J. Cheng, Y. H. Lo, Ultrahigh Responsivity Visible and Infrared Detection Using Silicon Nanowire Phototransistors. Nano Letters10, 2117-2120 (2010).
4. Z. X. Wang, M. Safdar, C. Jiang, J. He, High-Performance UV-Visible-NIR Broad Spectral Photodetectors Based on One-Dimensional In2Te3 Nanostructures. Nano Letters12, 4715-4721 (2012).
5. O. Lopez-Sanchez, D. Lembke, M. Kayci, A. Radenovic, A. Kis, Ultrasensitive photodetectors based on monolayer MoS2. Nature Nanotechnology8, 497-501 (2013).
6. Z. Y. Yin, H. Li, L. Jiang, Y. M. Shi, Y. H. Sun, G. Lu, Q. Zhang, X. D. Chen, H. Zhang, Single-Layer MoS2 Phototransistors. Acs Nano6, 74-80 (2012).
7. E. C. Garnett, Y. C. Tseng, D. R. Khanal, J. Q. Wu, J. Bokor, P. D. Yang, Dopant profiling and surface analysis of silicon nanowires using capacitance-voltage measurements. Nature Nanotechnology4, 311-314 (2009).
8. K. Yamasaki, T. Sugano, M. Yoshida, Deep level transient spectroscopy of bulk traps and interface states in Si MOS diodes. Japanese Journal of Applied Physics18, 113-122 (1979).
3:00 AM - LL8.03
Doping Silicon and Germanium Nanowires: the Role of Interface Defects and Hydrogen
Marco Fanciulli 1 2 Matteo Belli 2 Stefano Paleari 1 Carmen Canevali 1
1University of Milano Bicocca Milano Italy2CNR Agrate Brianza Italy
Show AbstractSeveral architectures for ultra-scaled devices targeting classical and quantum information processing as well as chemical sensing rely on silicon and germanium nanowires (SiNWs, GeNWs). Despite the efforts in the preparation and characterization of these nanostructures some fundamental issues remain relatively unexplored. In particular the investigation of defects at the interface between silicon and silicon oxide becomes of more and more importance, as the SiNW diameter reduces and the surface-to-volume ratio increases [1,2]. In the present work we focus on the investigation of the doping of silicon nanowires addressing the role of hydrogen and Pb defects at the Si/SiO2 interface [3.4] in the donor de-activation mechanisms and the role of the confinement and dielectric mismatch in determining the donor electronic wave function. To address these intriguing issues we have used different complementary experimental techniques such as electron paramagnetic resonance (EPR), both continuous wave and pulsed, FT-IR, and MD-PICTS. Silicon nanowires have been produced by metal-assisted chemical etching (MACE) [5] using either AgNO3 nanoparticles or a thin Au layer as catalyst and further processing has been used to reduce the size of the nanowires.
The reported results are relevant for the wide range of applications exploiting the peculiar silicon nanowires electronic properties and surface reactivity.
In the light of the results obtained for SiNWs, the GeNWs case will be also briefly discussed.
References:
[1] V. Schmidt, S. Senz, and U. Gösele, Appl. Phys. A. 86, 187 (2007)
[2] M. T. Björk, H. Schmid, J. Knoch, H. Riel and W. Riess, Nature Nanotech. 4, 103 (2009)
[3] M. Fanciulli, A. Vellei, C. Canevali et al., Nanosci. Nanotechnol. Lett. 3, 568 (2011)
[4] M. Fanciulli, A. Molle, S. Baldovino, A. Vellei , Microelectronic Engineering 88, 1482 (2011)
[5] Z. Huang, N. Geyer, P. Werner et al., Adv. Mater. 23, 285 (2011)
3:15 AM - LL8.04
Si:P Nanowires with Axially Graded Doping for Single Schottky Junction FETs
Jorge Luis Barreda 1 Timothy Keiper 1 Mei Zhang 2 Peng Xiong 1
1Florida State University Tallahassee USA2Florida State University Tallahassee USA
Show AbstractWe fabricate field-effect transistors (FETs) on individual phosphorus-doped Si nanowires (NWs). The NWs are synthesized via a vapor-liquid-solid method. Silane is the precursor gas and the growth occurs at 460°C for a period of 10 min under a reaction pressure of 20 Torrs. For the doping of the NWs, phosphine gas is added to the growth environment and the doping level is controlled by the flow rate. The NWs exhibit a gradual reduction of diameter from the base (starting point of growth, ~ 120 nm) to the tip (end point of growth, ~ 100 nm) over a length of ~ 20 mu;m. The devices have a series of Cr/Ag contacts on a single NW , which facilitates 2-terminal and 4-terminal electrical transport measurements and precise determination of the channel and contact resistances along the length of the wires. The measurements reveal pronounced variations of both, which are too strong to be accounted by the diameter changes. Instead, the results indicate a smooth decrease in the average P-doping density along the growth direction [1] . Consistent with the graded doping profile, the metal-semiconductor junctions evolve gradually from ohmic contacts to well-defined Schottky. FET measurements are performed with ohmic-ohmic and ohmic-Schottky contacts combinations on a single NW, yielding channel limited and single Schottky-barrier limited FETs on the same NW. Significantly higher gate modulation is observed for the single Schottky barrier-limited devices, which is likely to be dependent on the height and width of the Schottky barrier . Devices based on NWs from different growth conditions are characterized in various environments. The research shows that the Si:P NWs with graded doping offer an ideal platform to produce single Schottky junction FETs. The applicability of such devices for sensing purposes will be presented.
[1] Daniel E. Perea et al., Nature Nanotechnology 4, 315-319 (2009).
3:30 AM - LL8.05
Investigations on the Piezoresistivity of Monolithically Integrated VLS Grown Silicon Nanowires by Electrostatic Actuation with a MEMS Device
Stefan Wagesreither 1 Emmerich Bertagnolli 1 Shinya Kawase 2 Yoshitada Isono 2 Alois Lugstein 1
1Vienna University of Technology Vienna Austria2Graduate School of Engineering Kobe University Kobe Japan
Show AbstractStrain engineering is a promising way to tune material properties, verify predicted behavior of material or even explore yet unknown effects. Particularly nanostructures like nanowires (NWs) are main target for such investigations since high mechanical stability allow inducing high level strain on the test object without fracturing. Therefore such experiments can result in new dimensions of strain driven effects compared to bulk material. Many approaches have been used to apply strain on nanostructures. Especially MEMS techniques impress as a versatile method to investigate nanostructures under strain. Such systems are portable, enable high strain levels while allowing a multiple of different measurements for material characterization.
We demonstrate the fabrication and application of an electrostatic actuated tensile straining test (EATEST) device enabling pure tensile strain engineering in individual suspended NWs. To demonstrate the feasibility of this approach we investigated the piezoresistivity of about 3 µm long and 100 nm thick Si-NWs but in the same way one can think about other geometries, other materials beyond Si as well as other characterization techniques beyond electrical measurements. Therefore single-crystal Si-NWs were monolithically integrated in a comb drive actuated MEMS device based on silicon-on-insulator wafer using the vapor-liquid-solid mechanism. Strain values were verified by a precise measurement of the NW elongation with scanning electron microscopy. Further we employed confocal mu;-Raman microscopy for in situ, high spatial resolution measurements of the strain in individual Si-NWs during electrical characterization. Piezoresistivity of a more than 3% tensile strained Si-NW was investigated at temperatures from 350K down to 200K. Also the influence of 532 nm laser excitation on a strained SiNW was investigated showing a change of sign of the piezoresistivity and a strain and excitation power depended photocurrent due to strain induced charge separation. As the EATEST approach can be easily integrated into existing Si technology platform this architecture may pave the way toward to a new generation of nonconventional devices by leveraging the strain degree of freedom.
3:45 AM - LL8.06
Modulating Transport Properties in B-Doped Si Nanowires via Rationally Designed Dopant Inhomogeneity and Its Application in Thermoelectrics
Fuwei Zhuge 1 Naoki Fukata 2 Ken Uchida 3 Masaki Kanai 1 Kazuki Nagashima 1 Gang Meng 1 Yong He 1 Sakon Rahong 4 Xiaomin Li 5 Tomoji Kawai 1 Takeshi Yanagida 1
1The Institute of Scientific and Industrial Research, Osaka University Ibaraki Japan2National Institute for Material Science Ibaraki Japan3Keio University Yokohama Japan4Nagoya University Nagoya Japan5Shanghai Institute of Ceramics Shanghai China
Show Abstract
Feasible modulation of the transport property of nanowires is becoming an essential but common challenge for various device applications. In the last decades, lots of efforts has been devoted to understand the dopant incorporation and their impacts on electrical properties of nanowires. However, due to the elusive dopant segregation and deactivation at nanowire surface, achieving uniform dopant distribution has been found difficult, especially in vapor-liquid-solid (VLS) grown nanowires.
Here, we demonstrate that such dopant inhomogeneity can be indeed utilized to enhance the transport property of nanowires. B-doped Si nanowires were prepared by VLS processes and was found exhibited naturally a heavily doped surface but lightly doped core. However, field-effect measurements on these nanowires showed apparently improved hole mobility over that of homogeneously doped bulk Si. This enhancement was rationally attributed to the radial dopant modulation effect under the present dopant inhomogeneity. As evidenced by a series of surface etching experiments, the mobile carriers in this circumstance tended to diffuse to the core of nanowire and transport there free from the surface and most impurity scatterings. With these knowledge, we further demonstrated an up to 4 times increased hole mobility in Si nanowires by intentionally tailoring the radial dopant profile to be δ-doping. In thermoelectric characterization, the greatly enhanced mobility in these nanowires directly lead to improved power factors when compared to the earlier reported homogeneous doped Si nanowires.
These results here highlighted the great prospects of intentionally engineered dopant profile in modulating the transport property and device performance of nanowires. Since this present strategy resembles the dopant modulation while without creating heterointerfaces as that in core-shell structured nanowires, it may find general application in many other materials and device systems.
This work was supported by NEXT Project. T.K. was supported by FIRST program. T.Y and K.U thank the financial support of CREST.
LL9: Group IV Nanowires: Properties
Session Chairs
Tuesday PM, December 02, 2014
Hynes, Level 2, Room 206
4:30 AM - LL9.01
Advanced Transmission-Electron-Microscopy Investigations of Inductive Plasma Torch Synthesized Silicon Nanowires
Simona Boninelli 1 Marta Agati 5 1 Vincent Le Borgne 3 Paola Castrucci 2 Maurizio De Crescenzi 2 Richard Dolbec 4 My Ali El Khakani 3
1Consiglio Nazionale delle Ricerche Catania Italy2Universitamp;#224; di Roma Tor Vergata Roma Italy3Institut National de la Recherche Scientifique Varennes Canada4Tekna Plasma Systems Inc Sherbrooke Canada5University of Catania Catania Italy
Show AbstractSilicon is the most widely used semiconductor material in microelectronics, however the intrinsic properties of its bulk (including indirect bandgap and poor light emission ability) still hinder its effective use in photonics. To overcome these limitations, the nanostructuration of silicon to achieve low dimensionality structures has been shown to a promising avenue. Thus, we report here on the structural characteristics and optoelectronic properties of novel Si nanowires (SiNW) synthesized by means of an original and high throughput (~Kg/day) induction plasma torch based process. The as-synthesized material consists of a mixture of SiNWs and Si nanospheres (SiNS) with relatively wide size distributions, as evidenced by means of conventional SEM and advanced aberration corrected HAADF-STEM analyses. The SiNW and SiNS were sorted out and their structural and optoelectronic properties investigated systematically as a function of their size. High Resolution TEM, Energy Filtered TEM mapping, X-ray and Electron Energy Loss spectroscopies and electron tomography were combined in order to achieve the most thorough and accurate nanoscale characterizations of the structure and composition of the SiNW and SiNS (in the 1-10 nm size range). It is clearly shown that the SiNW/SiNS are formed by a highly crystalline Si core covered by nanometric silica shell. An efficient photoluminescence PL emission, over all the 600-1000 nm range, is observed for all the Si nanostructures upon their excitation with a 405 nm laser. By analyzing the PL signal, we were able to establish a correlation between the PL of the Si nanocrystals and their size distribution, demonstrating thereby the occurrence of quantum confinement effects. Finally, The SiNW were decorated with Au, Ag and Cu nanoparticles of different sizes, by means of a thermal evaporation based process, and their optoelectronic properties analyzed in order to investigate the possibility of light enhancement through plasmonic-induced effects.
4:45 AM - LL9.02
Enhanced Signal Micro-Raman Study of SiGe Nanowires and SiGe/Si Nanowire Axial Heterojuntions Grown Using Au and Ga-Au Catalysts
Julian Anaya 3 Alfredo Torres 3 Juan Jimenez 3 Carmelo Prieto 3 Andres Rodriguez 2 Tomas Rodriguez 2 Carmen Ballesteros 1
1EPS, Universidad Carlos III Leganamp;#233;s (Madrid) Spain2Universidad Politamp;#233;cnica de Madrid Madrid Spain3Universidad de Valladolid Valladolid Spain
Show AbstractGroup IV semiconductor nanowires (NWs) attract a great deal of attention because of their application in nanodevices and their full compatibility with complementary metal oxide semiconductor (CMOS) technology. SiGe NWs have a great interest since they permit the continuous tunability of the physical properties by the intrinsic effect of the alloy composition. The SiGe alloys have excellent properties for high frequency devices; moreover, SiGe NWs present excellent expectations as thermoelectric and light collectors for energy conversion applications. The control of the NW composition is a necessary step for the fabrication of NW heterostructures. In this way, one needs to control the decomposition of the Si and Ge precursors, as well as the solubility of Si and Ge in the catalyst drops. The optimization of the growth conditions and the catalyst are necessary for preparing heterostructured NWs suitable for device applications. The characterization of the NWs, and more specifically of the heterojunctions, is a crucial issue for the optimization of the growth processes. MicroRaman spectroscopy is a powerful tool for studying group IV NWs. We present herein a microRaman study of SiGe NWs, and SiGe/Si heterostructured NWs grown by low pressure chemical vapour deposition. The measurement of the Raman spectrum of individual NWs requires of experimental conditions that permit to neglect the temperature increase induced by the laser beam, which can achieved in conditions of electromagnetic amplification for enhancing the Raman signal, allowing to work under low laser power excitation conditions. The Raman spectra reveal the existence of polytypes and segregated Ge phases, which appear non-homogeneously distributed along the NWs. The composition is estimated from the Raman wavenumber of the alloy phonon modes. Finally, a Raman study of the heterojunctions for different catalysts (Au and alloyed Ga-Au of different compositions) is presented; we discuss about the capabilities of microRaman spectroscopy for the characterization of the heterojunctions.
5:00 AM - LL9.03
Stability and Performance of Heterogeneous Anode Assemblies of Silicon Nanowires on Carbon Meshes for Battery Applications
Andreas Krause 1 Matthias Grube 1 Jan Brueckner 2 Holger Althues 2 Thomas Mikolajick 1 3 4 Walter M Weber 1 4
1Namlab Dresden Germany2Fraunhofer Institute for Material and Beam Technology Dresden Germany3Institute of Semiconductor and Microsystems Technology Dresden Germany4Center for Advancing Electronics Dresden (CfAED) Dresden Germany
Show AbstractDurable and efficient electric energy storage is currently one of the main societal and research challenges. In particular the enhancement of storage capacity and cycle stability of Li ion and Li/S batteries for mobility devices is the scope of extensive research. Si is a promising anode material for Li storage due to its high theoretical specific capacity of above 4200 Ah/kg, which are four times larger, compared to Al (with 993Ah/kg) and to ten times larger compared to graphite (372Ah/kg) [1, 2].
Whereas amorphous thin Si films show good long term performance during slow cycling, these are uninteresting for rapid charging in batteries for electromobile applications [3]. Another disadvantage is the low capacity and low energy density due to the small amount of active material in the employed composite. Furthermore, Si based anodes exhibit an extreme stability problem upon electrochemical incorporation and de-incorporation of Li with the large volume expansion of about 400%. With the use of Si nanowires [4], mechanical stress can be relaxed during volume change while keeping the electric contact to the conducting substrate. To overcome this instability we study the heterogeneous and three-dimensional integration of SiNWs with diameters >25 nm in carbon meshes and investigate their electrochemical behaviour, cyclability and storage density. The SiNW growth takes place in a CVD furnace between 390°C-450°C as mediated with the use of Au nanoparticles that are directly synthesized on the mesh with dip-coating of GDL in a AuHCl4 solution. This process can be much easier integrated as comparable methods using carbon nanotubes [5]. Different nanowire densities, i.e. Si loading are studied. For a Si loading of 1.5 mg/cm2, cycling of those anodes show capacitance stability and a small degradation below 10% after 20 cycles. Even with a low Si loading, the capacitance is 400% higher compared to conventional carbon anodes.
These results have been done in a half cell Li battery pack. The C to Si interface seems to be significantly more stable compared to previous studies with direct NW nucleation on metallic collectors. The SiNW mesh remains intact after various cycles, no immanent degradation is visible.
[1] M. Winter, J.O. Besenhard, Rechargeable batteries, Chemie in unserer Zeit, Vol. 33, 1999, S. 320-332.
[2] C. Daniel, J.O. Besenhard, Handbook of battery materials, 2. Aufl., Wiley-VCH Verlag Weinheim, 2011.
[3] J.R. Szczech, S. Jin, Nanostructured silicon for high capacity lithium battery anodes, Energy & Environmental Science, Vol. 4, 2011, S. 56-72.
[4] Y. Yang et al., New nanostructured Li2S/silicon rechargeable battery with high specific energy, Nano Letters, Vol. 10, 2010, 1486-1491.
[5] Xianglong Li et al., Carbon Nanotube-Enhanced Growth of Silicon Nanowires as an Anode for High-Performance Lithium-Ion Batteries, Adv. Energy Mater. 2012, 2, 87-93
5:15 AM - LL9.04
Electron Spin Relaxation and Coherence of Defects at the Interface between Silicon and Silicon Oxide in Silicon Nanowires Prepared by Metal-Assisted Chemical Etching
Matteo Belli 1 Marco Fanciulli 1 2 Lorenzo Baldrati 2 Mario Alia 1 Eugenio Cinquanta 1
1CNR-IMM, Laboratorio MDM Agrate Brianza (MB) Italy2Universitamp;#224; di Milano-Bicocca Milano Italy
Show AbstractThe interest in Silicon Nanowires (SiNWs) has greatly increased recently, thanks to the wide range of applications in different fields [1]. Defects at the interface between SiNWs and their native oxide determine several potential functional properties related to electronics and chemical sensing. In the present contribution we focus on paramagnetic Pb-like defects at the interface between the SiNW and its native oxide. In particular we focus on the electron spin relaxation and coherence times and discuss the mechanisms governing electron spin-spin and spin-lattice relaxation. The huge surface-to-volume increase with respect to the flat silicon surface brings such a system well above the sensitivity threshold required for pulsed electron paramagnetic resonance (EPR). The alternative approach of the electrically detected counterpart of EPR, despite its high sensitivity, suffers from some limitations: it is affected by electron-hole recombination rates, which reduce the accessible range of intrinsic spin-lattice relaxation times [2]. The unusual temperature-dependence of the spin relaxation will be discussed in terms of two level systems-mediated relaxation [3]. The silicon nanowires under investigation were produced by metal-assisted chemical etching (MACE), choosing either AgNO3 nanoparticles or a thin Au layer as catalysts for the etching process [1, 4], and underwent further rapid annealing treatments to prepare the SiNW surface. MACE is a relatively cheap, highly versatile and fairly controlled preparation method with high potential interest to bring SiNW production at industrial scale, in view of the realization of both large-area and single/few-nanowire based devices.
References:
[1] M. Fanciulli, A. Vellei, C. Canevali et al., Nanosci. Nanotechnol. Lett. 3, 568 (2011) and references therein.
[2] F. Hoehne, H. Huebl, B. Galler et al., Phys. Rev. Lett. 104, 046402 (2010).
[3] M. Bowman, L. Kevan, J. Phys. Chem. 81, 456 (1977); M. Stutzmann, D. K. Biegelsen, Phys. Rev. B 28, 6256 (1983).
[4] Z. Huang, N. Geyer, P. Werner et al., Adv. Mater. 23, 285 (2011).
5:30 AM - LL9.05
Geometry-Enhanced Optical Absorbance of Ordered Silicon Nanowire Arrays
Stefan T. Jaeger 1 Steffen Strehle 1
1Ulm University Ulm Germany
Show AbstractSolar energy harvesting belongs to the key strategies to satisfy the increasing demand for electrical power considering as well decreasing fossil fuel resources. A major issue for solar cell efficiency is photon harvesting, which is intrinsically linked at first to the optical absorbance of the functional structure followed by the conversion and electricity transfer efficiency. With respect to the absorbance, ordered arrays of vertically aligned silicon nanowires were comprehensively investigated by means of 3D simulations based on the finite element method and Maxwell's equations to reveal their often discussed potential for solar cell applications. The simulations were verified with experimental measurements using bulk material and nanowire arrays, published elsewhere, and showed an excellent agreement. Our simulations enabled to study systematically the influence of the geometric nanowire parameters length, diameter, pitch and nanowire tapering and allowed quantitative comparisons for all parameters and even with experiments. The drastically enhanced absorption can be mainly referred to an effective index of refraction, which is created by the nanowires and the surrounding air. Based on our studies we can show that an ordered silicon nanowire array with a nanowire diameter of about 350 nm and 500 nm pitch gives the best performance and would outreach the absorbance of bulk silicon by over a factor of two already at a nanowire length of 1 µm despite the fact that the material volume is drastically lower. However, the lower volume creates a distinct absorbance cutoff for longer wavelengths but, which can be readily balanced by increasing the nanowire length. Furthermore, the simulations show that cylindrically shaped nanowires excel over tapered geometries if the absorbance should be maximized inside the array. Otherwise, we can show as well that control over the nanowire morphology and arrangement enables to rationally design structures guiding the wave effectively into a functional device situated underneath the nanowire array.
5:45 AM - LL9.06
Epitaxial Control of the Morphology Si Radial p-i-n Junction Nanowires for Enhanced Quantum Efficiency
Jinkyoung Yoo 1 Binh-Minh Nguyen 1 Ian H Campbell 1 Shadi A Dayeh 2 Paul Schuele 3 David Evans 3 S Tom Picraux 1
1Los Alamos National Laboratory Los Alamos USA2University of California San Diego La Jolla USA3Sharp Laboratories of America Camas USA
Show AbstractNanostructuring of solar absorber materials is of the promising approaches being pursued since nanostructures provide pathways for-both reducing reflection and enhancing light absorption. Nanowires (NWs) can be designed to enhance light absorption through four distinguishing mechanisms: effective medium structures with low refractive index, mesostructures with sizes comparable to incident wavelengths, structures which provide diffusive scattering of the incident light, and structures with waveguiding effects. In combination with radial p-n junction architectures enabling maximized photogenerated carrier collection efficiency, NW arrays thus offer new opportunities to optimize structure for photovoltaic (PV) devices.
The development of the design rules needed to maximize light absorption for NW arrays has been a recent focus of studies to enhance PV performance. The extensive studies of optical properties of NWs have shown excellent light absorption behavior over the solar spectrum, with the focus on optimizing NW sizes, NW shapes, and array geometrical arrangements to maximize absorption. However the remarkable discrepancy between realized and expected PV efficiencies for NW arrays implies that a transition in focus is needed from research on optical properties of NWs to one that encompasses a combined understanding of both light absorption and carrier collection properties. A major advantage of NW PV is the anticipation of the high short-circuit current density due to enhanced light absorption. The short-circuit current density is correlated with external quantum efficiency (EQE), which is measurable and robust to electrode qualities.
Here we present the combined systematic study of EQE and optical absorption of silicon (Si) radial p-i-n junction arrays with match-head structures for PV application. Using epitaxial growth of Si radial p-i-n shells we demonstrate a remarkable enhancement in light absorption and EQE of Si radial p-i-n junction arrays with match-head structures compared to cylindrical Si radial p-i-n junction arrays without match-heads. Additionally by comparing the measured EQE values of Si radial p-i-n junction arrays with finite domain time difference simulations, we demonstrate optimum NW lengths, diameters and filling factors, and show that carrier collection at smaller diameters becomes a more important consideration than optical absorption maximization for optimizing PV efficiency.
LL6: III-V Nanowires: Growth and Applications
Session Chairs
Tuesday AM, December 02, 2014
Hynes, Level 2, Room 206
9:15 AM - LL6.00
Understanding the Formation of Higher Order Polytypes in III-V Nanowires
Jonas Johansson 1 Kimberly A Dick 1 2
1Lund University Lund Sweden2Lund University Lund Sweden
Show AbstractSeveral III-V nanowire materials systems show features of polytypism, which is a kind of polymorphism, where the polymorphs differ in the stacking sequence of the constituting layers. One of the challenges for successful applications of nanowires in electronics and optoelectronics is the control of their crystal structure, that is, which polytype they form. In bulk, all III-V semiconductors, except nitrides, crystallize in the zinc blende structure (3C polytype). However, when these materials are grown as nanowires, they often form in a predominantly hexagonal phase, from a more or less pure wurtzite structure (2H polytype) to structures with inclusions of higher order polytypes, such as 4H and 6H. In a few materials, such as InAs and GaAs the crystal structure can be experimentally tuned between 3C and 2H, but systematic and reproducible fabrication of 4H, 6H and other higher order polytypes has still not been achieved.
Existing models of polytypism in nanowires are strongly focused on explaining the occurrence of wurtzite (2H) in materials that have zinc blende (3C) as the bulk stable crystal structure. The recent observations of higher order polytypes, as previously mentioned, motivate the consideration of more polytypes than just 2H and 3C when predicting the influence of experimental and materials parameters on the crystal structure of III-V nanowires.
In the current investigation, we take a nucleation theoretical approach to explain the limits and occurrence of polytypism in metal particle-seeded III-V nanowires, including polytypes up to 6H. In order to describe the formation of higher order polytypes, interaction between the stacked layers, which goes beyond nearest neighbor interactions must be taken into account. For this purpose we use the axial next nearest neighbor Ising (ANNNI) model to calculate the interface energy relevant for stacking. In the ANNNI model, the stacking sequence is treated as a sequence of generalized spins and different sequences give different interface energies, depending on the interlayer interaction energies.
To understand more about the limitations and possibilities of polytypism, we vary the interaction parameters of the ANNNI model. For each set of these parameters we calculate the formation probabilities of 3C, 6H, 4H, and 2H as functions of supersaturation. Depending on the interaction parameters, the range of attainable polytypes as a function of supersaturation can vary, and this can be graphically represented. We will discuss such polytype attainability diagrams and their experimental relevance.
Moreover, we calculate the polytype formation probabilities as functions of supersaturation for several III-V nanowire systems. In agreement with previous models and empiric knowledge, 3C and 2H is predicted to form at low and high supersaturation, respectively. In small intermediate ranges of supersaturations 6H and 4H dominates, in agreement with experimental observations.
9:30 AM - *LL6.01
Selective-Area Growth of III-V Nanowires and Their Devices
Katushiro Tomioka 1 2 Fumiya Ishizaka 1 Eiji Nakai 1 Muyi Chen 1 Takashi Fukui 1
1Hokkaido University Sapporo Japan2JST Kawaguchi Japan
Show AbstractSemiconductor nanowires (NWs) have stimulated extensive interest in recent years because of their unique properties and potential applications as building blocks for nanoscale electronic and photonic devices. In this talk, we report on the systematically controlled growth of As and P related III-V NW arrays and their device applications. We also discuss their future prospects.
We already reported many III-V NWs on both III-V and Si (111) oriented substrates by suing selective-area growth method. I briefly introduce a growth mechanism of the III-V NWs by the selective-area growth and faceting mechanism. Then, we report on integration of GaAs NW-based light-emitting-diodes (NW-LEDs) on Si substrates. The vertically aligned GaAs/AlGaAs core-multishell NWs with radial p-n junction and NWLED array were directly fabricated on Si. The threshold current for electroluminescence (EL) was 0.5 mA (current density was approximately 0.4 A/cm2). This technology could open new possibilities for monolithic- and on-chip integration of III-V NWs on Si.
Next, we discuss recent progresses of our NW photovoltaic devices using III-V NW array. We have reported that high-quality NWs can be grown by catalyst-free selective-area growth. The NW solar cells (SC) with InP/AlInP core-multi-shell (CMS) structures to improve the conversion efficiency from former reported InP nanowire SC. Also, recent challenges of flexible SC using III-V NWs is demonstrated.
Finally, we report on electrical apllication such as vertical surrounding-gate transistors (SGTs) and tunnel FET (TFET), using as-grown III-V NWs on a Si substrate. After the growth, Hafnium alminate was deposited as high-k gate dielectric, followed by the deposition of tungsten by plasma sputtering for gate metal. Then, drain and source metals were evaporated on the top of NWs and backside of the substrate, respectively. Fabricated SGT contained 10 In0.7Ga0.3As NWs parallel in the channel. We observed n-type FET behavior in ID-VDS and ID-VG characteristics. The performances are threshold voltage ~ 0.25 V, Gm,max = 120 µS/µm at VDS = 1.00 V, Ion / Ioff = 106, subthreshold slope, SS = 68 mV/decade. As for TFET, we used band discontinuity between InAs NWs and Si substrate for the tunneling behavior. The device fabricated by selective-area growth of an n+-InAs/ undoped-InAs axial NW on a p+-Si(111) substrate showed switching behavior with an average subthreshold slope (SS) of 21 mV/dec under reverse bias condition. The switching behavior with Zener tunneling was achieved with a low Vds of 50 mV. Coherent growth, a good intrinsic region, and other narrow-gap III-V are required for steep-slope TFET.
10:00 AM - LL6.02
Transient Rayleigh Scattering Measurements of Carrier Dynamics in Multi-Band Nanowires and Quantum-Well-Tubes
Yuda Wang 1 Howard E Jackson 1 Leigh M Smith 1 Tim Burgess 2 Nian Jiang 2 Suriati Paiman 2 H Hoe Tan 2 Qiang Gao 2 Chennupati Jagadish 2
1University of Cincinnati Cincinnati USA2Australian National University Canberra Australia
Show AbstractWith the ability to tailor the band structure of nanowires through control of polytypes or quantum confinement in heterostructures it becomes vitally important to understand the coupling and relaxation dynamics between these bands. Here we study the carrier relaxation dynamics of multi-band zinc blende (ZB) and wurtzite (WZ) InP nanowires (NW) and GaAs/AlGaAs core-multishell Quantum Well Tubes (QWT) using transient Rayleigh scattering (TRS) spectroscopy. The electron and hole relaxation dynamics are measured by observing the change of the Rayleigh scattering efficiency as a function of both energy and time delay of the probe pulse after an initial pump-pulse-excitation. The density and temperature of the electron-hole-plasma (EHP) is extracted by fitting the time-dependent spectroscopic lineshapes using a simple band to band transition model which generates the change of the complex refractive index due to band filling. The cooling of the EHP temperature is modeled by energy loss through emission of longitudinal optic (LO) phonons at high carrier temperatures and later acoustic phonons at lower temperatures. The measured energy loss rate in InP NW is strikingly slower than GaAs NW presumably due to larger LO phonon energy and smaller acoustic deformation potential in InP. The carrier densities of the split-off band in ZB InP and B, C band in WZ InP are seen to be 1-2 orders of magnitude smaller than that of the HH, LH or A valence bands assuming thermal equilibrium. The relaxation of the carriers is observed in each band and analyzed by linear and bimolecular recombination. As a more complex example, we have made TRS measurements in a single GaAs/AlGaAs QWT to explore the excited state carrier relaxation dynamics. Such measurements provide a detailed picture of multi-band semiconductor nanostructure carrier densities and temperatures as a function of time after excitation, as well as their recombination and scattering processes.
We acknowledge the NSF through DMR-1105362, 1105121 and ECCS-1100489. We also acknowledge the support of the Australian Research Council (ARC) and the Australian National Fabrication Facility.
10:15 AM - LL6.03
Self-Catalyzed InP Nanowires on Patterned Si Substrates
Kenichi Kawaguchi 1 Hisao Sudo 2 Manabu Matsuda 2 Kazuya Takemoto 2 Tsuyoshi Yamamoto 2 Yasuhiko Arakawa 1 3
1The University of Tokyo Meguro-ku Japan2Fujitsu Laboratories Ltd. Atsugi Japan3The University of Tokyo Meguro-ku Japan
Show AbstractInP-related nanowires (NWs) grown by bottom-up methods are attractive as building blocks for near-infrared optical nano-scale devices [1, 2]. In particular, the successful implementation of such InP NWs on Si substrates will pave the way to the realization of large-scale monolithic integration. In this work, we report both the self-catalyzed growth of vertical InP NWs, and also the control over their shape using SiO2-mask-pattered Si substrates. In addition, we demonstrate optically-active radial NW heterostructures for light-emitting device applications.
The patterned growth substrates consisted of Si(111) substrates covered with 50-nm-thick SiO2 films that contained openings of diameter of 100 nm. The separation of the openings was 10 mu;m, which enabled us to investigate the optical properties of single vertical NWs. A low growth temperature of 400°C and a high TMIn flow rate of 0.05 ccm were used for the InP NW growth. These growth conditions enhanced the self-catalyzed growth, and 8-mu;m-long InP NWs with a hexagonal pyramid shape were seen to be formed vertically after 8-minute growth. The tapering of the NWs was relatively large, and the NW diameter at the middle was approximately 750 nm.
To control the NW shape, HCl gas, which has an effect of vapor-phase etching [3], was introduced. In addition, in-situ S doping using H2S, which enhances the formation of the wurtzite crystal phase [4], was employed simultaneously. It was found that this combination efficiently suppressed the lateral growth of the self-catalyzed InP NWs. By tuning both the flow rate of the gases and the growth time, 5-mu;m-long InP NWs with a straight region of diameter of 200 nm were successfully obtained (HCl: 0.016 ccm, H2S: 0.03 ccm, growth time: 6.5 min.).
Single InP/InAsP/InP quantum well (QW) structures were then radially grown on the sidewall of the shape-controlled InP NWs. Radial growth was successfully performed using a higher growth temperature of 580°C and a lower TMIn flow rate of 0.006 ccm. Moreover, individual NWs with radial QWs clearly exhibited photoluminescence at room temperature. The above-described growth technique is promising for the development of light-emitting NW devices on Si platforms.
References
[1] P. Mohan et al., Appl. Phys. Lett. 88, 133105 (2006).
[2] E. D. Minot et al., Nano Lett. 7, 367 (2007).
[3] M. T. Borgström et al., Nano Res. 3, 264 (2010).
[4] K. Kawaguchi et al., Appl. Phys. Lett. 99, 131915 (2011).
10:30 AM - *LL6.04
III-V Nanopillar Photonic Devices on Silicon
Connie Chang-Hasnain 1 Fanglu Lu 1
1University of California Berkeley USA
Show AbstractRecent advances on nanophotonic devices based on III-V compound directly grown on silicon at CMOS-compatible temperatures will be discussed. With the advances in nano-scale fabrication technologies, there is great interest to “tailor” materials&’ physical properties when their sizes are made small enough for manipulation of absorption, emission, transmission, refraction, transport, etc. in innovative ways that could have profound implications for many applications. In addition, monolithic integration of devices based upon dissimilar materials is believed to be of grave importance to achieve functionalities greater than the sum of those of the parts. In particular, it is critical to integrate active optical components based on III-V compound, e.g. lasers and semiconductor optical amplifiers, with CMOS electronic circuits and silicon-based photonics. Such integration can alleviate power, speed and bandwidth bottlenecks in data transport as microprocessor performance continues to scale. It is poised to enable new capabilities in many fields including imaging, sensing, medicine and spectroscopy.
LL7: Group IV Nanowires: Growth
Session Chairs
Tuesday AM, December 02, 2014
Hynes, Level 2, Room 206
11:30 AM - LL7.01
Dynamical Stability of Self-Catalyzed Nanowire Growth
Jerry Tersoff 1
1IBM Watson Center Yorktown Heights USA
Show AbstractSelf-catalyzed growth of III-V nanowires by the vapor-liquid-solid (VLS) process has attracted intense interest because it eliminates the problem of electronic impurities that occurs with Au and other common catalysts. For typical III-V semiconductors, the presence of a group-III droplet at the growth front during self-catalyzed growth is well established. However, this poses a fundamental puzzle. According to the Gibbs Phase Rule, we cannot have stable coexistence of the solid wire, liquid catalyst, and vapor over any finite range of temperature or pressure, unless a third element such as Au is present to stabilize the liquid. Thus there must be some stabilization mechanism that lies outside Gibbs' bulk thermodynamic analysis, e.g. by capillary forces or by growth dynamics. There is also a secondary puzzle -- in the absence of an initial catalyst particle, what controls the diameter of the wire? In this talk I develop a simple model to explain the apparent stability of the growth process. I show that under reasonable assumptions (especially appropriate to zincblende wires), for a given growth temperature and source-gas pressures there is a unique steady steady. This steady state can be characterized by the wire diameter and droplet volume, and it acts as a dynamical attractor, i.e. for a range of initial conditions the wires tapers toward the steady state as it grows. The model makes predictions for how the steady-state diameter of self-catalyzed wires depends on growth conditions, and how the wire evolves toward the steady state as it grows. These predictions are compared with experiment. Catalyst-free growth will also be discussed.
11:45 AM - LL7.02
Carrier Gas Effects on Aluminum-Catalyzed Silicon Nanowire Growth
Yue Ke 1 Mel F. Hainey 1 Dongjin Wong 1 Xiaojun Weng 1 Sarah M. Eichfeld 1 Joan M. Redwing 1
1The Pennsylvania State University University Park USA
Show AbstractAluminum-catalyzed vapor-liquid-solid (VLS) growth of silicon nanowires is of interest as an alternative to growth using a gold catalyst. Aluminum is attractive because it acts as a p-type dopant in silicon instead of a deep-level trap like gold, but is difficult to use because it forms a native oxide that can interfere with epitaxial wire growth. Previous low-pressure chemical vapor deposition (LPCVD) studies of aluminum-catalyzed nanowire growth have documented the effects of temperature, silane (SiH4) partial pressure, and reactor pressure. In comparison to gold-catalyzed nanowire growth, epitaxial wire growth using aluminum required higher reactor pressures, but the reason for this difference was not well understood. In this study, nanowire growth using aluminum was performed in H2 and in an H2/N2 carrier gas mixture in order to better understand the effects of the reactor pressure and H2 partial pressure on the nanowire growth process.
Nanowires were grown from 10 nm aluminum films deposited on Si(111) substrates via LPCVD either in H2 or in a 60 sccm H2 :40 sccm N2 carrier gas mix. The total reactor pressure was 38, 100, or 600 Torr with the SiH4 partial pressure ranging from 2.4 to 6 Torr based on the total reactor pressure and growth temperatures varying from 500°C to 580°C.
Scanning electron microscope (SEM) images of the aluminum catalysts on the tips of the nanowires show remarkably different morphologies depending on the hydrogen partial pressure, with highly faceted tips on wires grown at low hydrogen partial pressures and heavily ridged, pitted tips on wires grown at higher partial pressures. Energy-dispersive x-ray spectroscopy (XEDS) scans across the wire/catalyst interface show a ~20nm interfacial region for wires grown in H2/N2 increasing to ~50nm for wires grown in pure H2. In addition, transmission electron microscopy and SEM results show increased surface roughness on the wire sidewalls for wires grown in the H2/N2 carrier gas mix compared to those grown in H2 under identical conditions. Micro-Raman analysis revealed that the roughness is associated with amorphous silicon which likely deposited on the nanowire sidewalls during VLS growth.
The results are explained in terms of the extent of hydrogen termination of the silicon sidewall surfaces during nanowire growth. Prior studies have demonstrated that the diffusion length of aluminum is increased and the SiH4 adsorption rate is reduced on hydrogen terminated silicon. High hydrogen partial pressures lead to increased hydrogen termination of the silicon sidewall surface, promoting aluminum diffusion from the catalyst tip during growth and leading to a ridged tip shape and wider wire/catalyst interface. Using the H2/N2 gas mixture, aluminum diffusion is reduced and the rate of SiH4 adsorption and decomposition on the sidewalls is increased at lower hydrogen partial pressures, resulting in faceted tips and vapor-solid deposition of amorphous silicon.
12:00 PM - LL7.03
Building Nanostructures in Nanowires Using Sequential Catalyst Reactions
Federico Panciera 1 2 Yi-Chia Chou 2 3 4 Mark C Reuter 2 Dmitri Zakharov 4 Eric A Stach 4 Stephan Hofmann 1 Frances M Ross 2
1University of Cambridge Cambridge United Kingdom2IBM Yorktown Heights USA3National Chiao Tung University Hsinchu City Taiwan4Brookhaven National Laboratory Upton USA
Show AbstractThe vaporminus;liquidminus;solid (VLS) growth mechanism has been extensively used to grow one-dimensional (1D) Si, Ge, IIIminus;V semiconductor nanowires (NWs) and their heterostructures. Here we show an extension of this growth method that aims to produce complex structures in which 0D quantum dots of precise dimensions are incorporated into single nanowires. The method is based on supplying new species, such as a metal, sequentially to the nanowire catalysts, triggering the formation of new phases that become incorporated into the nanowires as they grow. We will demonstrate this process using NiSi2 inclusions in Si nanowires, carrying out the reaction in an ultrahigh vacuum transmission electron microscope so that each step can be observed directly. We first synthesise epitaxial Si NWs from Au using disilane at around 500oC, with a radius between 20 and 50 nm and the usual growth direction, (111). Without breaking vacuum, we cool the NWs and deposit a Ni layer by electron beam evaporation. As the NWs are heated back to the growth temperature, the Ni on the catalyst surface reacts with Si to form an octahedral NiSi2 particle 10-40nm in diameter that floats within the liquid AuSi droplet. This silicide nanoparticle eventually attaches to the AuSi/Si(111) interface, making an epitaxial contact. Finally, restarting NW growth allows the silicide to become incorporated into the NW to form a Si-silicide heterostructure in which a nanoscale silicide region is embedded epitaxially within the nanowire. By repeating the process, we can embed multiple silicide particles within a single nanowire, controlling their size through the thickness of deposited Ni. Video-rate imaging of the nucleation and growth of the silicide nanoparticles inside the Au-Si droplet allows the growth kinetics to be measured and the sequence of phases identified. Heating experiments using aberration corrected high speed imaging at 400 frames per second reveal the mechanism of epitaxial interfacing of the NiSi2 with the Si(111) growth surface that occurs at a sub-second time scale. We will discuss the generality of this growth mechanism for other systems such as Co, and for metals supplied via gas precursors as well as by evaporation. We will discuss the degree to which this can be exploited to introduce sequences of nanosized particles in different kinds of nanowires. We will finally discuss the potential applications of these heterostructures for nanowire-based devices.
12:15 PM - LL7.04
3D Phase Field Modeling for Nanowire Growth by Vapor-Liquid-Solid Mechanism
Yanming Wang 3 Seunghwa Ryu 2 Paul C McIntyre 3 Wei Cai 1 3
1Stanford University Stanford USA2Korea Advanced Institute of Science and Technology Yuseong-gu Korea (the Republic of)3Stanford University Stanford USA
Show AbstractNanowires (NWs) have promising applications in many fields such as integrated circuits, solar cells or batteries, for their special electronic and optical properties. Vapor-liquid-solid (VLS) process is a widely used NW growth method; however, many fundamental questions including the nucleation failure and growths kinking are still not fully understood. The answers to these questions are important for better control of the NW orientation, yield and quality required for industry applications. Therefore, a 3D computational model for studying VLS mechanism that can both capture the realistic NW morphology and reach the experimental time scale is critically needed.
We developed a 3D multi-phase field model for VLS NW growth. The model captures the equilibrium catalyst droplet shape on the substrate prior to NW growth. For the NW growth process, the model captures the NW tapering and sidewall facets in good agreement with experimental observations. The model predicts the steady-state NW growth velocity is a linear function of the vapor chemical potential and the inverse of catalyst diameter, providing a confirmation of the Gibbs-Thomson effect in nanowire growth. The model is applied to study the instability of the catalyst droplet on top of the NW, which is important for understanding the onset of growth kinking. We computed the free energy landscape as a function of the droplet position, from which the preferred kinking direction and the free energy barrier for kinking can be predicted.
12:30 PM - LL7.05
Nanosize Effect in Germanium Nanowire Growth with Binary Metal Alloys
Subhajit Biswas 1 Colm O'Regan 1 Justin Holmes 1
1University College Cork Cork Ireland
Show AbstractNanosize effect in Germanium Nanowire Growth with Binary Metal Alloys
Subhajit Biswas 1, Colm O&’Regan1, Michael A. Morris1,2 and Justin D. Holmes1,2
1Materials Chemistry & Analysis Group, Department of Chemistry and the Tyndall National Institute, University College Cork, Cork, Ireland. 2Centre for Research on Adaptive Nanostructures and Nanodevices (CRANN), Trinity College Dublin, Dublin 2, Ireland.
Vapor-liquid-solid (VLS) techniques are popular routes for the scalable synthesis of semiconductor nanowires. This research describes feasible and improved ways towards enhanced nanowire growth kinetics by reducing the equilibrium solute concentration in the liquid collector phase in a VLS like growth model.1 Use of bi-metallic alloy seeds (AuxAg1-x) manipulates the ternary phase diagrams (Au-Ag-Ge) towards lower Ge liquidus concentrations, thus influencing germanium supersaturation for a faster nucleation and growth kinetics. Nanowire growth with ternary eutectic alloys obeys supersaturation-mediated growth model and shows Gibbs-Thompson effect with diameter dependent growth rate. In-situ TEM annealing experiments directly confirms the role of equilibrium concentration in nanowire growth kinetics. In-situ electron microscopy was used to correlate the equilibrium content of metastable alloys with the kinetics, thermodynamics and diameter of Ge nanowires grown via VLS mechanism. The shape and geometry of the heterogeneous interfaces between the liquid eutectic and solid Ge nanowires were found to vary as a function of nanowire diameter and eutectic alloy composition. The behaviour of the faceted heterogeneous liquid-solid interface was linked with the growth kinetics of the nanowires, where the degree of truncation at the solid nanowire-liquid catalyst drop contact line altered as a function of the rate of nanowire growth. Direct in-situ microscopy observations facilitated the comparison between the nanowire growth behaviour from ternary (AuxAg1-x-Ge) and binary (Au-Ge) eutectic systems.
1 S.Biswas, C. O&’Regan, M.A. Morris, J.D. Holmes Nano Letters 13, 4044 (2013)
12:45 PM - LL7.06
In-Plane Epitaxial Growth and Junction Formation of Silicon Nanowires on Si(100) Substrate
Linwei Yu 1 2 Mingkun Xu 1 Jie Xu 1 Zhaoguo Xue 1 Gennaro Picardi 2 Jun Xu 1 Yi Shi 1 Pere Roca I Cabarrocas 2
1Nanjing University Nanjing China2LPICM, Ecole Polytechnique Palaiseau France
Show AbstractPrecise growth control over self-assembled silicon nanowires (SiNWs) can provide critical building blocks for a new generation of large area electronics. A great deal of research efforts have been devoted to position SiNWs grown via vapor-liquid-gas (VLS) process on planar substrates with the aid of elaborated nano holes or channels templates. As planar electric connection/circuitry remains the most convenient architecture for testing and prototyping various SiNW devices, a controlled in-plane growth of SiNWs will bring a unique opportunity to deploy and integrate the SiNW functionalities.
We have discovered a new in-plane growth mode of SiNWs [1-3], where a thin layer of hydrogenated amorphous silicon (a-Si:H) is consumed by surface-running indium catalyst droplets to produce in-plane SiNWs. This so-called in-plane solid-liquid-solid (IPSLS) growth mode has been shown to enable an effective morphology, position and composition control over the self-assembly in-plane SiNWs [4, 5].
In this work, we report an epitaxy growth of in-plane SiNWs on Si(100) wafer to achieve ultra-long and self-aligned SiNWs following the crystallographic orientations of the underlying Si(100) substrate, without the need for any pre-surface patterning. In addition, we found a rich set of growth dynamics for epitaxy growth of in-plane SiNWs with different morphologies and growth balance conditions. Conductive atomic force microscopy (CAFM) characterizations reveal a rectifying behavior between the epitaxial SiNWs (which are p-type doped due to the incorporation of In from the metal drop) and the n-type c-Si substrate, indicating a well-defined p-n junction formation. This epitaxy growth of in-plane SiNWs could serve as an ideal testing bed to understand and explore nanoscale epitaxial growth dynamics, and provide nanoscale junction/doping profile formation for developing novel nanoelectronics.
References
1. Yu, L., et al., An in-plane solid-liquid-solid growth mode for self-avoiding lateral silicon nanowires. Physical Review Letters, 2009. 102(12): p. 125501.
2. Yu, L. and P. Roca i Cabarrocas, Initial nucleation and growth of in-plane solid-liquid-solid silicon nanowires catalyzed by indium. Physical Review B, 2009. 80(8): p. 085313-5.
3. Yu, L. and P. Roca i Cabarrocas, Growth mechanism and dynamics of in-plane solid-liquid-solid silicon nanowires. Physical Review B, 2010. 81(8): p. 085323.
4. Yu, L., et al., Growth-in-place deployment of in-plane silicon nanowires. Applied Physics Letters, 2011. 99(20): p. 203104-3.
5. Chen, W., et al., Incorporation and redistribution of impurities into silicon nanowires during metal-particle-assisted growth. Nat Commun, 2014. 5: p. 4134.
Symposium Organizers
Theresa Mayer, Pennsylvania State University
Anlian Pan, Hunan University
Heike Riel, IBM Research Laboratory
Margit Zazharias, Albert Ludwig University of Feiburg
Symposium Support
Nanoscale
Oxford Instruments Plasma Technology
RAITH
LL12: Nanowires for Energy Harvesting and Sensing
Session Chairs
Heike Riel
Margit Zacharias
Wednesday PM, December 03, 2014
Hynes, Level 2, Room 206
2:30 AM - LL12.01
Quantitative Optical Absorption and Internal Quantum Efficiency Measurements on Single Nanowire Solar Cells
Erik C Garnett 1 Sander Mann 1 Beniamino Sciacca 1
1FOM institute AMOLF Amsterdam Netherlands
Show AbstractSemiconductor nanowires are among the most promising candidates for next generation high-efficiency photovoltaics. This is primarily due to their outstanding optical and electrical properties which provide large optical cross sections with high light concentration factors while simultaneously decoupling the photon absorption and charge carrier extraction length scales. These effects relax the requirements for both the minority carrier diffusion length and the amount of semiconductor necessary for the optimal utilization of the incident light power. Although many groups have measured both single nanowire and nanowire array solar cells, there still is no report of a direct and quantitative optical absorption measurement on a single nanowire. This stems primarily from the difficulties associated with adapting the conventional integrating sphere measurement geometry to a configuration compatible with diffraction-limited resolution. Here we report for the first time such a setup. We start with absorption measurements on individual single-crystalline silicon nanowires and demonstrate quantitative agreement between the measured and simulated spectra for several different nanowire diameters, in both polarizations. With the measurement technique verified, we turn to a more complex core-shell silver-cuprous oxide nanowire system where the optical constants are less well-known and the shape is less ideally defined. Here we see some differences with the predicted absorption values, stemming mostly from the non-ideal geometry, which shows the importance of direct absorption measurements. Finally, by connecting individual nanowires with carrier-selective contacts, we can measure the solar cell photocurrent spectrum and extract the internal quantum efficiency (IQE). Since our system incorporates a piezoelectric scanning stage, we can monitor the IQE as a function of position along the nanowire length, providing valuable information about carrier recombination and extraction that so far has not been directly measured but only inferred from nanowire solar cells results. We see these direct measurements as a crucial step towards achieving ultra-high efficiency nanowire solar cells.
2:45 AM - LL12.02
Solution-Derived ZnO Homojunction Nanowire-Films for Enhanced Energy Conversion and Self-Powered Gesture Recognition
Ken Charles Pradel 1 Wenzhuo Wu 1 Yong Ding 1 Zhong Lin Wang 1 2
1Georgia Institute of Technology Atlanta USA2Chinese Academy of Science Beijing China
Show AbstractSince nanogenerators, small-scale devices capable of converting environmental stimulation into functional energy, were first developed in 2006, ZnO has served as the model system due to its ease of synthesis and good mechanical properties. One way to improve the device&’s electrical output is to form a p-n junction between naturally n-type ZnO, and a p-type polymer, such as P3HT. The free electrons in ZnO screen the piezoelectric polarization charges, which lowers the piezoelectric output. By forming a p-n junction, the free charges are depleted from ZnO, reducing the screening effect. There is interest in developing ZnO homojunctions, as this structure has better chemical stability and mechanical durability than the aforementioned heterojunctions. This goal has been a challenge as p-type ZnO is notoriously difficult to synthesize, and suffers from instability. It has been recently demonstrated that antimony can be used to make p-type ZnO through a solution based method, and has a stability of at least 18 months. Here we present a method to produce stacked ZnO p-n homojunction nanowire films with controllable architectures using a modified low temperature hydrothermal method. By systematically producing piezoelectric nanogenerators with different homojunction structures, we demonstrated up to 40 times enhancement in output voltage compared to a single layer device. The optimum device architecture was then grown onto a layer of flexible PDMS, which was attached to a human wrist where movement in the flexor tendons was converted to distinct electrical signals. We were able to correlate different hand gestures with their corresponding output, showing that this device has potential for powering nanodevices, bio-probes, and self-powered gesture recognition.
3:15 AM - LL12.04
Tip-Modulated Nanowire Structures as Tip-Localized Electrical and Optical Devices and Device Arrays
Ruixuan Gao 1 You-Shin No 1 2 Max N. Mankin 1 Robert W. Day 1 Hong-Gyu Park 2 Charles M. Lieber 1 3
1Harvard University Cambridge USA2Korea University Seoul Korea (the Republic of)3Harvard University Cambridge USA
Show AbstractThe ability to design and synthetically modulate material compositions, dopant profiles, and structures of semiconductor nanowires (NWs) in a rational manner has led to nanoscale elements with, for example, unique electrical and optical properties as well as exciting applications. Here, we report a novel structural motif, termed tip-modulated nanowires, in which the modulation of material and dopant is localized at the NW tip to encode a tip-localized device. First, rational bottom-up synthesis of tip-modulated p-type/n-type junctions will be described where the tip junction is connected to p-type NW core and isolated n-type NW shell. The results from SEM and TEM characterizations of the structure and compositions of representative tip-modulated nanowires will be presented to validate the overall synthetic approach. In addition, the electrical and optical properties of the tip-modulated nanowires have been investigated by configuring devices with electrically independent core and shell contacts. Selective area water-gate measurements show that a potentiometric sensor can be localized at the nanowire tip, and that the sensor has sufficient band-width to record fast action potentials. In addition, spatially-resolved scanning photocurrent measurements demonstrate that high-sensitivity p/n-diode photodetectors can also be localized at the nanowire tip. Finally, we present an alternative top-down strategy for wafer-scale synthesis and fabrication of vertical tip-modulated nanowire and nanowire device arrays. Our new tip-modulated nanowire structural motif, with the potential to encode in a rational/designed manner different types of dopants and materials at the tips of nanowires opens up new devices and tools for applications in the physical, chemical and biological sciences.
4:30 AM - LL12.05
Direct Label-Free Ultrasensitive Nanowire Based Biosensor for Early Phytopathogen Diagnosis
Prasana Sahoo 1 Richard Janissen 1 Antonio A.G.von Zuben 1 Helio T. Obata 1 Monica Alonso Cotta 1
1Universidade Estadual de Campinas Campinas Brazil
Show AbstractAccurate detection and quantification of biochemical species have tremendous interest in many areas of environmental science, healthcare and biomedical research, ranging from disease diagnosis to development of new drug molecules. Nanowires have emerged as one of the promising class of functional materials for their versatile roles, not only in high throughput optoelectronic devices but also in ultrasensitive, direct electrical detection of biological and chemical species. However, progress in successful implementation of nanowire biosensing devices are hindered by several challenges.
In the present study, we have developed a very simple and generic protocol for ultrasensitive in-situ label-free detection of different biomolecules using functionalized InP nanowires. Initially, the growth of uniform, single crystalline InP nanowires, and subsequent isolation of nanowire as stable suspension were optimized. An approach was made for the systematic assembly of InP nanowire in the form of a functional network. We have shown that nanowires can be assembled into parallel arrays of Au electrode with good control over the density and degree of alignment, by combining microfluidic channels with surface patterning. The nanowires were configured as field-effect-transistors by selective passivation of source and drain electrodes. Prior to the detection of biomolecules, the nanowire surfaces were covalently modified with specific bio-chemical receptors of interest. This functionalization step is very vital in order to achieve ultra low detection limits of the nanowire based biosensor with reliability. We are in the process of optimizing the bimolecular conjugation efficiency, limits of analyte concentration and range of detection limits using different biological systems, including DNA and proteins. There is a substantial change in interfacial resistance at the nano-bio interface. These changes are dependent on the target analyte concentration, and thus form the basis of the nanowire biosensor. This novel methodology for specific biomolecule detection is expected to outperform that of InP thin film counterparts, which were able to detect 40bp ssDNA down to 1pM concentration [A.L.D.Moreau et al., Bios.Bioelect. 36 (2012) 62]. We thus believe this approach to be robust, highly reliable and reproducible in nature.
4:45 AM - LL12.06
Self-Powered Solar Diode Gas Sensors
Alaaeldin Gad 1 2 Martin W. G. Hoffmann 1 3 2 Joan Daniel Prades 3 Francisco Hernandez-Ramirez 4 3 Raquel Fiz 5 Hao Shen 1 2 Sanjay Mathur 5 Andreas Waag 1 2
1Braunschweig University of Technology Braunschweig Germany2Laboratory for Emerging Nanometrology Braunschweig Germany3University of Barcelona Barcelona Spain4Catalonia Institute for Energy Research (IREC) Barcelona Spain5University of Cologne Cologne Germany
Show AbstractA novel sensing concept was developed; namely solar diode sensor (SDS), based on the integration and correlation of complementary functionalities originating from multiple junctions in a singular nanostructure to enable self-sustained gas sensors working without any external power sources except solar light. In this work, the gas sensing and solar energy harvesting abilities of metal oxide semiconductors were utilized to deliver a self-generated sensing signals. The fabricated sensors, based on CdS@n-ZnO/p-Si nanoelements, were capable of detecting oxidising and reducing gases with reproducible response at room temperature by solely using solar illumination. A new sensing mechanism (change of open circuit voltage, ΔVoc), in comparison to the well-known conductometric sensors (change of resistance, ΔR), was demonstrated and explained in terms of gas-material surface interactions and the subsequent changes in the doping level (ND) of metal oxides, which is manifested in the variation of Voc at the n-ZnO/p-Si heterojunction diode. Furthermore, the generality of the concept was demonstrated by extending the new sensing approach to other systems such as thin-film based heterojunctions and core-shell radial heterojunctions. The here reported gas sensors are promising candidates for the development of a new generation of commercially appealing, technically feasible and easy to use self-powered gas sensor nanodevices.
[1] M.W.G. Hoffmann, A. E. Gad, J. D. Prades, F. Hernandez-Ramirez, R. Fiz, H. Shen, S. Mathur, Nano Energy2013, 2, 514.
[2] A.E. Gad, M.W.G Hoffmann, F. Hernandez-Ramirez, J.D. Prades, H. Shen, S. Mathur, Mater. Chem. Phys.2012, 135, 618.
5:00 AM - LL12.07
Novel Gas Sensors Based on Germanium Nanowires
Jordi Sama 1 Sven Barth 2 Joan Daniel Prades 1 Martin Seifert 2 Olga Casals 1 Albert Romano-Rodriguez 1
1Universitat de Barcelona (UB) Barcelona Spain2Vienna Technical University Vienna Austria
Show AbstractGermanium (Ge), a classical semiconducting material, is sparsely used in electronics due to its low abundance at the earth crust. Ge finds some applications in photodetectors and, alloyed with Si, in high-speed bipolar transistors. However, recently, there has been a growing interest on Ge nanowires (NWs) because they show improved electrical and optical properties as compared to silicon.
NWs, due to their large surface to volume ratio, are very interesting material geometries for their introduction in applications where surface phenomena take place, as is the case of gas sensors. This justifies that in the last 15 years there has been a huge number of papers dealing with NWs of several different materials as efficient gas sensors. However there has been no report on Ge NWs employed as gas sensors, and only few papers show some gas sensitivity of bulk Ge.
Here we will present the synthesis of Ge nanowire based on the VLS method, the fabrication of chemoresistive gas sensors based on them and their gas response towards different gases. The Ge have been grown either on Si or alumina substrates or either on the top of bulk or suspended micromembranes, equipped with surface interdigitated electrodes and a buried heater. Similarly to other chemiresistors, the Ge NW surface reacts with the presence of gases, what causes a change in its resistance. The results have shown good response towards the presence of hundreds ppb&’s of NO2 and CO at low temperatures, below 1000C.
The behaviour of the Ge NW gas sensor will be presented and discussion about their sensing mechanism, which differs from that of Si or metal oxides, will be presented. For this, the fact that Ge NWs are covered by a non uniform and non stoichiometric GeOx layer will be of capital importance.
5:15 AM - LL12.08
A Stretchable UV-Visible-NIR Nanowire Photodetectors Array
Jewon Yoo 1 Sanghwa Jeong 2 Sungjee Kim 2 Jung Ho Je 1
1Pohang University of Science and Technology Pohang Korea (the Republic of)2Pohang University of Science and Technology Pohang Korea (the Republic of)
Show AbstractPhotodetectors with broad spectral response (ultraviolet (UV)-visible-near infrared (NIR)) are in critical demand for a variety of industrial and scientific applications such as image sensing, communications, environmental monitoring, day- and night-time remote surveillance, and chemical/biological sensing.[1] Recently, UV-visible-NIR nanowire photodetectors are emerging for potential applications of optical communications or optical interconnects in nanowire photonics.[2,3] However, the enhancement in the sensitivity and response time for the broad spectral range is still challenging, despite all the efforts to develop high performance UV-visible-NIR nanowire photodetectors.
In this study, we report the development of UV-visible-NIR nanowire photodetectors with very high sensitivity and fast response time, based on inorganic-organic hybrid material. Specifically, PbS quantum dot (QD)-poly(3-hexylthiopehene) P3HT hybrid nanowires are grown on Au-Al electrodes by the meniscus-guided method. [4] The hybrid nanowire photodetectors show high ON/OFF ratios for UV ( > 100) and visible ( > 300) lights and fast response times for UV ( < 1 s) light, significantly enhancing the sensitivity and response time by two orders of magnitude. The high enhancements are attributed to the charge dissociation and transportation between PbS QD and P3HT. We also demonstrate an unprecedented stretchable nanowire photodetector (UV-visible-NIR) array of PbS QD-P3HT nanoarchs that bridge Au-Al electrode embedded in PDMS. Remarkably, the photodetector array shows little change in photoresponse under extreme stretching (up to 100%) and repeated stretching (100 cycles). We believe that our hybrid nanowires open an exciting opportunity for the creation of stretchable nanowire photodetector with unusually wide spectral range that can contribute to advanced optical communications in flexible and stretchable photonics.
References
[1] X. Gong, et al., Science 325, 1665 (2009).
[2] Z. Wang, et al., Nano Lett. 12, 4715 (2012).
[3] G. Chen, et al., ACS Nano 8, 787 (2014).
[4] J. Yoo, et al., Nanoscale 6, 3557 (2014).
5:30 AM - LL12.09
Ferromagnetism in CuO Nanowires on the Top of CuO Nanograins
Jose Antonio Souza 1 Fabian Nima Ramirez 1 Alejandro Zuniga 1 Denise Criado 1
1Universidade Federal do ABC Santo Andramp;#233; Brazil
Show AbstractIn the past few years, a considerable effort has been devoted in order to grow magnetoelectric nanostructured materials. Besides fundamental science, they are very attractive in the electronic/spintronic industry as well as magnetic storage media, solar energy conversion due to their nanometer scale. It is believed that CuO is a magnetoelectric system where a ferroelectric order is induced by the onset of a magnetic coupling at low temperatures. Cupric oxide is a p-type semiconductor with narrow band gap energy. Semiconducting properties along with ferromagnetic ordering functionality have been attracted much attention. Within this context, we have produced CuO nanostructured samples by using electrical resistive heating method. CuO nanowires of 50-90 nm in diameter and length up to 1.2 mu;m grew homogeneously and straightly on a 170 nm layer of CuO nanograins which are on the top of a diamagnetic Cu2O layer. At low magnetic fields, the magnetization as a function of temperature resembles superparamagnetic behavior. However, a long range antiferromagnetic ordering is induced by a magnetic field of 5 kOe in the sample with homogeneous distribution of nanowires. A very strong ferromagnetic-like contribution displaying remanent magnetization and coercivity was found to coexist in the system. Our results reveal clear experimental evidence that ferromagnetic-like behavior shows up along with the presence of long range AFM ordering. The ferromagnetic-like behavior is observed below and above the induced TN, suggesting that this behavior is not dependent on the original magnetic state of the core, whether it is PM or AFM. The effective magnetic moment was estimated to be ~ 1x10-2 mu;B/f.u. producing a nearly temperature independent coercive field.
5:45 AM - LL12.10
Ultra-Sharp Metal-Insulator Phase Transition in Vanadium Dioxide Nanowire and Direct Observation of Clear Intermediate State across Its Structural Phase Transition
Ketaki Sarkar 1 Dmytro Ligomina 1 Zheng Yang 1
1University of Illinois at Chicago Chicago USA
Show AbstractVanadium dioxide materials have attracted great attention for both fundamental condensed matter physics study and functional device applications due to their ultrafast reversible metal-insulator phase transition (ref: Zheng Yang et al, Oxide electronics utilizing ultrafast metal-insulator transitions, Annual Review of Materials Research, 2011, 41, 337). A sharp phase transition (generally defined as the resistance change within a certain temperature range) in vanadium dioxide is favorable for either research direction. In high-crystallinity vanadium dioxide thin films, 1-2 and 2-3 orders of magnitude resistance change within 1 K and 10 K temperature ranges across the phase transition are commonly seen. In high-quality epitaxial vanadium dioxide thin films, up to 3 and 4 orders of magnitude resistance change within 1 K and 10 K temperature ranges across the phase transition have been observed.
In this presentation, we report the growth of the vanadium dioxide nanowires with resistance change >4 orders magnitude within ~1 K temperature range across the phase transition. This resistance change is at least one order of magnitude sharper than the best-quality vanadium dioxide thin films we are able to grow. The vanadium dioxide nanowires were grown in a home-built chemical vapor deposition system with optimized growth conditions. The electrodes were patterned on the nanowires using e-beam and photo-lithography lift-off process. The temperature-dependent resistance measurements were performed in a home-built transport measurement system with temperature range 15-500 K and resolution of 0.1 K. To the best of our knowledge, the demonstrated metal-insulator phase transition in these vanadium dioxide nanowires is the sharpest in all exiting reported values.
X-ray diffraction (with x-ray beam size down to hundreds of nanometers and rotation angle resolution of 0.01 degree) were performed on the as-grown vanadium dioxide nanowires at low-temperature insulating phase, high-temperature metallic phase, and the temperature regime across the phase transition. Attribute to the achieved ultra-sharp metal-insulator phase transition in the vanadium dioxide nanowires and high-resolution of the x-ray diffraction system, two clearly distinct peaks were observed at high-temperature and low-temperature phases, respectively. Furthermore, an intermediate state with representing peaks for both monoclinic and rutile structures was observed simultaneously. As a comparison, similar experiments were performed on the best-quality vanadium dioxide thin films we are able to grow. No intermediate states but only peak shifts were observed across the phase transition in the films. The direct observation of a clear intermediate state with mixture of both structural phases in vanadium dioxide across its phase transition is like to pave a more feasible way to further clarify the phase transition mechanism in this classical material, which have been controversial for several decades.
LL10: Nitride and Oxide Nanowires
Session Chairs
Wednesday AM, December 03, 2014
Hynes, Level 2, Room 206
9:00 AM - LL10.01
Temporal Dynamics of Nanowire Based Lasers
Robert Roeder 1 Themistoklis Sidiropoulos 2 Rupert F. Oulton 2 Carsten Ronning 1
1University of Jena Jena Germany2Imperial College London United Kingdom
Show AbstractReinforced work in the field of nanophotonics for on-chip integrated optical components is urgent to provide novel approaches in circumventing the forthcoming limitations of conventional electronic circuits by optical data processing. Compound semiconductor nanowires (NW) consisting of gallium nitride (GaN), zinc oxide (ZnO) or cadmium sulfide (CdS) show beneficial Fabry-Pérot resonator and efficient waveguide properties in order to allow room temperature lasing. Thus, they are considered as promising nanoscale light source generating highly localized monochromatic light in the ultraviolet and green spectral region as they form the smallest possible photonic laser systems. However, light-matter interactions in photonic NW lasers are inherently slow as the wavelength difference of optical and electronic states is rather large. Surface plasmon polariton lasers exploiting semiconductor NWs as gain material have therefore generated significant interest, since the optical mode is strongly confined compared to the vacuum wavelength influencing spontaneous and amplified spontaneous recombination through the Purcell effect. The investigated plasmonic lasers consist of single semiconductor NW on a spacer layer over a silver (Ag) substrate and operate at room temperature. To access the laser dynamics of photonic and plasmonic NW lasers a novel double-pump technique is used, which takes advantage of the non-linearity of the NW based laser, revealing information about the time taken for lasing to establish - an onset time as well as an estimation of the laser pulse width.
LL13: Poster Session II: Semiconductor Nanowires - Growth, Physics, Devices and Applications
Session Chairs
Theresa Mayer
Anlian Pan
Heike Riel
Margit Zacharias
Wednesday PM, December 03, 2014
Hynes, Level 1, Hall B
9:00 AM - LL13.01
Anisotropic Single-Crystal gamma;-MnS Nanoribbons towards More Effective Visible Light Emitters
Juan Beltran-Huarac 1 Oscar Resto 1 Jingzhou Wang 2 Wojciech Jadwisienczak 2 Brad R Weiner 3 Gerardo Morell 1
1University of Puerto Rico San Juan USA2Ohio University Athens USA3University of Puerto Rico San Juan USA
Show AbstractWe have investigated the fabrication of single-crystal wurtzite-structured manganese sulfide nanoribbons (γ-MnS) with anisotropic morphology (termed as nanosaws) via chemical vapor deposition. Our bottom-up approach can be extended to different types of binary chalcogenide-based one-dimensional building blocks. Advanced spectroscopy and electron microscopy techniques were applied to reveal the structure, size, morphology and composition of these nanostructures. Our studies indicate that the self-assembled nanosaws are of high crystalline quality and purity, exhibit high aspect ratio (above ~1700), and have extrusive teeth with average tip size of approximately a couple of atoms. Statistical analyses of individual nanosaws show that they are structurally stable and do not present divergence in surface energy. The formation of the backsaw is governed by a vapor-liquid-solid growth mechanism, whereas the teeth are a result of a self-catalyzed growth process induced by the Mn-terminated (0001) surface. Temperature-dependent optical studies point out that γ-MnS nanosaws exhibit a predominant yellowish-orange emission band peaking at ~578 nm, which is most likely ascribed to the optically radiative recombination of electrons in shallow traps of surface localized states and photogenerated holes caused by stacking faults found in the nanosaws tips. Using a thermally activated carrier-transfer model, we have determined that the donor level is located ~40 meV under the conduction band edge, which correlates well with the increased surface-to-volume ratio present in the nanosaws. Moreover, it was found that long decay time component of these nanostructures are significantly reduced to ~44 mu;s, when compared to the bulk value of MnS, signifying that their performance as visible light emitters can be substantially enhanced. This efficient miniaturization strategy represents a step ahead to provide new Mn-based materials for advanced applications in optoelectronics, and adds a new member to the family of saw-like wurtzite-structured nanoribbons, such as CdSe and ZnS.
9:00 AM - LL13.02
Core-Shell gamma;-MnS/C Nanowires: Synthesis, Characterization and Applications
Juan Beltran-Huarac 1 Oscar Resto 1 Jennifer Carpena-Nunez 1 Wojciech M Jadwisienczak 2 Luis F Fonseca 1 Brad R Weiner 3 Gerardo Morell 1 Jabril Vilmenay 1
1University of Puerto Rico San Juan USA2Ohio University Athens USA3University of Puerto Rico San Juan USA
Show AbstractWe report for the first time the fabrication of single-crystal metastable manganese sulfide nanowires (γ-MnS NWs) conformally coated with graphitic carbon via chemical vapor deposition technique using a single-step route. Advanced spectroscopy and electron microscopy techniques were applied to elucidate the composition and structure of these NWs at the nanoscale, including: Raman, XRD, SEM, HRTEM, EELS, EDS, and SAED. No evidence of α#8209;MnS and β-MnS allotropes was found. The γ-MnS/C NWs have hexagonal cross-section and high aspect ratio (~1000) on a large scale. The mechanical properties of individual γ#8209;MnS/C NWs were examined via in situ uniaxial compression tests in a TEM-AFM. The results show that γ-MnS/C NWs are brittle with a Young&’s modulus of 64.78 GPa. The growth mechanism proposed suggests that the bottom-up fabrication of γ-MnS/C NWs is governed by vapor-liquid-solid mechanism catalyzed by bimetallic Au-Ni nanoparticles. The electrochemical performance of γ-MnS/C NWs as an anode material in lithium-ion batteries indicates that they outperform the cycling stability of stable micro-sized α-MnS, with an initial capacity of 1036 mAhg-1 and a reversible capacity exceeding 503 mAhg-1 after 25 cycles. This research advances the integration of carbon materials and metal sulfide nanostructures, bringing forth new avenues for potential miniaturization strategies to fabricate 1D core/shell heterostructures with intriguing bifunctional properties that can be used as building blocks in nanodevices.
9:00 AM - LL13.03
Tunneling Magnetoresistance in Si Nanowires
Enrique Montes 1 Ivan Rungger 2 Stefano Sanvito 2 Udo Schwingenschloegl 1
1King Abdullah University of Science and Technology Jeddah Saudi Arabia2Trinity College Dublin Ireland
Show AbstractMagnetic tunneling junctions are basic elements in many sensors and data storage systems. They consist of ferromagnetic electrodes separated by a thin tunnel barrier. When the magnetization of the electrodes changes from antiparallel to parallel the resistance of the junction can drop, which results in tunneling magnetoresistance, TMR=[IP-IAP]/IAP, where IP (IAP) corresponds to the parallel (antiparallel) value of the current through the junction.
Anomalous TMR was proposed for semiconductor nanowires as the quantum confinement reduces the spin scattering. Silicon nanowires are interesting due to their compatibility with the mature silicon technology and have been adapted in a variety of nanoscale devices (transistors, photon detectors, solar cells). The ability to control the diameter and length can be useful in spintronics. We study the transport through H-passivated silicon nanowires between iron electrodes. We compare the TMR for different growth directions and doping in the nanowire.
9:00 AM - LL13.04
Structural and Tunneling Properties of Si Nanowires
Enrique Montes 1 Ivan Rungger 2 Stefano Sanvito 2 Udo Schwingenschloegl 1
1King Abdullah University of Science and Technology Jeddah Saudi Arabia2Trinity College Dublin Ireland
Show AbstractNanowires are believed to be important building blocks for the next generation of electronic devices. In particular, silicon nanowires are attractive candidates due to their compatibility with the existing silicon technology. The electronic properties of silicon nanowires (in particular the gap) can be modified by varying their diameter or by passivating the surface with different chemical species. Theoretical studies have shown that the electronic structure depends on the growth direction and the diameter. The band gap is strongly anisotropic and follows the order Δ<100>>Δ <111>>Δ<110> for the same diameter. In contrast to bulk-silicon, silicon nanowires have a direct gap, which is useful for photonics applications.
The electronic propertie of nanowires with different diameters are modeled with density functional theory employing the SIESTA package. Electron transport calculations are performed using the non-equilibrium Green's function approach as implemented in the SMEAGOL package, which is interfaced with SIESTA. We adopt different configurations: First we consider nanowire leads and then investigate the transport for metallic leads (Au<111> electrodes). Having relaxed the structure of the nanowire in contact with the metallic electrodes, we systematically study the dependence of the transport properties on the diameter, the growth direction, and the length. For the tunneling regime we investigate the decay of the conductance and rationalize the results using complex band structures.
9:00 AM - LL13.05
Real Time Imaging Of the Electron Transport in Metal Oxide Nanowire Sensor Probed in Operando Mode and Realistic Operation Conditions
Andrei Kolmakov 1 Ana Stevanovic 1
1NIST Gathersburg USA
Show AbstractThe interplay between the electron transport and chemical status of the surface of working metal oxide nanodevices can be affected by the surface redox processes as well as local electroactive inhomogeneities (defects) and Schottky contacts. Ambient pressure electron microscopy in conjuncton with EBIC mode was used in this work to address in situ imaging of a model SnO2 nanowire device as a function of gas environment and temperature under realistic operating conditions. In addition, using scanning photoelectron and Auger microscopy with the lateral resolution of ca 80 nm we are able to access spectroscopically the fine changes of surface chemistry of such a nanodevice during their operation. It is possible to monitor the changes in nanodevice conductance in operando mode upon redox gas exposure and correlate them to the formation of the specific surface groups
9:00 AM - LL13.06
Simultaneous Thermoelectric and Hall Measurements of Semiconducting Iron Pyrite (FeS2) Nanorods
Ankit Pokhrel 1 Dong Liang 1 Song Jin 1
1University of Wisconsin-Madison Madison USA
Show AbstractWe report a new technique that allows the direct measurement of carrier concentration, mobility and thermoelectric properties of a single nanowire (NW) and nanorod (NR). We have previously reported that iron pyrite (FeS2) NRs can be grown via thermal sulfudation of FeBr2 or FeCl2 powder and have shown that carrier concentration and mobility of these NRs can be directly measured via Hall Effect measurements. Iron pyrite is an earth-abundant semiconductor that is promising for solar energy conversion. Moreover, pyrite is also an interesting thermoelectric (TE) material with a Seebeck Coefficient of ~120 uV/K at room temperature, but the TE properties of FeS2 NRs have not been studied. In this work, we employ electron beam lithography to fabricate devices using FeS2 NRs that can allow the simultaneously measurements of the carrier concentration, mobility and thermoelectric properties of these NRs. We report these properties over a wide temperature range to further gain insights on the thermoelectric performance and complex semiconductor properties of these nanostructures at different temperatures.
9:00 AM - LL13.07
Facile Growth of Functional Perovskite Oxide Nanowire Arrays by Hybrid Physical-Chemical Techniques
Corisa Kons 1 Anuja Datta 1
1Florida Cluster for Advanced Smart Sensor Technologies amp; Department of Physics Tampa USA
Show AbstractPerovskite oxides are important class of materials possessing high dielectric and piezoelectric coefficients, switchable ferroelectric polarization, large nonlinear optical coefficients and interesting electrical properties. These properties may be exploited in applications such as nonvolatile memory devices, thermistors, multilayer capacitors, dynamic random access memories and as cathode electron sources. Since nanostructuring results in an enhancement of the surface area of materials, properties related to surface charge effect such as ferroelectricity, piezoelectricity and field emissivity show multiple order enhancement as compared to that in the bulk. Comparatively little work has been done on the fabrication of ternary perovskite oxide nanowires on industrially viable substrates such as Si and ITO. We developed a generalized novel and facile hybrid physical-chemical approach for growing size and shape selective nanowires of Pb-based Pb(Zr0.52Ti0.48)O3 (PZT) as well as Pb-free ZnSnO3 on large-area substrates. [1,2] The approaches involve depositing nano-seed layers (50 - 100 nm) of the desired materials (Ti for PZT and ZnO for ZnSnO3) by pulsed laser deposition/RF sputtering/electroplating techniques followed by oriented growth of nanowire arrays of these materials by solvothermal processes by varying solvent compositions and ratios. Similar crystal symmetry between the seed-layers facilitated the growth of well-aligned nanowire arrays of the targeted materials homogeneously on the substrates with a high packing density. Improved tunability of the nanowires aspect ratio were examined by XRD, SEM, AFM, TEM and Raman spectroscopy. Detailed measurements of the electronic (field-emission), electrical and ferroelectric properties of the prototype devices are performed and discussed in terms of understanding their potential for future memory device and field emitter applications. The facile, low-cost method for fabricating high quality nanowires may expand the outreach of probes for understanding the structure-property relations in perovskite nanostructures.
[1] A. Datta, D. Mukherjee, M. Hordagoda, S. Witanachchi, P. Mukherjee, R. V. Kashid, M. A. More, D. S. Joag, P. G. Chavan, ACS Appl. Mater. Interfaces 5, 6261 (2013).
[2] A. Datta, D. Mukherjee, C. Kons, S. Witanachchi, P. Mukherjee, Small (2014) (accepted).
9:00 AM - LL13.08
Chemically Functionalized Semiconductor-Polymer Interfaces for Mechanically Robust Solar Energy Conversion Devices
Betar M Gallant 2 X Wendy Gu 1 Julia R Greer 1 Nathan S Lewis 2
1California Institute of Technology Pasadena USA2California Institute of Technology Pasadena USA
Show AbstractThe need for lightweight, low cost, flexible, and mechanically robust solar energy conversion devices increasingly motivates development of integrated semiconductor-polymer systems. One promising design for flexible photovoltaic or solar water-splitting devices employs arrays of Si nano- or microwires embedded in a polymer matrix, with the polymer acting as a physical support, an electronic contact, and/or a fuel separating membrane. In these applications, the strength of the Si-polymer interface is critical for maintaining high junction quality and good electronic and physical contact over the device lifetime. Improved understanding of the combined chemical and nanomechanical properties of Si wire-polymer interfaces, and development of methods to tailor them by chemical functionalization, is a promising route to enable robust and versatile device design. Previously, we demonstrated that single-wire in-situ mechanical pull-out tests can be used to measure interfacial shear strength between Si wires with systematically varied surface functionalities and a polydimethylsiloxane (PDMS) matrix. The interfacial shear stress was found to correlate with the chemical bond strength resulting from covalent (-H, mixed -CH3/butenyl) or van der Waals (-CH3, octadecyl) bonds with PDMS. This revealed that macroscopic mechanical properties of the Si/polymer composite can be tailored by tuning Si surface chemistry.
This work reports improved understanding for design of robust functionalized Si wire-polymer interfaces with ionomers relevant to photoelectrochemical applications. Si microwire arrays were functionalized to be electrostatically charged (-COO- and NH3+ - terminated) to target directed bonding with ionic sites in the proton-conducting membrane Nafion, and functionalized microwire arrays were embedded into the Nafion membrane by spin casting. Specimens for single-wire pull-out tests were prepared using a focused ion beam (FIB) to carve a “dog-bone” shape into the tops of wires, which were then manipulated by a diamond grip attached to a nanomechanical testing arm within an in-situ SEM setup. Wire pull-out (tensile) tests were used to measure the maximum interfacial shear strength at the Si microwire-polymer interface, and the strength of electrostatic (attractive and repulsive) interactions assembled under a range of pH and solvent conditions was measured. SiOx, Si-H and Si-CH3 surface interaction strengths with Nafion were also studied and compared with prior results in PDMS.
9:00 AM - LL13.09
Vertically-Aligned Crystalline Silicon Nanowires with Controlled Diameters for Energy Conversion Applications: Experimental and Theoretical Insights
Nageh K. Allam 1 Sara Abdel Razek 1 Mohamed A Swillam 1
1American University in Cairo New Cairo Egypt
Show AbstractVertically orientated single crystalline silicon nanowire (SiNW) arrays with controlled diameters are fabricated via a metal-assisted chemical etching (MACE) method. The diameter of the fabricated nanowires is controlled by simply varying the etching time in HF/H2O2 electrolytes. The fabricated SiNWs have diameters ranging from 117 to 650 nm and lengths from 8 to 18 µm. The optical measurements showed a significant difference in the reflectance/absorption of the SiNWs with different diameters, where the reflectance increases with increasing the diameter of the SiNWs. The SiNWs showed significant photoluminescence (PL) emission spectra with peaks lying between 380 and 670 nm. The PL intensity increases as the diameter increases and shows red shift for peaks at ~670 nm. The increase or decrease of reflectivity is coincident with PL intensity at wavelength ~ 660 nm. The x-ray diffraction (XRD) patterns confirm the high crystallinity of the fabricated SiNWs. In addition, the Raman spectra showed a shift in the first order transverse (1TO) band toward lower frequencies compared to that usually seen for c-Si. Finite difference time domain simulations (FDTD) have been performed to confirm the effect of change of diameter on the optical properties of the nanowires. The simulation results showed good agreement with the experimental results for the SiNWs of different diameters.
9:00 AM - LL13.10
Sub-Nanometer Interface Engineering of Photoanodes Using Atomic Layer Deposited ZnO for Dye-Sensitized Solar Cells
Turkan Gamze Ulusoy 1 Amir Ghobadi 1 3 Ali Kemal Okyay 1 2
1Bilkent University Ankara Turkey2Bilkent University Ankara Turkey3Bilkent University Ankara Turkey
Show AbstractWith ever-increasing demand for renewable and clean energy in recent years, dye-sensitized solar cells (DSSCs)[1] have attracted much attention as a viable photovoltaic (PV) technology that could compete with Si based PV[2] thanks to its ease of fabrication and low manufacturing costs.[3,4] In order to increase the efficiency of DSSCs, considerable efforts have been focused on the optimization of all of the components of DSSCs including photoanode, sensitizer dye, hole transport layer and counter electrode. To further boost the efficiency of DSSCs, surface engineering at the photoanode-dye interface is of vital importance. Recently, researchers have proved that electron transfer rate could be systematically tailored proposing ultrathin tunneling layers by using a variety of coating methods. One of these techniques, atomic layer deposition (ALD) technique, a self-limiting growth process, offers uniform and conformal coating of non-line-of-sight surfaces including high aspect ratio features (like dense micrometer long NWs as in our case). ALD technique, therefore, ensures homogeneous coating of a pinhole-free angstrom-thick metal-oxide layer through the whole substrate surface.[5,6]
Here we utilize angstrom-thick atomic layer deposited (ALD) ZnO shell on hydrothermally grown TiO2 nanowires (NWs) can improve dye-sensitized solar cells (DSSCs) device performance significantly. It is shown that a composite photoanode formed by an optimized thickness of 2 cycles ZnO shell wrapping around TiO2 NWs can increase efficiency about 3-fold. However, thicker layers impede injection rate of electrons and reduce the efficiency of the device through capturing the photo generated dye electrons by ZnO quantum well. Our results indicate that this improvement in photovoltaic (PV) performance of the DSSC device is related to reducing surface trap states on TiO2 NWs as well as increasing dye uptake without hampering electron injection efficiency. The mechanisms contributing to this change in PV performance of the DSSC have been scrutinized and correlated with enhancement in the device efficiency. The detailed morphological, structural and photovoltaic characterization (TEM, SEM, XPS, XRD, Dye Desorption, J-V, PL, TRPL, IPCE) were performed. As a result, this ultrathin interfacial layer of ZnO can contribute in device performance enhancement and it considered as a good candidate for future enhanced devices including photovoltaics and photocatalysis.
References
[1] O&’Regan Brian, M. Gratzel, Nature 1991, 353, 737.
[2] A. J. Nozik, J. Miller, Chem. Rev. 2010, 110, 6443.
[3] M. Grätzel, J. Photochem. Photobiol. C Photochem. Rev. 2003, 4, 145.
[4] N. Arrays, P. Chen, M. Takata, S. Uchida, H. Miura, K. Sumioka, S. M. Zakeeruddin, M. Gra, 2008, 2, 1113.
[5] M. J. Katz, M. J. D. Vermeer, O. K. Farha, M. J. Pellin, and J. T. Hupp, 2013.
[6] T. C. Li, S. Go, F. Fabregat-santiago, J. Bisquert, P. R. Bueno, C. Prasittichai, J. T. Hupp, and T. J. Marks, 2009, 14, 18385-18390.
9:00 AM - LL13.11
Highly Selective Hybrid Gas Sensors: Novel Approaches and Future Developments
Martin W. G. Hoffmann 1 3 4 Leonhard Mayrhofer 2 Olga Casals 3 Alaaeldin Gad 1 4 Francisco Hernandez-Ramirez 5 3 Tommi T. Jaervi 2 Michael Moseler 2 Andreas Waag 1 4 Hao Shen 1 4 Joan Daniel Prades 3
1Braunschweig University of Technology Braunschweig Germany2Fraunhofer Institute for Mechanics of Materials Freiburg Germany3University of Barcelona Barcelona Spain4Laboratory for Emerging Nanometrology Braunschweig Germany5Catalonia Institute for Energy Research (IREC) Barcelona Spain
Show AbstractOrganic-inorganic hybrid gas sensors can offer outstanding performance in terms of selectivity and sensitivity towards single gas species. The enormous variety of organic functionalities enables novel flexibility of active sensor surfaces compared to commonly used pure inorganic materials, but goes along with an increase of system complexity that usually hinders a predictable sensor design. In this work, an ultra-selective NO2 sensor was realized based on self-assembled monolayer (SAM)-modified semiconductor nanowires (NWs). A sensitivity of up to 225.000% could be reached for NO2 concentrations as low as 250 ppb as well as quantitative detection with changing gas concentrations, whereas only minor or no response occurred in presence of possible interfering gas species. The crucial chemical and electronic parameters for an effective interaction between the sensor and different gas species are identified using density functional theory simulations. The theoretical findings were consistent with the experimentally observed extraordinary selectivity and sensitivity of the amine-terminated SnO2 NW towards NO2. The energetic position of the SAM-gas frontier orbitals with respect to the NW Fermi level was identified to be crucial parameters to ensure or impede an efficient charge transfer between the NW and the gas. As this condition strongly depends on the gas species and the sensor system, these insights into the charge transfer mechanisms can have a substantial impact on the development of highly selective hybrid gas sensors.
In order to realize self-powered and selective sensing operations, the hybrid SAM-NW sensing component was integrated into a micro fabricated device with 9, 16 or 26 p-Si/n-ZnO diodes connected in series. The SAM modified n-ZnO acted as active sensing material and the p-Si/n-ZnO diodes created a self generated sensor signal (Voc) under solar illumination. Selective and quantitative NO2 detection for concentrations within the ppb-level could be reached without the need of external energy supply by these devices, illustrating the potential of this novel sensor concept.
[1] M. W. G. Hoffmann, J. D. Prades, L. Mayrhofer, F. Hernandez-Ramirez, T. T. Järvi, M. Moseler, A. Waag, H. Shen, Adv. Funct. Mater.2014, 24, 595.
[2] M. W. G. Hoffmann, A. E. Gad, J. D. Prades, F. Hernandez-Ramirez, R. Fiz, H. Shen, S. Mathur, Nano Energy2013, 2, 514.
9:00 AM - LL13.12
Fundamental Design Rule for Nanowire Alignment on Water Favorable Pattern
Yong He 1 Kazuki Nagashima 1 Masaki Kanai 1 Gang Meng 1 Fuwei Zhuge 1 Sakon Rahong 1 Xiaomin Li 2 Tomoji Kawai 1 Takeshi Yanagida 1
1The Institute of Scientific and Industrial Research (ISIR), Osaka University Osaka Japan2Shanghai Institute of Ceramics, Chinese Academy of Sciences Shanghai China
Show AbstractSingle crystalline nanowires have recently attracted much attention as building blocks for future nano-electronics, photonics and sensors since they are applicable to diverse substrate and their properties can be output without interference of grain boundary. To integrate the nanowires on target substrate, the precise alignment of solution suspended nanowires at desired location is inevitable issue. Although various methods have been demonstrated to align nanowires, a fundamental knowledge as to the precise nanowires alignment is not comprehensive, which had limited designing the nanowire alignment at nanometer scale.
Here we demonstrate the design rule for nanowire alignment on water favorable surface area in terms of the pattern size and the nanowire diameter. The water/oil double phase printing process, where the nanowires can be stabilized at the water/oil interface, was employed. The water is selectively deposited on the substrate surface by employing the hydrophilic -hydrophobic pattern and the nanowire suspended oil (1,4-dichlorobutane) were then printed to construct the water/oil interface. We found that the lower limit of pattern size exists for high alignment probability and such size limit strongly correlates with the nanowire diameter. Since the nanowire gains the free energy at precise water/oil interface, the water height limits the free energy gain, which critically affected the nanowire alignment probability. We confirmed that the alignment probability decreased with decreasing the water height. Consequently, we successfully demonstrated the sequential alignment of different sized nanowires based on above design rule. Thus the fundamental design rule found in this study allows us to align the various size scaled nanowires and therefore greatly opens up the opportunities to investigate the nanowire based device applications.
9:00 AM - LL13.13
One-Step Electrochemical Synthesis of Gas-Solid Transformation, Surfactant-Free Tellurium Nanowires, Optical Properties and Applications
Hung Wei Tsai 1 Tsung-Cheng Chan 1 Chun-Chieh Wang 1 Wei-Ting Liu 1 Chien-Neng Liao 1 Shih-Yuan Lu 1 Lih-Juann Chen 1 Yu-Lun Chueh 1
1National Tsing Hua University Hsinchu Taiwan
Show AbstractOne-dimensional (1D) nanostructured materials with high surface area to volume ratio, such like nanowires, nanobelts, and nanotubes, reveal different physical and chemical properties from bulk materials and have been demonstrated in various applications including field emission, lasers, interconnection in electronics, photovoltaics, resistive random-access memory (RRAM), and kinds of sensors.
Elemental tellurium is a narrow bandgap semiconductor with a direct bandgap energy of 0.33 eV, and the spiral chains of bonded atoms in its crystal structure result in the highly anisotropic growth tendency. Crystalline tellurium nanowires exhibit extraordinary electrical, fluorescent, piezoelectrical properties and show potential applications in field emitters, supercapacitor, image labels, piezoelectric energy harvesters. Here, we propose a gas-solid transformation mechanism to synthesize surfactant-free tellurium nanowires with average diameter under 20 nm at room temperature by one-step electrochemical method. The tellurium nanowires grow along the [001] direction due to the unique spiral chains in crystal structure and show an enhanced Raman scattering effect, a broad absorption band over the range of 400-700 nm and an emission band over the range of 450-630 nm in photoluminescence spectrum. Besides, the tellurium nanowires are directly applied as p-type dopant to dope graphene and cause a right shift of Dirac point in graphene field-effect transistor. Finally, we utilize the tellurium nanowires as a supercapacitor electrode and a specific capacitance of 24 Fg-1.
9:00 AM - LL13.14
Ga2O3/SnO2 Heterostructures in Crossed Nanowires and Core-Shell Architectures
Inaki Lopez 1 Emilio Nogales 1 Bianchi Mendez 1 Javier Piqueras 1 Gema Martinez-Criado 2 Jaime Segura-Ruiz 2 Manh-Hung Chu 2 Remi Tucoulou 2
1Universidad Complutense de Madrid Madrid Spain2European Synchrotron Radiation Facility Grenoble France
Show AbstractThere is great interest on fabrication of complex structures based on oxide semiconductors due to their excellent physical and chemical properties, with applications in fields such as electronics, optoelectronics, photonics or gas sensing. In particular, crossed nanowires are the basis for nanodevices which would allow for high density integration. On the other hand, core-shell elongated structures are also of high interest for devices to be used for photovoltaics, high mobility transistors or LED&’s.
In this work, intentionally grown crossed nanowires and core-shell rods which form Ga2O3/SnO2 heterostructures have been fabricated by a thermal evaporation-deposition treatment. Local characterization using both electron and synchrotron beam nanoprobes, as well as optical beam microprobe, of the morphological, structural, compositional and optical properties of the two architectures has been carried out. It is concluded that the nanowires are formed by monoclinic gallium oxide and tin oxide with rutile structure. Their intersections result in localized (crossed NWs) or longitudinal (core-shell) heterostructures. The core-shell structures are formed by a β-Ga2O3 core and SnO2 shell. Some of them also present lateral SnO2 branches. A detailed analysis of the vicinity of the interface between the crossed nanowires shows a very high structural quality, explained by the fact that they are formed by the lateral growth of the SnO2 nanowires on the surface of the Ga2O3 nanowires. Light emission, as well as waveguiding behavior, in the UV-visible range of the branched structures has been shown by cathodoluminescence and optical beam confocal microscopy studies respectively.
9:00 AM - LL13.15
Visible Light Induced Photocatalytic Activity of Fe3+/Ti3+ Co-Doped TiO2 Nanostructures
Bo Chen 1 Jeremy A Beach 2 Robert B Moore 2 Shashank Priya 1
1Virginia Tech Blacksburg USA2Virginia Tech Blacksburg USA
Show AbstractDue to its favorable band-edge positions, high chemical stability, excellent photocorrosion resistance, nontoxicity, and low cost, TiO2 has attracted broad interest as one of the most promising photocatalysts for use in solar water splitting and degradation of organic pollutants. However, TiO2 exhibits a large band gap (3.2 eV for anatase and brookite, 3.0 eV for rutile) and can only be activated by UV light irradiation, which occupies only a small fraction of the total incident sunlight (3-5%). Therefore, significant efforts have to be made to extend the working spectrum of TiO2 photocatalysis activity into the visible light region, which accounts for 43% of the total incident sunlight. One of major problem for nanoparticular TiO2 is large recombination of photo-excited electron-hole pairs at particle interface. TiO2 nanowires and nanotubes provide one-dimensional electron transport pathways for efficient charge transfer, which reduces the recombination of photo-excited electron-hole pairs and increases the photocatalytic performance. However, the one-dimensional TiO2 nanostructures exhibit a low surface area which leads to inefficient active interface with the electrolyte for charge transfer to occur. Therefore, fabrication of novel one-dimensional TiO2 nanostructures with a high surface area is essential for further increasing the photocatalytic performance.
In this study, we fabricated high surface area TiO2 nanostructures with nanowire-in-nanotube architectures by hydrothermal treatment of anodic TiO2 nanotubes. The mechanism for this morphological evolution was understood by dissolution-precipitation of [TiO6]2- octahedra. After that, we introduced self-doped Ti3+ into hierarchical TiO2 nanostructures by sintering under vacuum condition created black TiO2 nanostructures. Due to reduced band gap, increased donor density, and enlarged active interface, the black wire-in-tube TiO2 nanostructures demonstrated efficient photocatalytic performance under both full spectrum and visible light illumination. Moreover, TiO2 nanostructures with Fe3+/Ti3+ co-doping were synthesized through annealing Fe-deposited TiO2 nanostructures in vacuum. The Fe3+/Ti3+ co-doped TiO2 samples displayed a black colour and further enhanced photocatalytic performance under visible light illumination. Fe3+ doping blue-shifed the valence band edge of TiO2 by 1.0 eV, which allowed visible light absorption. Annealing in vacuum produced self-doped Ti3+ in the bulk, and the synergistic effects between Ti3+ and Fe3+ dopants in black-Fe-TiO2 samples significantly narrowed the band gap, leading to efficient photocatalytic performance in the visible light range. XPS and EPR spectra confirmed the presence of Ti3+ in the bulk and Fe3+ dopant.
9:00 AM - LL13.16
Synthesis of Hollow Semiconductor Nanostructures by the Kirkendall Effect
Yeonguk Son 1 Yoonkook Son 1 Jaephil Cho 1
1UNIST Ulsan Korea (the Republic of)
Show AbstractThe Kirkendall effect is the noble method for preparing hollow metallic nanostructure without any template. This effect caused by different diffusivities of atoms in adjacent two phases, that is, the fast atoms in inner layer diffuse via the slow atoms in outer layer. During diffusion process, supersaturation of lattice vacancies develops into interior pore which is inner part of final hollow structure. This strategy has been widely used for formation of hollow metal oxide or sulfide. However, there is little attention of the Kirkendall effect in semiconductor materials. Although a few trials are reported, the diffusion mechanism in semiconductor has remained elusive. In this study, we will synthesize silicon and germanium hollow structures by semiconductor atom diffusion via Kirkendall effect and investigate the diffusion mechanism in semiconductor materials by computational simulation.
Hollow semiconductor nanostructures are of great interest in lithium ion battery (LIB) anode application because the pores are believed to provide space for volume expansion of semiconductor anode. The volume expansion of semiconductor anode is very crucial problem in spite of its large theoretical capacities (4200 mAh/g for silicon, 1600 mAh/g for germanium). Silicon nanotube anode has been prepared by Park et al. using reductive decomposition of a silicon precursor in an alumina template and etching. Wu et al. also reported (double walled) silicon nanotube anode synthesized by an electrospun nanofibre template and CVD method. Previous papers have revealed the superior electrochemical performance of silicon nanotube anode compared with other morphology silicon anode. However, the synthetic methods are complex (multiple steps) and not suitable for scale-up.
In this study, we will show that silicon nanowires are easily transformed into nanotubes. Likewise silicon nanoparticle and germanium nanowire are also easily transformed into hollow nanoparticle and nanotubes respectively via the Kirkendall effect. The depth of diffusion layer that is internal empty space can be controlled by increasing the reaction time. We will also show that application in LIB anodes of these hollow semiconductor nanostructures is fascinating for future anode materials.
Reference:
Fan, H. J., Gosele, U., & Zacharias, M. (2007). Formation of nanotubes and hollow nanoparticles based on Kirkendall and diffusion processes: a review. Small, 3(10), 1660-1671. doi: 10.1002/smll.200700382
Park, M. H., Cho, Y., Kim, K., Kim, J., Liu, M., & Cho, J. (2011). Germanium nanotubes prepared by using the Kirkendall effect as anodes for high-rate lithium batteries. Angew Chem Int Ed Engl, 50(41), 9647-9650. doi: 10.1002/anie.201103062
Wu, H., Chan, G., Choi, J. W., Ryu, I., Yao, Y., McDowell, M. T., . . . Cui, Y. (2012). Stable cycling of double-walled silicon nanotube battery anodes through solid-electrolyte interphase control. Nat Nanotechnol, 7(5), 310-315. doi: 10.1038/nnano.2012.35
9:00 AM - LL13.17
Piezoresistive Effect in MoO3 Nanobelts and Its Application for Strain-Enhanced Oxygen Sensor
Xiaonan Wen 1 Weiqing Yang 1 2 Yong Ding 1 Simiao Niu 1 Zhong Lin Wang 1
1Georgia Institute of Technology Atlanta USA2University of Electronic Science and Technology of China Chengdu China
Show AbstractMoO3 NBs of different properties are synthesized via PVD method. Characterization measures of XRD, TEM and SEM are adopted to examine their crystallographic structures as well as NB morphologies. Electrical measurement is performed and the profound piezoresistive effect in MoO3 is experimentally studied and verified. Factors that influence the gauge factor, such as NB size, doping concentration and atmosphere composition, is discussed and analyzed. Gas sensing performance is also tested on the device and it is demonstrated that by applying strain to the gas sensor, its sensing performance could be effectively tuned and enhanced. This study provides the first demonstration of significant piezoresistivity in MoO3 NBs and the first illustration of a generic mechanism how this effect could be coupled with other electronic modulation measures for better device performance and broader material functionality. Reference:Xiaonan Wen, Weiqing Yang, Yong Ding, Simiao Niu, Zhong Lin Wang, Piezoresistive Effect in MoO3 Nanobelts and its Application for Strain-enhanced Oxygen Sensor, Nano Research, 7, 2, 180-189, 2014.
9:00 AM - LL13.18
Plateau-Rayleigh Crystal Growth on 1-Dimensional Substrates
Robert Watson Day 1 Max Mankin 1 Ruixuan Gao 1 You-Shin No 2 Sun-Kyung Kim 3 Hong-Gyu Park 2 Charles Lieber 1 4
1Harvard University Cambridge USA2Korea University Seoul Korea (the Republic of)3Kyung Hee University Gyeonggi-do Korea (the Republic of)4Harvard University Cambridge USA
Show AbstractUnderstanding crystal growth at the nanoscale allows for control over a material&’s morphology and composition, which can ultimately impart enhanced or novel functionality. Here we report the discovery of a growth phenomenon unique to 1-dimensional materials, which we term Plateau-Rayleigh crystal growth. Using chemical vapor deposition, we deposited Si (Ge) onto uniform-diameter Si (Ge) nanowire cores to generate diameter-modulated, anisotropic core/shell nanowire homostructures. We also demonstrate the diameter-modulated growth of heterostructures, specifically through deposition of Ge periodic shells onto Si cores. Scanning and transmission electron microscopy images revealed that particular morphological features of these periodic shell structures were broadly tunable through rational control of reaction conditions during shell growth. Our results suggest that reductions in surface energy drive the formation of diameter-modulated structures, and that variation in growth kinetic terms and crystal facet energetics provide the means for synthetically tuning morphology. Plateau-Rayleigh crystal growth represents a general paradigm for achieving a wide-range of complex morphologies for many different 1-dimensional materials and material combinations.
9:00 AM - LL13.19
Silicon-Germanium Nanowire Heterojunctions: Structural, Optical and Electrical Properties
Xiaolu Wang 1 Selina A. Mala 1 Leonid Tsybeskov 1 David J. Lockwood 2 Xiaohua Wu 2 Theodore I. Kamins 3
1New Jersey Institute of Technology Newark USA2National Research Council Ottawa Canada3Stanford University Palo Alto USA
Show AbstractIn crystalline, dislocation-free, Si/Ge nanowire (NW) axial heterojunctions grown using the vapor-liquid-solid (VLS) technique, transmission electron microscopy (TEM), photoluminescence (PL) and Raman spectroscopy reveal a SiGe alloy transition layer with a preferential chemical composition and significant strain associated with the lattice mismatch and the difference in Si and Ge thermal expansion. We find, in agreement with theoretical predictions, that the strain can be partially relived by lateral nanowire expansion in the vicinity of the Si/Ge heterojunction. In addition to the observed nanowire lateral expansion, the lattice mismatched induced strain could be relaxed by other mechanisms including intermixing, formation of structural defects and partial amorphization. The conclusions are supported by analytical TEM measurements. Electrical measurements reveal negative photoconductivity associated with carrier localization, presumably at the Si/Ge heterointerface and sustained current oscillations with frequency controlled by passive circuit elements (e.g., external capacitance, load resistor, etc.). Our results show that Si/Ge NW heterojunctions can be used as nano-scale inductors and be integrated into CMOS environment.
9:00 AM - LL13.20
Composition and Crystal Phase Engineering of VLS Nanowires: Impact of Element Nucleation Competitions at Liquid-Solid Interface
Gang Meng 1 Kazuki Nagashima 1 Hideto Yoshida 1 Masaki Kanai 1 Fuwei Zhuge 1 Yong He 1 Annop Klamchuen 1 Sakon Rahong 1 Seiji Takeda 1 Tomoji Kawai 1 Takeshi Yanagida 1
1ISIR, Osaka University Osaka Japan
Show AbstractSingle crystalline metal oxide nanowires, formed by vapor-liquid-solid (VLS) method, hold great promise for various nanodevice applications. Tailoring the composition and crystal phase of oxide nanowires are prerequisites for any kind of application. However, it is still a challenging issue, since the nucleation dynamics of elements confined in the catalyst alloy is far from comprehensive understanding. Slight differences of nucleation behavior at liquid-solid (LS) interface, will unambiguously affect the composition, or even the crystal phase of precipitated nanowires. Herein, taking indium-tin oxide nanowires as an example, we found an unbalanced nucleation of indium and tin at the liquid-solid (LS) interface. In spite of the fact that the vapor pressure of indium is higher than that of tin, the tin concentration within Sn:In2O3 (ITO) nanowires was always lower than the nominal composition, suggesting an emergence of preferential indium nucleation at LS interface. The resistivity of ITO nanowires can be drastically tuned from 2.1×10-1 Omega;cm down to 9.0×10-5 Omega;cm, via increasing intentionally tin concentration up to 20%.[1,2] Furthermore, we demonstrated the nucleation competition between indium and tin at LS interface could lead to a variation of stabilized crystal phase. Rutile SnO2, metastable fluorite InxSnyO3.5 (so-called ISO phase) and bixbyite Sn:In2O3 (ITO) nanowires could be selectively obtained by solely increasing supplied metal flux, with all the other experimental parameters maintained.[3] These results highlight that nucleation competition of metal elements at LS interface should be particularly concerned to artificially design functional VLS nanowires with specific dopant concentration and/or crystal phase.
References:
1. Meng et al.J. Am. Chem. Soc. 135 (2013) 7033minus;7038
2. Meng et al.Adv. Mater. 25 (2013) 5893-5897
3. Meng et al.Nanoscale 6 (2014) 7033-7038
9:00 AM - LL13.21
Intriguing Relaxation Mechanism Driven by Surface Stress in Ultrathin Nanowires
Ahin Roy 1 Abhishek Kumar Singh 1 Ravishankar N 1
1Indian Institute of Science Bangalore India
Show AbstractDue to the reduced dimensionality, ultrathin one-dimensional nanowires (NWs) feel the inherent surface-stress in the bulk or interior of the crystal, often inducing structural phase transformations. However, such transformation is strongly dependent on the nature of bonding in the material. Using first principles density functional theory calculations, we found an intriguing relaxation mechanism in ultrathin FCC nanowires where the close-packed plane normal to the wire axis undergoes wrinkling. The exact nature and extent of relaxation depends on the type of bonding. In case of ionic bonding, such as ZnO NWs, structural reconstruction is restricted to the first two atomic layers from the surface, whereas in elemental TeNW, atoms within the wire also show out-of-plane movement in the axial close-packed direction. We discuss the origin of this relaxation phenomenon and show that it is a surface stress induced effect. Our simulations also show that there exists a correlation in-between the wrinkling and the electronic structure of the 1-D material, which can have an implication on the actuation and sensing applications.
9:00 AM - LL13.22
Fully Reversible Plastic Deformation in Metal Nanowires
Qingquan Qin 2 Sheng Yin 1 Guangming Cheng 2 Xiaoyan Li 3 Tzu-Hsuan Chang 2 Gunther Richter 4 Yong Zhu 2 Huajian Gao 1
1Brown University Providence USA2North Carolina State University Raleigh USA3Tsinghua University Beijing China4Max Planck Institute for Intelligent Systems Heisenbergstrasse Germany
Show AbstractOne-dimensional nanostructures such as nanowires and nanotubes have been playing a critical role in the development of nanotechnology. Here we report an unusual, time-dependent and fully reversible plastic deformation behavior in silver nanowires that contain a penta-twinned nanostructure with five twin boundaries running along the length of the nanowires. In-situ tension experiments show that the penta-twinned silver nanowires undergo stress relaxation upon loading and complete plastic strain recovery upon unloading, while the same experiment on single-crystalline silver nanowires does not exhibit such a behavior. Molecular dynamics simulations reveal that the observed fully reversible plastic behavior originates from the surface nucleation, motion and retraction of partial dislocations. The penta-twinned nanostructure leads to enhanced vacancy concentration and reduction in the nucleation barrier of partial dislocations, thereby facilitating stress relaxation. In addition, the twin boundaries prevent the nucleated partial dislocations from escaping out of the nanowires, leading to retraction of the partial dislocations upon unloading and thus complete plastic strain recovery.
9:00 AM - LL13.23
Vertically Aligned SiNW Arrays with TCS as Precursor
Mathieu Romain Monville 1 Shihsheng Chang 1 Samuel Wright 1 Karlheinz Strobl 1 Riju Singhal 1
1CVD Equipment Corporation Central Islip USA
Show AbstractSilicon nanowires (SiNWs) attract growing interest due to their high multifunctional potential, spanning from energy harvesting and storage, thermoelectricity, protein detection to gas sensing applications.
A narrow distribution in properties (length, diameter, doping levels) and microscopic arrangement are sought-after for most applications for which reducing materials production costs is often a necessary condition for development. Specific arrangement of silicon nanowires, vertically or even horizontally (respectively VASiNW and HASiNW) is also desirable to efficiently integrate silicon nanowires into nano-enabled devices.
Chemical vapor deposition (CVD) and room temperature metal assisted chemical etching are the most commonly used fabrication techniques. So far the conventional route of Vapor-Liquid-Solid (VLS) growth by CVD has utilized two silicon precursors: silane (SiH4) and silicon tetrachloride (SiCl4) which are commonly used in high quality semiconductor manufacturing and are available at high purity levels. These two precursors are also not the Si-containing precursors of the lowest cost. Silane is a preferred precursor for high purity processing at relatively low temperatures (500-600#730;C), but the resulting nanowires are typically randomly aligned. By adding hydrogen chloride (HCl) to the process, and choosing a silicon (111) wafer as the growth surface, epitaxial growth of nanowires can be achieved. For the case of SiCl4, additional HCl is not required since HCl is generated as a byproduct of the reaction in a hydrogen-containing atmosphere. However the downside is the even higher cost of silicon tetrachloride and higher process temperature requirements limiting large scale processing of VASiNW.
Here we present our investigations on the use of the Si precursor of lowest cost and highest volume production, i.e. trichlorosilane (TCS), to develop VLS-CVD of vertically aligned arrays of high quality silicon nanowires at atmospheric pressure with growth rates of around 5µm/min. Our results show good uniformity in height (a few tens of micrometers) and diameter (a few tens of nanometers), thereby paving the way for cost-effective synthesis of large volumes of crystalline, highly oriented SiNW arrays.
9:00 AM - LL13.24
Influence of Oxidation State on Gas Sensor Response of Tin Oxide Nanostructures
Marcelo O Orlandi 1 Pedro H Suman 1 Anderson A Felix 1 Harry L Tuller 2 Jose A Varela 1
1Unesp Araraquara Brazil2Massachusetts Institute of Technology Cambridge USA
Show AbstractNanomaterials have attracted much attention of researchers in the last decade due to their interesting properties, which allows a wide applicability of these materials in several areas of knowledge, for example, chemical sensors, solar cells and microelectronic devices. Tests in laboratory scale have showed that the use of nanomaterials can make devices faster, more sensitive and consume less energy, which are desired characteristics of devices. About gas sensor application SnO2 is one of the most studied materials and it is seen as a standard material, due to its high sensor signal, chemical stability and low response time. However, to date, very low information is available about the sensor response of tin oxide in SnO and Sn3O4 structures. In this way we synthesized tin oxide nanobelts in different stoichiometry (SnO, Sn3O4 and SnO2) by using a controlled carbothermal reduction process and belts characterization showed that all of them are single-crystalline one-dimensional nanobelts with flat surface and homogeneous size along the growth direction. All belts were studied by X-ray diffraction, scanning and transmission electron microscopy and electrical measurements. In this work the main focus is the sensor characterization of materials and we have measured the sensor response (electric response changes) of all materials for reducing (H2) and oxidizing (NO2) gases in different temperatures (200-400°C) and with different amount of analyte gas concentration (10 to 100 ppm) using synthetic air as the basement gas. Results showed that all samples have better sensor response for oxidizing than for reducing gas, which is expected for n-type semiconductors. Moreover, the sensor signal of samples decrease as the temperature increases, which is related to thermal desorption of analyte from sample surface, jeopardizing the sensor sensitivity. The best sensor signal was obtained for Sn3O4 belts and the worst was obtained for the SnO2, and a model based on electronic lone pairs was proposed to explain the better response of this system. Moreover, the good sensor response showed by the SnO and Sn3O4 nanobelts open a new class of structures to be deeply studied.
We would like to thank the funding agencies FAPESP and CNPq for the financial support of this work.
9:00 AM - LL13.25
Successful Application of Low Voltage Electron Microscopy to Imaging Molecular-Scale Si Nanowires
David C Bell 2 3 Max N Mankin 1 Robert W Day 1 Natasha Erdman 4
1Harvard University Cambridge USA2Harvard University Cambridge USA3Harvard University Cambridge USA4JEOL USA Inc. Peabody USA
Show AbstractTransmission electron microscopy (TEM) is an essential tool to characterize semiconductor nanowires (NWs) since NWs&’ performance in devices and applications strongly depends on their morphology, composition, crystalline structure, and growth mechanism. Recently, there has been renewed interest in sub-20 nm diameter Si NWs due to quantum confinement effects and the ability to tune Si band structure with NW morphology. However, such molecular-scale Si NWs suffer beam damage in the TEM at typical 200keV accelerating voltages, rendering their characterization difficult. Moreover, as Si NWs are increasingly coupled with biological molecules and tissues, it will become necessary to image NW bioprobes in-situ or in-vitro with high resolution microscopy techniques. However, preserving the matrix of biological material around the NW is a challenge, as this material also rapidly suffers beam damage in the TEM. Therefore, low-voltage, high-resolution TEM (LV-HRTEM) imaging provides an excellent option to avoid beam damage to molecular-scale NWs and NW-biological composites.
Here, we present as a proof of principle example atomic resolution imaging of molecular scale (<15 nm diameter) Si NWs at 40keV accelerating voltage in an aberration-corrected TEM. We demonstrate clear characterization of the NWs&’ atomic lattice and the ability to localize and identify defects in the NWs&’ crystal structures. In contrast to prior studies, NWs were stable throughout several hours of imaging.
Our experimental observations serve as a clear demonstration that even at 40 keV accelerating voltage, LV-HRTEM can be used without inducing beam damage to locate dislocations and other crystalline defects, which may have adverse effects on NW device performance. Low voltage operation will likely become the new mode of imaging for many electron microscopes, with the instrument being, in essence, tuned to extract all the information possible from each electron that transits the sample.
D. C. Bell, M. Mankin, R. W. Day, and N. Erdman, Ultramicroscopy, In Press (2014); 10.1016/j.ultramic.2014.03.005.
9:00 AM - LL13.26
X-Ray Induced Singlet Oxygen Generation by SiO2/SiC Nanowires Functionalized with Partially-Fluorinated Tetraphenylporphyrin
Filippo Fabbri 1 Francesca Rossi 1 Roberta Tatti 2 Giovanni Attolini 1 Lucrezia Aversa 2 Tiziano Rimoldi 3 Luigi Cristofolini 3 Roberto Verucchi 2 Salvatore Iannotta 1 Giancarlo Salviati 1
1IMEM-CNR Parma Italy2IMEM-CNR Trento Italy3Parma University Parma Italy
Show AbstractNovel nanostructured hybrid materials have attracted the attention for the possible application in the field of sensing and biomedical applications. SiO2/β-SiC coaxial nanowires are of interest because of two different causes:
-The unique physical and chemical properties of SiO2/SiC nanowires, in particular its biocompatibility, offer opportunities in the field of nano-scale devices operating in biological environments.
-The presence of a SiO2 native shell favours surface functionalization.
Here, we report on preliminary results of the functionalization of SiO2/SiC coaxial nanostructures with partially-fluorinated tetraphenylporphyrins (H2TPP(F)). The surface functionalization is performed by means of supersonic molecular beam deposition (SuMBD) in order to induce kinetic activation between the molecules and the nanostructure surface.
The morphological, structural and optical properties of the novel nanosystem are analyzed by means of Scanning Electron Microscopy (SEM), High Resolution Transmission Electron Microscopy (HRTEM), cathodoluminescence spectroscopy and imaging (CL) and surface photoelectron spectroscopy, before the functionalization process.
Different techniques are employed to confirm the formation of the hybrid material and the generation of singlet oxygen by X-ray excitation:
The evolution of the optical emission is studied by cathodoluminescence spectroscopy and imaging after the functionalization
HRTEM analysis (in particular elemental mapping) confirms the presence of a H2TPP(F) conformal layer around the nanowire.
Surface photoelectron spectroscopy (XPS) analyses of the core level (C1s, Si2p, N1s, F1s) demonstrate a strong interaction between the molecule and the inorganic nanowires.
The singlet oxygen generation under X-ray excitation (6 MeV) is demonstrated by using Singlet Oxygen Sensor Green kit, in a clinical Varian linear accelerator.
9:00 AM - LL13.27
Light-Emitting Properties of 3C-SiC Nanowires Coated by Conformal Amorphous Oxides
Filippo Fabbri 1 Francesca Rossi 1 Joice Sophia Ponraj 1 Paola Lagonegro 1 Marco Negri 1 Matteo Bosi 1 Giovanni Attolini 1 Giancarlo Salviati 1
1IMEM-CNR Parma Italy
Show AbstractNanosystems based on cubic (3C) Silicon Carbide are very promising for bio-oriented applications, e.g. as molecule delivery vectors and nanoelectrodes, due to their high biocompatibility. In this field, an increase the optical emission yield of the SiC-based nanostructures would open new perspectives. Significant improvements have been reported by either using porous or nano-sized structures, where the luminescence is changed by surface states or quantum confinement effects. In the present work we present a different approach to increase the optical emission efficiency by coating the nanostructures with wide band-gap oxide shell, which allows an energy transfer from the shell to the core without any modification of the emission energy.
SiC/SiOx nanowires (NWs) were grown by a chemical vapour deposition method, with carbon monoxide as precursor and iron as catalyst. The modification of the NW coating was made by chemical etching, to remove the native silicon oxide shell, and further deposition of a conformal oxide layer (Al2O3 or Ga2O3) by atomic layer deposition (ALD). The ALD growth was performed in 25 cycles, injecting separately water and metalorganic (trimethyl aluminium (TMA) or trimethyl gallium (TMG)).
The characterization of the radial NW structure and oxide thickness was made by transmission electron microscopy experiments using different techniques, as energy filtered TEM, high angle annular dark field imaging in STEM mode and EDX spectroscopy and elemental mapping. These analyses showed the core-shell NW structure, with the crystalline 3C-SiC core (diameter about 20 nm) coated by an amorphous shell, either the as-grown SiOx or the ALD-grown conformal Ga2O3 / Al2O3 layer. The ALD coating is uniform along the NW length, and its thickness ranges between 5 and 8 nm, comparable for the two oxides and similar to the SiO2 shell thickness obtained by thermal oxidation.
The light emission properties of the NW bundles were investigated by room-temperature Cathodoluminescence (CL) spectroscopy. The standard luminescence of as-grown SiC/SiOx NWs is a broad visible emission, with the most intense blue component due to the oxide shell and a shoulder at 2.36 eV related to the 3C-SiC near-band edge (NBE) emission. The intensity of this emission increases with increasing shell thickness, indicating an enhancement due to carrier diffusion from the shell to the core, possibly promoted by the alignment between oxides and SiC bands in a type I quantum-well [1,2]. After the oxide coating change, the spectrum still shows a blue band, likely due to radiative recombination from intra-gap ALD oxide states. The SiC-NBE emission shows a comparable intensity, without any energy shift, for all the oxide layer coatings at comparable shell thickness.
References
1. F. Fabbri, F. Rossi, et al., Nanotechnology 21, 345702 (2010).
2. F. Fabbri, F. Rossi, et al., Materials Letters 71, 137 (2012).
9:00 AM - LL13.28
Bottom-Up Synthesis of Doped Silicon Nanowires from a Focused Ion Beam Deposited Platinum Catalyst
Nicolas Hibst 1 Peter Knittel 2 Christine Kranz 2 Boris Mizaikoff 2 Steffen Strehle 1
1Ulm University Ulm Germany2Ulm University Ulm Germany
Show AbstractMetal catalyzed gas phase synthesis is the most prominent concept to grow silicon nanowires bottom-up. In our investigations we studied a carbon-platinum composite as catalyst deposited locally with a focused ion beam as dots or ultra-thin film from the organometallic precursor (methylcyclopentadienyl)trimethyl-platinum. The use of a focused ion beam allows to access arbitrarily shaped surfaces and enabled us to grow single nanowires at the tip apex of a commercial AFM cantilever.
In comparison to the commonly used gold catalysts, the Pt-Si system exhibits several phases, at which most likely a PtSi phase acts as alloy catalyst for our nanowire synthesis. Using SiH4 with an admixture of H2, He, and B2H6 allows the synthesis of p-type silicon nanowires from the C-Pt deposits. The growth temperature was only 700°C, which appears to be significantly lower than the PtSi eutectic temperature of about 980°C. To enable nanowire growth the substrate-dependent silicide catalyst formation is crucial and will be thoroughly discussed based on our experiments with (100)-silicon, (111)-silicon, silica, and sapphire. As Ga-ion beam irradiation implants Ga ions into the substrate and the Pt-deposits are C-Pt compounds rather than pure Pt, these issues will be addressed as well as the significant effect of the initial Pt amount on the nanowire growth rate. In contrast to a gold catalyst, the PtSi catalyst is not present as a distinct droplet at the nanowire tip, and the nanowires appear polycrystalline as revealed by electron microscopy. Our investigations are completed by electrical nanowire characterizations showing evidently the successful p-type doping, which enables the implementation of this versatile technique to assemble unique silicon nanowire devices.
9:00 AM - LL13.29
Silicon Nanowire Device Fabrication by Dielectrophoretic Alignment
Mohammad M. Ramin Moayed 1 Daniel Rosskopf 1 Steffen Strehle 1
1Ulm University Ulm Germany
Show AbstractThe bottom-up synthesis of complex nanowire structures enables in principle the assembly of unique nanowire devices. However, efficient device fabrication requires precise control over the nanowire positioning, which is still a pronounced limiting issue. To align nanowires, dielectrophoresis appears to be an effective technique utilizing electrodes to create a dc/ac electric field polarizing, and therefore attracting, nanowires dispersed in a solvent. In our studies we focused on the dielectrophoretic alignment of silicon nanowires, grown by the well-known vapor-liquid-solid-method, in order to assemble nanowires as field effect transistors. The experiments were carried out with isopropanol as nanowire solvent using microfabricated Au and Ni electrodes on oxidized Si-wafers. With respect to the alignment efficiency various electrode geometries and dc/ac signals were examined supported by electric field simulations. The experiments allow to discuss the Clausius-Mossotti-factor, contributions of dielectrophoretic and hydrodynamic forces and the optimized electrode arrangement. The best alignment was achieved for our silicon nanowires using an ac signal frequency of approx. 1 kHz, which is in suitable agreement with theoretical calculations. Finally, the possibility to exploit the alignment electrodes directly as contacts in a liquid gate field effect transistor configuration was evaluated for Ni electrodes. Here it was found that a contact can be established but with a distinct Schottky-contact behavior. Furthermore, annealing at 320°C could not improve the contact by a thermally induced nickel-silicide formation. Both issues can be mainly referred to the fact that an oxide formation at the silicon surface cannot be fully prevented. Nevertheless, contacts can be readily established by another microfabrication step using the same electrode patterns again on top of the aligned silicon nanowires.
9:00 AM - LL13.30
Large Scale Bottom-Up Synthesis of Vertically Aligned Silicon Nanowire Arrays under Non-UHV Conditions for Solar Cell Applications
Amir B. Hashemi 1 Stefan T. Jaeger 1 Steffen Strehle 1
1Ulm University Ulm Germany
Show AbstractThe use of silicon nanowires exhibiting a radial pn junction for photovoltaics has been proposed recently. Such functional nanowires can in principle act solely as effective solar cells if vertically arranged at large scale. Using the established gold-catalyzed vapor-liquid-solid (VLS) method pn-doped silicon nanowires can be readily synthesized. In order to gain directional control for the complete set of nanowires two strategies were examined. The first approach used (111)-silicon to realize an epitaxial growth under non-UHV conditions. The second strategy utilizes a porous aluminum-oxide template to guide the nanowires geometrically suppressing undesired directions. The bottom-up synthesis was carried out in a tube furnace with 0.01 mbar base pressure. Using a mixture of SiH4, He and H2 silicon nanowire growth was achieved using dewetted gold films as VLS catalyst material. A doping can be readily realized by B2H6 or PH3 admixture for p- or n-doping, respectively. On (111)-silicon epitaxial growth with preferential vertical alignment was realized at large scale using a total working pressure of 5 to 20 mbar and a temperature of 550°C to 700°C. At the higher temperature regime, distinct tapering occurs due to thermal silicon overcoating and catalyst migration. While the epitaxial approach is intrinsically limited to a crystalline substrate the porous template approach is far more flexible. Using VLS silicon nanowires could be grown from a gold film underneath the porous template suppressing undesired directions effectively. However, the overall deviation from a perfect vertical alignment is significantly larger compared to the epitaxial growth.
9:00 AM - LL13.31
Wafer-Scale Fabrication of Single-Crystal Silicon Nanowires with Outstanding In-Plane Alignment Using Polymer Self-Assembly and Controlled Dry Etching
Se Ryeun Yang 1 Jae Won Jeong 1 Jong Min Kim 1 Yeon Sik Jung 1
1Korea Advanced Institute of Science and Technology Daejeon Korea (the Republic of)
Show AbstractExceptional electrical responsiveness, high surface-to-volume ratio, facility in surface functionalization as well as mechanical and chemical robustness are genuine properties of single-crystal (s-c) silicon nanowires (SiNWs). Based on these properties, many researchers have demonstrated novel nanodevices such as junctionless transistors, nanosensors, photodetectors, and nanowire solar cells with exceptional performances showing unprecedented usefulness of SiNWs. However, the practical use of SiNWs in the fabrication of functional devices has been hindered primarily due to the lack of practical fabrication method of s-c SiNWs at the wafer scale. Although various methods such as vapor-liquid-solid (VLS) growth have been suggested, perfectly aligned nanowires over large area have never been demonstrated. On the other hand, top-down-based fabrication methods such as e-beam lithography on silicon-on-insulator (SOI) wafers followed by reactive ion (RIE) etching are costly, and its throughput is excessively low. Here, we present a facile fabrication method to obtain highly-aligned SiNWs at the wafer scale in a highly-controlled manner. The fabrication process starts with formation of large-area metallic grating patterns with sub-20-nm width on s-c silicon wafer through block copolymer (BCP) self-assembly and nanotransfer printing. Following RIE treatments precisely defined s-c SiNWs over the whole wafer. Importantly, we could control width, height and crystallographic orientation of the SiNWs by varying molecular weight of BCPs, depth of vertical etching, and angle between axis of metal nanowires and crystallographic orientation of Si wafers, respectively. The fabricated NWs were securely transferred onto diverse substrates through solvent-assisted transfer printing. Finally, we fabricated field-effect transistors (FETs) using the printed NWs as channel and characterized electrical properties of the NWs. We expect that such highly-aligned and precisely-defined SiNWs will be useful in the fabrication of novel devices such as high-performance thin film transistors and various functional sensors.
9:00 AM - LL13.32
Study of Growth and Characterization of Fe-Catalyzed beta;-Ga2O3 Nanowires
Sudheer Kumar 1 Christian Tessarek 2 Angelika Haehnel 3 Silke Christiansen 2 4 Rajendra Singh 1
1Indian Institute of Technology Delhi, India New Delhi India2Max Planck Institute for the Science of Light Erlangen Germany3Max Planck Institute of Microstructure Physics Halle Germany4Helmholtz Centre Berlin for Materials and Energy Berlin Germany
Show AbstractIn the presented study, a new Fe-catalyst was used to grow single crystalline monoclinic gallium oxide (β-Ga2O3) nanowires using chemical-vapor-deposition. The morphology, structure and luminescence properties of the as-grown β-Ga2O3 nanowires were investigated using various characterization techniques. The diameter of the as-grown nanowires was in the range of 30 to 80 nm, and the lengths up to tens of micrometers. The phase analysis of the nanowires by X-ray diffraction identified the monoclinic phase of Ga2O3. As revealed by detailed transmission electron microscope (TEM) and scanning TEM (STEM) the β-Ga2O3 nanowire shows a single crystalline nature with a preferential orientation along the normal of (1-1-1). Atomic structure imaging by annular dark field-STEM (ADF-STEM) proved the atomic arrangement to occur without structural defects. To investigate the elemental composition of nanowire energy dispersive X-ray spectroscopy was performed. Ga, O and some trace of Fe were detected in the wire near the catalyst particle, which indicates an interdiffusion of Ga and Fe between wire and particle. The growth of the Fe catalyzed β-Ga2O3 nanowire is thus supposed to occur via the vapor-liquid-solid mechanism. The luminescence properties of the as-grown β-Ga2O3 nanowires were measured using cathodoluminescence (CL) spectroscopy. A strong broad UV-blue emission band and a weak red emission band were detected by these measurements. The Fe-catalyzed β-Ga2O3 nanowires are potentially very useful in the future for nanofunctional devices such as deep UV photodetectors.
9:00 AM - LL13.33
High-Resolution Photoluminescence Spectroscopy of Ga Doped ZnO NWs Grown by MOVPE
Faezeh Mohammadbeigi 1 Senthil Kumar Eswaran 1 Shima Alagha 1 Simon P Watkins 1
1Simon Fraser University Burnaby Canada
Show AbstractZnO nanowires (NWs) are a promising avenue of investigation due to their high quality optical and structural properties when compared with planar films. Controlled doping of ZnO NWs is the key for realizing electronic and optoelectronic devices. Group-III elements (Al, Ga and In) are well known shallow donors in ZnO.1 Among the group-III donors, Ga has the ionic radius closest to that of Zn. The covalent bond length of Ga-O (0.192 nm) is slightly smaller than that of Zn-O (0.197 nm) and therefore the deformation of the ZnO lattice will be minimized even at high Ga concentrations.2 Dopant concentration can be precisely controlled over a wide range using organometallic sources in metalorganic vapor phase epitaxy (MOVPE) technique. The incorporation of donor impurities can be identified using high-resolution photoluminescence spectroscopy (PL) through their bound exciton recombination lines. In this work, we have used high-resolution low temperature PL to demonstrate the controlled doping of Ga impurities in ZnO NWs grown by MOVPE.
The 4.2 K PL spectrum of nominally undoped ZnO NWs exhibited I9 and I7 transitions in the bound exciton region. The former is associated with residual In donors and the chemical nature of the I7 transition is currently unknown. Doping extremely small amounts (0.007 and 0.2 nmol/min) of Ga resulted in a new transition at 3359.9 meV, which is 0.2 meV below the I7 transition. This line position is in agreement with the reported Ga related donor bound exciton transitions (D0X), I8, for bulk ZnO crystals.1 A doublet of I8 and I7 can be clearly seen with the aid of high-resolution scans with increased integration time. The integrated PL intensity of the I8 transition increased monotonically with increasing Ga concentration. The I8 transition showed extremely sharp linewidths as low as 0.15 meV. Ga doping also resulted in an ionized donor bound exciton transition (D+X), I8+, at 3371.9 meV. These results confirm the previous assignments of I8 and I8+ to Ga donors for the bulk crystals. The observation of two electron satellite transitions for the Ga doped NWs allowed us to unambiguously identify the Ga-TES (2s and 2p) transitions. Lateral growth dominates for the heavily Ga doped NWs as observed for the previously reported Al and In doped NWs.3 Overall PL intensity decreases for the highly (> 0.7 nmol/min) Ga doped NWs. However, the addition of small amounts of hydrogen during growth resulted in a strong increase in overall PL intensity of the highly Ga doped NWs. This may be due to suppression of non-radiative impurity centers caused by carbon incorporation. The addition of hydrogen also results in an increase in lateral growth.
9:00 AM - LL13.34
Tunable Electrochemical Doping into VO2 Nanowires Using Planer-Type Field Effect Transistor
Teruo Kanki 1 Tsubasa Sasaki 1 Hidekazu Tanaka 1
1Osaka Univ. Ibaraki Japan
Show AbstractOxide semiconductors with a correlated electron system are of much interest for the development of highly sensitive sensors and phase change memories that use the Mott transition. Especially vanadium dioxide (VO2) is a promising material to lead to realization of their devices because of huge change of conductive properties with the metal-semiconductor transition (MST) over room temperature. The MST temperature can be drastically modulated by doping elements such as tungsten or chromium atoms. Unfortunately, doping quantity in these typical elements is not tunable in a sample. On the other hand, hydrogen or oxygen can be reversibly tuned for the doping level and exert a strong impact on modification of the transport properties, which have been accepted as useful and basic elements to investigate and engineer the physical characteristics. Conventionally, these doping levels have been controlled by high temperature annealing under redox gas conditions, however this process is not handy and speedy. In this research, we demonstrate that moderate level of electric field (105 -106 V/cm) through air nano-gap gates using planer-type field effect transistors fully induce modulation of conductive property over one order due to chemical doping of hydrogen ions into VO2 nanowires invoked by electrolysis of adsorbed water under humid air condition. This process is completely tunable. The conductivity can be precisely controlled by the electric field and humidity. Our results offer a newly convenient technique for hydrogen doing and will serve as a powerful tool for examining transport properties on doping effect into oxide semiconductors, moreover expecting to realize novel devices controlling redox states. In this meeting, we will show the detail experimental results for humidity and electric field dependent of transport properties.
9:00 AM - LL13.35
Self-Powered Ultraviolet Photodetectors Based on 1-Dimensional ZnO Nanomaterials
Zhiming Bai 1 Xiaoqin Yan 1 Yue Zhang 1
1University of Science amp; Technology Beijing Beijing China
Show AbstractAs a semiconductor with a wide band gap of 3.37 eV and a large exciton binding energy of 60 meV, ZnO has good photoconductivity and excellent radiation hardness. Due to the high surface-to-volume ratio and good crystallinity, one-dimensional ZnO nanostructures are of interest in the application in ultraviolet (UV) photodetectors. A self-powered photodetector based on the photovoltaic effect can operate at zero bias, which is highly desirable to meet the demands of the low-carbon age. We have studied the self-powered properties of single ZnO nanowires and nanobelts as UV photodetectors. It was found that a single ZnO MW/p-type Si film heterojunction exhibited a high photosensitivity of ~2×104 UV light[1]. Another single Sb-doped ZnO nanobelt based device has a sensitivity of 2200% at 0V bias and a response time of less than 100 ms[2]. In addition, individual nanowires were integrated to nanowire arrays by hydrothermal synthesis and two type photodetectors based on them were fabricated. One is a double Schottky type device with self-powered properties, which originate from the difference between the Schottky barrier heights at the two ends of the device[3]. Another one is a self-powered Schottky-type UV photodetector with Al-Pt interdigitated electrode based on selectively grown ZnO nanowire arrays. By tuning the Schottky barrier height through the thermally induced variation of the interface chemisorbed oxygen, an ultrahigh sensitivity of 3.1×104 was achieved at 340 K without an external power source, which was 82% higher than that obtained at room temperature[4]. In addition, an UV photodetector based on ZnO micro/nanowire networks with Pt contacts have been fabricated on glass substrates, which exhibited a high on-off ratio of 800 without external bias[5].
Reference:
[1] Z. Bai, X. Yan, X. Chen, Y. Cui, P. Lin, Y. Shen and Y. Zhang, RSC Advances, 2013, 3, 17682.
[2] Y. Yang, W. Guo, J. Qi, J. Zhao and Y. Zhang, Applied Physics Letters, 2010, 97, 223113.
[3] Z. Bai, X. Yan, X. Chen, H. Liu, Y. Shen and Y. Zhang, Current Applied Physics, 2013, 13, 165-169.
[4] Z. Bai, X. Chen, X. Yan, X. Zheng, Z. Kang and Y. Zhang, Physical Chemistry Chemical Physics, 2014, 16, 9525.
[5] Z. Bai, X. Yan, X. Chen, K. Zhao, P. Lin and Y. Zhang, Progress in Natural Science: Materials International, 2014, 24, 1-5.
9:00 AM - LL13.36
Physical Properties of Vertically Aligned Zinc Oxide Nanostructures Fabricated Using High Pressure PLD
Priyanka Karnati 1 Anagh Bhaumik 1 Marco D.V.Dias 1 Kartik Ghosh 1
1Missouri State University Springfield USA
Show AbstractThe unique physical and chemical properties of aligned nanostructured semiconductors render its applications in energy technology. Nanostructures of ZnO having a large optical band gap of 3.37eV are extensively studied owing to its unique optoelectronic properties. Recent studies indicate that varied morphology of ZnO such as nanorods, nanowires and nanosheets can be achieved by different physical and chemical vapor deposition techniques. A regular array of vertically aligned zinc oxide (VAZO) nanostructures is potentially useful for electronic device applications including solar cells and light emitting diodes. This study involves growth of VAZO nanorods of varied aspect ratios on different substrates by high pressure pulsed laser deposition technique. The morphology and aspect ratio of the VAZO nanostructures can be controlled by varying the process parameters such as substrate temperature and partial pressure of oxygen. X-ray diffraction, scanning electron microscopy, Raman spectroscopy, and photoluminescence studies were performed to characterize these exclusive vertically aligned nanostructures. Two preferentially orientated peaks in XRD pattern corresponding to (002) and (004) planes indicate better oriented hcp ZnO along the c-axis. The growth of VAZO nanostructures can be attributed to the Volmer-Weber nucleation model in which the deposited ZnO nanoparticles act as the nucleation sites and result in the formation of nanostructures with diameter ranging from 50 nm to 500 nm. The distinct vibrational modes of ZnO have been identified in the Raman spectra and wurtzite structure of ZnO nanorods has been verified by the presence of intense E2 (high) mode. Photoluminescence spectroscopy data show a near band edge emission around 380 nm and a deep level emission around 530 nm. The intensity of the deep level emission depends on the nano-structuring as well as oxygen vacancies in ZnO. This unique process of manufacturing VAZO nanostructures will favor better design of optoelectronic devices.
LL10: Nitride and Oxide Nanowires
Session Chairs
Wednesday AM, December 03, 2014
Hynes, Level 2, Room 206
9:15 AM - LL10.02
Controlling Thermomechanical Performance of VO2 Nanowire Bimorph Actuators
Helmut Karl 1 Sven Peyinghaus 1
1University of Augsburg Augsburg Germany
Show AbstractVanadium dioxide (VO2) shows a semiconductor-metal phase transition (MIT) at 68°C. The phase transition of this strongly correlated electron material is accompanied by a drastic decrease of its electrical resistivity and change in optical transmittance and reflectance in the near infrared spectral region. This phase transition is also accompanied by a change of the VO2 crystal structure, it transforms from the semiconducting monoclinic M1 or mechanically stress stabilized monoclinic M2 to the metallic tetragonal rutile phase R and with it the length of a VO2 nanowire changes abruptly by 1% and 2 %. This facilitates making VO2 single clamped nanowire bimorph actuators by coating VO2 nanowires along one side by iridium which accomplish an extreme change of bending curvature from 0 to up to 100000m-1 over a narrow temperature interval around the phase transition point. Single domain VO2 nanowires show a large temperature hysteresis of more than 10°C which reduce their thermomechanical efficiency and performance. In this work we demonstrate for the first time the fabrication of all oxide VO2 nanowire bimorph actuators by ion implantation along one side into free standing single-clamped VO2 nanowires. In these bimorphs the temperature hysteresis of the mechanical bending is nearly completely suppressed. This is explained by ion induced lattice defects at the end of ion implantation range seeding the semiconductor-metal phase transition along the crystalline perfect side of the VO2 nanowire. Moreover we demonstrate that mechanical strain intentionally built-in during bimorph fabrication or side ion implantation of dopants changing the semiconductor-metal phase transition temperature can direct the phase transition via the metastable monoclinic M2 phase. This allows tailoring bimorph bending-temperature schemes and performance leading to novel applications.
9:30 AM - *LL10.03
Luminescence Dynamics and Waveguiding Properties of Bare and Functionalized Wide-Gap Semiconductor Nanowires
Tobias Voss 1
1University of Bremen Bremen Germany
Show AbstractInorganic wide-gap semiconductor nanowires represent a particularly interesting platform for the design and fabrication of nanoscale hybrid devices with applications in optoelectronics and sensing. Their large surface-to-volume ratio combined with high crystalline quality and the naturally formed electronic or photonic transport channels allow for efficient surface-functionalization and lead to optimized coupling conditions between the electronic and photonic states of the inorganic and organic parts.
Time-resolved micro-photoluminescence spectroscopy (TRPL) is a powerful tool to investigate the dynamics of electronic excitations in hybrid nanowire structures. Starting with the inorganic nanowire as the basic building block of the hybrid structures, TRPL allows us to identify the relevant electronic states and channels for relaxation, recombination and eventually transfer processes between the inorganic and organic components.
We will discuss results of TRPL studies on bare inorganic as well as hybrid nanowire structures based on the ZnO and GaN material systems. In particular, we will address the dynamics of amplified spontaneous emission and gain in ZnO nanowires together with the underlying microscopic mechanisms. We will further discuss the influence of deep defect levels in the band gap of the nanowires on the relative intensities of the UV near-band-edge and the defect luminescence bands. Finally, we will discuss the fabrication and time-resolved optical characterization of nanowires functionalized with colloidal CdSe quantum dots through organic linker molecules or with organic dye molecules. We will analyze the role of surface oxygen for the dynamics of the electron transfer processes between the quantum dots and the nanowires and discuss the role of different linker molecules that bind the quantum dots and dyes to the nanowire surface.
10:00 AM - LL10.04
Intense Intra-Shell Luminescence of Eu-Doped Single ZnO Nanowires at Room Temperature by Implantation Created Eu-Oi Complexes
Sebastian Geburt 1 Michael Lorke 2 Andreia da Rosa 2 Thomas Frauenheim 2 Robert Roeder 1 Tobias Voss 2 Uwe Kaiser 3 Wolfram Heimbrodt 3 Carsten Ronning 1
1University of Jena Jena Germany2University of Bremen Bremen Germany3University of Marburg Marburg Germany
Show AbstractSemiconductor nanowires have been proposed as the next frontier in the miniaturization of light sources and solid-state lasers. Such devices can generate highly localized intense (monochromatic) light in a geometry ideally suited for efficient coupling into nanophotonic elements such as quantum dots, metallic nanoparticles, plasmonic waveguides, and even biological specimens. However, full device application in photonics requires effective and controlled doping in order to modify the optical properties. Doping of materials with lanthanides enables optical intra-4f transitions due to weakening of the spin- and/or parity-forbidden inner-shell transitions induced by the crystal field of the matrix. These transitions consequently show long lifetimes in the ms range and thus exhibit very narrow linewidths. Most prominent examples are Er-doped diodes and fibers emitting in the IR-spectral range at exactly 1540 nm for telecommunication and Nd-doped yttrium aluminum garnet lasers (Nd:YAG lasers) emitting at a based wavelength of 1064 nm.
Successful doping and excellent optical activation of Eu3+ ions in ZnO nanowires was achieved by ion implantation. We identified and assigned the origin of the intra-4f luminescence of Eu3+ ions in ZnO by first principles calculations to Eu-Oi-complexes, which are formed during the non-equilibrium ion implantation process and subsequent annealing at 700 °C in air. Our targeted defect engineering resulted in intense intra-shell luminescence of single ZnO:Eu nanowires dominating the PL spectrum even at room temperature. The high intensity enabled us to study the luminescence of single ZnO nanowires in detail, their behavior as function of excitation power, waveguiding properties, and the decay time of the transition.
10:15 AM - LL10.05
Electric Joule Heating Assisted Cutting of Semiconducting ZnO Nanowire for Channel Isolation and Subsequent 1D Circuit Application
Syed Raza Ali Raza 1 Seyed Hossein Hosseini-Shokouh 1 Seongil Im 1
1Yonsei University Seoul Korea (the Republic of)
Show AbstractIn recent years ultra-long nanowires (NW) with lengths up-to tens of micron or even milli- to centimeter range have been synthesized by various methods which is quite exciting for advanced 1D electronics or optoelectronics applications. ZnO NW has intrinsic oxygen vacancy related defects causing the n-type conducting behavior. Coupling of FETs on same NW for complicated circuit applications remains a little problematic in general, since the off level of the circuits such as inverter is not as perfect as complete-off but rather it shows few hundreds of mV. This is due to the lowering of the resistance of load caused by the neighbor gate effect of the driver FET on the load FET while a leakage path due to non-isolated devices is another explanation. This leakage path will affect the device properties, so individual electronic device isolation is crucial for addressing this issue. The best choice of semiconducting material for electronics application is one having ambipolar transport in FET form so as to couple p- and n-type FETs on same NW to form a CMOS inverter cell, which will obviously have natural isolation between p- and n-type FETs avoiding any leakage or cross-talk between the two adjacent FETs and this property usually results in high inverter gain and low power consumption. However, this is not the case with ZnO and most of semiconductor materials because either they have no ambipolar behavior or p-doping possibility. That&’s why for application of these materials in electronics industry one has to use NMOS rather than CMOS configuration where individual FET is required to be isolated. Even, in order to realize more advanced 1D electronic circuits like ring oscillator, SRAM etc on same NW each inverter cell is also needed to be isolated regardless of NMOS or CMOS configuration. So, isolation of NW FETs on same NW is very crucial for realizing advanced 1D electronic circuit. NW Isolation techniques are very rare to see and have not been realized for ZnO NW yet, to the best of our limited knowledge. Among these techniques, electronic joule heating (JH) has been utilized for NW cutting.
Here we used JH for cutting/electrical isolation of long semiconducting ZnO NW in the device form where back gate FETs were fabricated on a SiO2 coated p+-Si substrate and Al2O3 was deposited as passivation layer and also as top gate dielectric. Finally, different work function top-gate electrodes were deposited on the isolated individual FETs on the same long NW (>100 µm) and 1D logic circuit (inverter, NAND and NOR gates) were demonstrated. The logic circuits with isolated devices were having high gain and low off level compared with the ones without isolation. The JH process was quite localized and the cutting of one inverter cell showed no effect on the neighboring cells or electrode. The COMSOL program was used to estimate the power or heat generation in the NW under various voltage and current conditions. More discussion will be provided in the meeting.
10:30 AM - *LL10.06
Guided Nanowires: New Building Blocks for Self-Integrated Nanosystems
Ernesto Joselevich 1
1Weizmann Institute of Science Rehovot Israel
Show AbstractThe large-scale assembly of nanowires (NWs) with controlled orientation on surfaces remains one challenge toward their integration into practical devices. Recently, we report the vapor-liquid-solid growth of perfectly aligned, millimeter-long, horizontal NWs of GaN [1], ZnO [2] and other materials with controlled crystallographic orientations on different planes of sapphire, SiC [3], quartz [4], and other substrates [5]. The growth directions and crystallographic orientation of the NWs vary with each surface orientation, as determined by their epitaxial relationship with the substrate, as well as by a graphoepitaxial effect that guides their growth along surface steps and grooves. Despite their interaction with the surface, these horizontally grown NWs have surprisingly few defects, exhibiting optical and electronic properties comparable to those of vertically grown NWs. We observed that whereas in a 2D film stress accumulates in two directions, in a NW stress accumulates along its axis, but can relax in the transversal direction, making the 1D system more tolerant to mismatch than a 2D film. This new 1D nanoscale effect, along with the graphoepitaxial effect, subverts the paradigm not only in the young field of NWs, but also in the established field of epitaxy. Furthermore, we demonstrated the feasibility of massively parallel “self-integration” of NWs into functional systems based on guided growth, including hundreds of single-NW based field-effect transistors made all at once, and complex logic circuits, such as a 3-bit address decoder [6]. These findings highlight the potential of guided growth for the large-scale integration of NWs into practical devices.
[1] D. Tsivion, M. Schvartzman, R. Popovitz-Biro, P. von Huth, E. Joselevich, Science, 333, 1003 (2011).
[2] D. Tsivion, M. Schvartzman, R. Popovitz-Biro, E. Joselevich, ACS Nano, 6, 6433 (2012).
[3] D. Tsivion, E. Joselevich, Nano Lett., 13, 5491 (2013).
[4] L. Goren-Ruck, D. Tsivion, M. Schvartzman, R. Popovitz-Biro, E. Joselevich, ACS Nano 8, 2838 (2014).
[5] D. Tsivion, E. Joselevich, J. Phys Chem. C, articles ASAP (2014).
[6] M. Schvartzman, D. Tsivion, D. Mahalu, O. Raslin, E. Joselevich.
Proc. Nat. Acad. Sci. USA, 110, 15195 (2013).
LL11: Nitride Nanowires - Growth and Devices
Session Chairs
Wednesday AM, December 03, 2014
Hynes, Level 2, Room 206
11:15 AM - LL11.01
High Frequency (1.1 GHz) InGaN/GaN Core-Shell Nanowire LED Grown on Silicon
Daniel Sager 1 Wolf Alexander Quitsch 1 Robert Koester 2 Artur Poloczek 2 Gregor Keller 2 Werner Prost 2 Franz Josef Tegude 2 Gerd Bacher 1
1University Duisburg-Essen Duisburg Germany2University Duisburg-Essen Duisburg Germany
Show AbstractInGaN/GaN quantum wells represent core elements in blue or green light emitting diodes (LEDs) with numerous applications, e.g., in display technology, solid state lighting or as light sources for optical communication. In particular, high-speed short range optical communication via plastic fibres requires cost-efficient and fast modulatable light sources with an emission wavelength that is adjusted to the blue-green spectral window of minimal fibre losses. Usually, InGaN/GaN quantum wells are grown along the c-axis of the crystal, which results in a strong internal electric field and thus in an efficient spatial separation of electrons and holes in the active layer. As a consequence, the radiative recombination lifetime is strongly enhanced up to tens of nanoseconds or beyond, which is expected to drastically limit the modulation frequency of such devices. Here, we demonstrate a route to overcome this fundamental limitation by using m-plane multi-quantum well (MQW) GaInN/GaN nanowire LEDs. The negligible internal electric field results in short radiative recombination lifetimes and thus, modulation frequencies above 1 GHz are achieved.
The nanowires were grown by metal-organic vapour phase epitaxy on a n-doped Si (111) substrate with a n-GaN core and a m-plane GaInN/GaN MQW covered by a p-GaN contact layer as a shell. The nanowire array was planarized by a spin-on glass and contacted by metallic contacts. Time- and spectrally resolved photoluminescence experiments demonstrate the absence of the internal electric field. Recombination lifetimes in the 130 ps regime are obtained at low temperatures, which are reduced down to 20 ps at room temperature. A stretched exponential decay indicates the role of disorder effects in the active GaInN layer.
The LED array exhibit pronounced electroluminescence for a forward bias above 4 V at room temperature. In order to demonstrate the high-speed behaviour of the devices, a pulse pattern generator was used for electrical excitation and the transient electroluminescence signal was detected by a time-correlated single photon setup. We obtain rise and fall times on the order of 200 ps at a repetition rate of 1.1 GHz, to our knowledge the highest modulation frequency for GaN-based LEDs achieved up to know. This indicates the potential of m-plane GaInN/GaN nanowire LEDs as high speed light sources for optical communication via plastic fibres.
11:30 AM - LL11.02
Thinning of Regularly Arranged InGaN/GaN Nanocolumns - Realization of Nanocolumns with a Diameter as Narrow as 30 nm
Tatsuya Kano 1 2 Takao Oto 1 2 Yutaro Mizuno 1 2 Jun Yoshida 1 2 Rin Miyagawa 1 2 Katsumi Kishino 1 2
1Sophia University Tokyo Japan2Sophia University Nanotechnology Research Center Tokyo Japan
Show AbstractGaN nanocolumns, columnar nano-crystals, possess dislocation-free nature [1] and for nanocolumns with the diameter less than asymp;100 nm, the strain relaxation [2, 3] and the suppressed spatial fluctuation of In composition become effective. Because of these nano-crystal effect, the nanocolumns are expected to be nano-crystals having great emission properties. Moreover, the characteristics of quantum dots (QDs) manifest with integrating InGaN/GaN multiple quantum wells (MQWs) into GaN nanocolumns with the diameter less than asymp;30 nm. Dot-in-a-self-organized-nanocolumn has been reported [4], but the self-organization toughened size and site control of dots. In this study, we have achieved the selective area growth (SAG) of the regularly arranged uniform GaN nanocolumns with the diameter (D) as narrow as 34 nm and the pitch (L) of 70 nm. The optical properties of the InGaN/GaN MQWs, which were prepared in the thin GaN nanocolumns, were evaluated.
Ti mask patterns for SAG with nanoholes arranged in triangular lattice were prepared on MOCVD-grown GaN templates on (0001) sapphire substrates. In the course of the SAG, the mask surface was nitrided under the active nitrogen beam irradiation for 10 minutes, followed by the two-step growth with rf-MBE. First, the migration enhanced epitaxy (MEE) was performed to enhance the initiate crystal nucleation in narrow nanoholes by an alternated supply of Ga and N*. After that, GaN nanocolumns were grown by a normal MBE mode with a simultaneous supply of Ga and N*, where the growth temperature was increased to suppress the lateral growth of nanocolumns. The SEM observation evinced that a uniform n-GaN nanocolumn array with D=34 nm and L=70 nm was grown. To build in uniform InGaN dots in the thin nanocolumns, subsequently, 12-period InGaN/GaN superlattice (SL) and then 3-period MQWs were grown on the tops of the nanocolumns. The photoluminescence (PL) measurement of InGaN MQWs in nanocolumns were performed under the 405 nm InGaN laser excitation. With decreasing D down to asymp;50 nm, the PL intensity increased though the emission volume decreased. At the same time, the PL linewidth decreased with it. These experiments suggest that narrowing nanocolumns introduced increase in internal quantum efficiency and suppression of In compositional fluctuation. However, the further decrease in D less than asymp;50 nm resulted in the decreased PL intensity due to increase in surface recombination.
In summary, we have established the growth method of very thin GaN nanocolumn arrays by rf-MBE, which led to a higher PL intensity and a lower In compositional fluctuation.
Acknowledgement
This research was supported by a Grant-in-Aid for Specially Promoted Research (24000013) from the MEXT of Japan.
Reference
[1] H. Sekiguchi et al, Appl. Phys. Express1, 124002 (2008).
[2] P. Yu et al., Appl. Phys. Express93, 081110 (2008).
[3] Y. Kawakami et al., J. Appl. Phys.107, 023522 (2010).
[4] H. P. T. Nguyen et al., Nano Lett. 11, 1919 (2011).
11:45 AM - LL11.03
Oscillating Behavior of the Conductance in Ge-Doped GaN Nanowires
Patrick Uredat 1 Matthias T. Elm 1 Markus Schaefer 1 Pascal Hille 1 Joerg Schoermann 1 Martin Eickhoff 1 Peter J. Klar 1
1Justus Liebig University Giessen Germany
Show AbstractIII-V nanowires (NWs) are of great interest for nanotechnological applications such as nanoscaled light-emitting diodes, or nanoelectronic devices. In the latter, depending on their dimensions -quantum transport phenomena can be of importance. For technological applications it is therefore essential to characterize the NWs transport properties.
Here, we present an investigation of the transport properties of gallium nitride NWs grown by plasma-assisted molecular beam epitaxy on Si(111) substrates using nitrogen-rich growth conditions. The nanowires are about 50 nm to 80 nm in diameter and 1.5 to 2 µm in length. For the n-type doping of the nanowires germanium was incorporated in different concentrations, adjusted by the germanium beam equivalent pressure during growth.
For the transport measurements the nanowires were detached from the substrates and deposited on thermally wet oxidized Si(100) substrates. Electrical contacts were prepared in multiple steps using photolithography and electron beam lithography. The conductivity measurements were performed in a four-point geometry to eliminate impedance contribution of the wiring as well as contact resistances. The temperature dependence of the non-intentionally doped and slightly doped nanowires shows semiconducting behavior while for the nanowires with the highest doping concentration a metallic behavior was observed. The magnetoresistance of the nanowires was investigated at low temperatures down to 1.6 K and high magnetic fields up to 10 T. For the non-intentionally doped wires a negative magnetoresistance was observed which is attributed to weak Anderson localization. In addition, all doped nanowires exhibit universal conductance fluctuations (UCFs) at temperatures below 40 K which were found for both magnetic field orientations, i.e. a field parallel as well as perpendicular to the wire axis. A detailed analysis of the observed oscillations will be presented.
12:00 PM - LL11.04
Probing the Transport Characteristics of Si and Mg-Doped InN Nanowires
Shima Alagha 2 Songrui Zhao 1 Omid Salehzadeh 1 Simon Watkins 2 Zetian Mi 1 Karen Kavanagh 2
1McGill University Montreal Canada2Simon Fraser University Burnaby Canada
Show AbstractInN has a narrow bandgap (0.65 eV) and the highest electron mobility amongst the nitride semiconductors, making it promising for infrared nanophotonic and nanoelectronic devices. However, uncontrolled surface charge and donor-like bulk defects are leading generally to n-type degenerate behavior in nominally-undoped InN structures. We have demonstrated recently the growth and electrical characterization of InN nanowires (NWs) with low residual electron densities (1013-1015 cm-3) [1], allowing the study of fundamental material properties. XPS measurements confirmed tuning of the near-surface Fermi-level with n and p-type doping in these NWs [2, 3].
In this work we have studied the electrical transport characteristics of Si and Mg-doped InN NWs on highly-conductive n and p-type Si substrates. The NWs were grown by plasma-assisted MBE with an indium seeding layer. Electrical measurements were performed in an SEM by contacting individual NWs with a tungsten probe, with the Si substrate acting as a low-resistive bottom contact. The I-V measurements for NWs with radii 50 nm - 500 nm were studied in the voltage range ±3 V.
Mg-doped InN NWs showed rectifying properties on both substrate types with a polarity indicating hole transport. The resistivity, extracted by diode equation analysis, ranged from 0.01-1 #8486;cm, increasing with increasing NW radii, and was significantly lower than for undoped NWs. Both types of sample showed non-saturating reverse currents, indicating recombination and possible trapping states. In comparison, the transport characteristics were clearly different for undoped and Si-doped NWs on p-Si, which showed ohmic characteristics at low bias with resistivity 10-102 #8486;cm, and space-charge-limited-current (SCLC) with increasing bias, suggesting trap-assisted tunneling at the InN-Si-interface. Si-doped InN NWs on n-Si with radii larger than 200 nm showed rectification in forward bias with resistivity 0.1-1 #8486;cm. NWs with radii smaller than 200 nm showed ohmic characteristics at low bias and SCLC with increasing bias. The resistivity obtained from the ohmic region was 102 -104 #8486;cm and larger in comparison with undoped NWs, indicating that dopants are partly captured by traps at the NW surface, leading to SCLC dominating in smaller NWs.
We observed a clear distinction in the electrical transport properties of undoped and intentionally doped InN NWs, indicating n and p-type behavior, leading the way for future InN nanoscale devices. Our current understanding of the role of surface and trapping states on these properties will be discussed.
[1] S. Zhao et al., APL 102, 073102, (2013)
[2] S. Zhao et al., Nano Letters, vol. 12, pp. 2877-2882 (2012)
[3] S. Zhao et al., Nano Letters, vol. 13, pp. 5509-5513 (2013)
12:15 PM - *LL11.05
GaN-Based Nanowires for Display and Lighting Applications
Jonas Ohlsson 1 2 3 Zhaoxia Bi 1 Rafal Ciechonski 2 Kristian Storm 1 Bo Monemar 1 Lars Samuelson 1 2 3
1Lund University Lund Sweden2Ideon Science Park Sunnyvale USA3Ideon Science Park Lund Sweden
Show AbstractIn this presentation we describe principles and advantages of the growth of GaN-based semiconductor nanowires, specifically as applied to fabrication of light-emitting diodes for display and solid-state lighting applications. Nanowires offer a generic method for realization of dislocation-free GaN grown on either silicon or sapphire substrates, using conventional, high dislocation density, planar GaN as seeding layer. We are using a thin silicon-nitride mask with holes in the range 80-250 nm in diameter and initiate growth under selective-area-growth conditions yielding preferential axial growth of dislocation-free GaN nanowires in the c-direction, [0001]. By modifying growth conditions such that radial growth dominates, the thin nanowires act as defect-free substrates on top of which we grow radial LED-device structures on the m-planes (10-10) of the original needle, leading to ideal radial pn-junctions containing single or multiple quantum wells for carrier recombination. We can also choose to grow the second step in a mode that yields 3D nanostructures, which offers very interesting opportunities for growth of ternary µ-substrates and device layers of high crystal quality, for instance InGaN for realization of longer-wavelength emission, or AlGaN for UV-emission. In this talk we show the status of this technology as well as results of a multitude of characterization methods as performed within the EU-funded project “Nanowires for Solid State Lighting - NWs4LIGHT”.
12:45 PM - LL11.06
Spatial Mapping of Exciton Lifetimes in Single ZnO Nanowires
Frank Guell 1 Juan S. Reparaz 2 Gordon Callsen 3 Markus R. Wagner 2 Axel Hoffmann 3 Joan R. Morante 4 1
1Universitat de Barcelona Barcelona Spain2Institut Catala de Nanotecnologia Bellaterra Spain3Technische Universitamp;#228;t Berlin Berlin Germany4Institut de Recerca en Energia de Catalunya Sant Adria del Besos Spain
Show AbstractThe quest for novel semiconductor materials with improved optoelectronic performance has triggered intense research activities to exploit the great diversity of effects offered by low dimensional systems. In this work, we demonstrate that the recombination dynamics of excitons in ZnO nanowires can be well understood within the concept of optical nanocavities. We investigate the spatial distribution of the lifetimes of the near-band-edge and bound#8208;exciton emissions in single ZnO nanowires with different dimensions by means of temperature dependent and time-resolved spectroscopy. We demonstrate that the lifetime of the excitons is systematically reduced by 30% at the tips of the nanowires with respect to their maximum value at the center, which originates from the combined effect of the cavity-like properties of these nanostructures with the Purcell effect. In addition, show that the model of Rashba and Gurgenishvili is valid even at the nanoscale, i.e. the lifetime of the bound excitons is proportional to the localization energy (Eloc) to the power of 3/2. This result provides a means to understand the spatial dependence of the lifetimes of the near-band-edge emission (NBE), which is not intuitive due to their spatially extended nature. Finally, the temperature dependence of the photoluminescence and lifetimes of the excitons in single nanowires is also briefly discussed in comparison to bulk ZnO samples.