Symposium Organizers
Guohan Hu, IBM T. J. Watson Research Center
Hyunsang Hwang, Pohang University of Science and Technology
Gabriel Molas, LETI-CEA
Eisuke Tokumitsu, Japan Advanced Institute of Science and Technology
Symposium Support
Kojundo Chemical Laboratory Co. Ltd. of Japan
KK2: MRAM
Session Chairs
Luc Thomas
Philip Trouilloud
Tuesday PM, December 01, 2015
Hynes, Level 2, Room 202
2:30 AM - *KK2.01
Magnetization Reversal and Thermal Stability of Perpendicular Spin-Transfer-Torque Magnetic Random Access Memory Devices
Luc Thomas 1
1TDK- Headway Technologies Milpitas United States
Show AbstractThe combination of high speed and non-volatility of perpendicular Spin-Transfer-Torque Magnetic Random Access Memories (pSTT-MRAMs) promises a significant reduction of computing power, making this technology particularly attractive for mobile and Internet-of-Things applications [1].
In this talk, we will present recent advances in the development of perpendicular Magnetic Tunnel Junctions (pMTJs) at TDK-Headway Technologies [2], which have enabled the demonstration of fully functional 8Mb pSTT-MRAM chips with sub-5ns writing [3]. Furthermore, we will discuss the physics of current-induced switching and thermal stability in pMTJs devices, in comparison with writing and data retention properties of 8Mb test chips [4].
3:00 AM - KK2.02
Dictating Magnetic Easy Axis in CoFe2O4 Films with Helium Implantation
T. Zac Ward 1 Andreas Herklotz 1 Anthony T. Wong 1
1Oak Ridge National Laboratory Oak Ridge United States
Show AbstractHeteroepitaxial strain engineering is an essential tool in strongly correlated systems for investigating fundamental coupling effects and for more practical control of thin film properties. We demonstrate that the length of a single axis in an epitaxial CoFe2O4 (CFO) film can be controlled by strain doping helium into the lattice which in turn allows fine control over the magnetic easy axis through induced magnetostriction. Compressively strained thin films of CFO are grown coherently on MgO substrates and show pronounced out-of-plane magnetic anisotropy. Successive doping of the CFO films with He using a commercial ion gun results in an expansion of the out-of-plane lattice parameter while maintaining in-plane epitaxial lock to the substrate. We observe a continuous rotation of the magnetic easy axis towards the film plane with increasing unit cell tetragonality. A vacuum anneal above 500 °C is sufficient to evacuate the He from the lattice and return it to the pristine state. The results are in agreement with the strain-induced change of the magnetic anisotropy due to the large negative magnetostriction of CFO and demonstrates that strain doping via He implantation is an elegant path to tune desired characteristics of transition metal oxide thin films.
This work was supported by the U. S. Department of Energy, Office of Science, Basic Energy Sciences, Materials Science and Engineering Division.
3:15 AM - KK2.03
Tunneling Magnetoresistance and Enhanced Voltage-Controlled Magnetic Anisotropy in Magnetoelectric Tunnel Junctions with MgO/PZT/MgO Tunnel Barrier
Diana Chien 1 Xiang Li 1 Kin Wong 1 Shauna Robbennolt 1 Guoqiang Yu 1 Sarah Tolbert 1 Nick Kioussis 1 Pedram Khalili 1 Kang Wang 1 Jane Chang 1
1University of California, Los Angeles Los Angeles United States
Show AbstractAs existing memory systems approach fundamental limitations, ultra-thin uniform and conformal PZT films are needed for next-generation ultralow-power voltage-controlled non-volatile magnetoelectric RAM devices. By utilizing the magnetoelectric effect, where an electric field or voltage can be used to control the magnetization switching (instead of current), the writing energy can be reduced, resulting in increased memory density. Previous research has shown that the voltage-controlled magnetic anisotropy (VCMA) effect increases with the capacitance of the stack. Therefore, integrating an ultra-thin PZT film (having a dielectric constant 1-2 orders of magnitudes higher than currently used MgO) into the tunneling oxide layer enhances the VCMA coefficient, allowing for a lower voltage to switch the magnetization of the free magnetic layer and thus decreasing the write energy.
In this work, the growth of MTJ stacks with an MgO/PZT/MgO tunnel barrier using a combination of sputtering and atomic layer deposition (ALD) techniques was shown to be a viable process. First, an 18 nm thick Ta layer was deposited as the MTJ bottom electrode on thermally oxidized Si substrates, and then a Co20Fe60B20 free layer (out-of-plane magnetically anisotropic) was sputtered. For the MgO MTJ, a 2.5 nm thick MgO tunnel barrier was then sputtered. For the PZT MTJ, a 1.5 nm thick PZT film was deposited via ALD with an atomic Zr:Ti ratio of 52/48 between two layers of 1.0 nm thick sputtered MgO to form the MgO/PZT/MgO tunnel barrier. The PZT thin film was deposited by ALD at a substrate temperature of 250°C with Pb(tmhd)2, Zr(tmhd)4, and Ti(O.i-Pr)2(tmhd)2 as metalorganic precursors and deionized H2O vapor as the oxidant. A Co20Fe60B20 fixed layer (in-plane magnetically anisotropic) and capping layers of Ta and Pt were then sputtered on top of the tunnel barrier. The MTJs were annealed at 200-250°C for 30 minutes under vacuum and fabricated using standard photolithography and reactive ion etching techniques.
The perpendicular magnetic anisotropy of the bottom free magnetic Co20Fe60B20 layer was verified via superconducting quantum interference device magnetometry, confirming that the ALD PZT deposition process is a viable method for synthesizing PZT MTJs. The TMR ratio and VCMA effect were measured by sweeping an in-plane magnetic field from 0shy;3000 Oe while varying the applied voltage from -300shy;300 mV. The MgO MTJs were measured to have a tunneling magnetoresistance (TMR) ratio of 61.5% and a VCMA coefficient (xi;average) of 14.32.7 fJ/V-m, whereas the PZT MTJs were measured to have a TMR ratio of 53.1% and a xi;average of 19.81.3 fJ/V-m. The VCMA coefficient of PZT MTJs was 38.5% larger than those of MgO MTJs. In conclusion, PZT MTJs were demonstrated to have tunneling magnetoresistance and an enhanced VCMA effect, making them being potential candidates for future voltage-controlled, ultralow-power, high-density memory devices.
3:30 AM - KK2.04
Etching and Smoothing Process of Materials Used in Magnetic Tunneling Junctions with Gas Cluster Ion Beams
Noriaki Toyoda 1
1Univ of Hyogo Himeji Japan
Show AbstractEtching and smoothing of materials used in magnetic tunneling junctions (MTJ) with gas cluster ion beam (GCIB) were studied. For etching of etch-resistant metals, acetic acid vapor was supply during O2-GCIB irradiation in order to enhance the chemical reactions induced by GCIB. Etching depth of various etch-resistant materials (Pt, Ru, Ta, CoFe) showed 1.8 - 10.7 times higher values than those without acetic acid. High-pressure and high-temperature effects of GCIB enhance chemical reactions between reactive gas and substrate surface at room temperature. Thus, halogen free and room-temperature etching of etch-resistant materials was achieved. In additions, surface smoothing of Ru films under MTJ with GCIB was studied. Surface roughness of Ru film decreased monotonically with increasing the GCIB fluence, and reached 0.2 nm (Ra). After smoothing of Ru films, MTJ was formed. The magnetic properties of MTJ formed on the smoothed Ru showed improvement of inter-layer coupling magnetic field (Hin). Thus, etching and smoothing with GCIB are promising process for MTJ fabrications.
3:45 AM - KK2.05
Dual Field Effects in Spinel Ferrite Field Effect Devices: Volatile Electrostatic Carrier Doping and Nonvolatile Redox Reactions
Hidekazu Tanaka 1 Takashi Ichimura 1 Kohei Fujiwara 1
1Osaka Univ Ibaraki Japan
Show AbstractControlling the electronic properties of functional oxide materials via external electric fields has attracted increasing attention as a key technology for next-generation electronics. For transition-metal oxides with metallic carrier densities, the electric-field effect with ionic liquid electrolytes has been widely used because of the enormous carrier doping capabilities. The gate-induced redox reactions revealed by recent investigations have, however, highlighted the complex nature of the electric-field effect. Here, we use the gate-induced conductance modulation of spinel ZnxFe3minus;xO4 to demonstrate the dual contributions of volatile and non-volatile field effects arising from electronic carrier doping and redox reactions using field effect device structure with an ionic liquid DEME-TFSI. These two contributions are found to change in opposite senses depending on the Zn content x; virtual electronic and chemical field effects are observed at appropriate Zn compositions. In the (Fe2.5Zn0.5)O4/DEME-TFSIFET EDLT, a large hysteresis observed in the drain current vs gate voltage characteristics is not accounted for solely by electrostatic doping, strongly suggesting the presence of chemical reactions [1]. For the heavily Zn substituted system of (Fe2.2Zn0.8)O4/DEME-TFSIFET EDLT with less carrier concentration, the characteristic hysteresis virtually disappears [2]. These observations revealed the coexistence of two types of field effects in the Fe3minus;xZnxO4 devices, and the tuning of field-effect characteristics via composition engineering should be extremely useful for fabricating high-performance oxide field-effect devices.
References; [1] Adv. Mater. Interfaces 1 (2014) 1300108, [2] Sci. Rep. 4 (2014) 581
Present affiliation of Dr. Kohei Fujiwara: The Institute for Materials Research#12289;Tohoku Univ., Japan
4:30 AM - *KK2.06
Thermally-Assisted MRAM for Embedded Applications
Philip Trouilloud 1 Anthony Annunziata 1 Sebastien Bandiera 2 Stephen Brown 1 Erwan Gapihan 2 Eugene Orsquo;Sullivan 1 Lucien Lombard 2 Daniel Worledge 1
1IBM T.J. Watson Research Ctr Yorktown Heights United States2Crocus Technology Grenoble Cedex France
Show AbstractProgramming of Thermally-Assisted Magnetic Random Access Memories (TA-MRAM) relies on the coincidence of a thermal pulse and a writing pulse. With the thermal pulse, crossing the energy barrier needed to write to a new state can occur with a weaker writing pulse than would be needed in regular MRAM1. The data storage element is a ferromagnetic layer pinned by an antiferromagnetic layer. Materials with stronger pinning improve data retention and make it harder to overwrite. The thermal pulse temporarily reduces the pinning strength by bringing the antiferromagnet to its blocking temperature. TA-MRAM is well suited for small devices, as they can be heated and cooled quickly and efficiently.
In addition to retention, strong pinning in the operating temperature range of the device has another advantage. The devices can be read using a self-reference readout in which the stored state is interrogated non-destructively without the need for a global reference. This makes Read more tolerant to manufacturing spreads of size and resistance inherent in small devices.
We investigated TA-MRAM for embedded applications with devices designed to survive BEOL process temperatures of 400C2. Read and write performance was characterized as a function of TA-MRAM material stack parameters. In the main part of the device population, there is a 3-sigma separation between the write voltage and the breakdown voltage observed for 10^7 pulses. Consistency of the self-reference read was tested for 10^8 write pulses.
[1] Prejbeanu et al., J. Physics: Condensed Matter 19 (16), 165218 (2007).
[2] Annunziata et al., J. Appl. Phys. 117, 17B739 (2015).
5:00 AM - KK2.07
Fabrication and Magnetoelectronic Transport of Double Stack FePt Nanodots on Ultrathin SiO2
Seiichi Miyazaki 1 Yuuki Kabeya 1 Yusuke Mitsuyuki 1 Katsunori Makihara 1
1Nagoya Univ Nagoya Japan
Show AbstractNanodots (NDs) showing a high magnetic anisotropy have received much attention because of their potential application to magnetoelectronic devices. So far, we have demonstrated a spontaneous formation of L10-ordered FePt dots with an areal density as high as ~1011cm-2 by remote H2-plasma (H2-RP) exposure of Pt/Fe bilayers on ultrathin SiO2 without external heating and a unique magnetic-field dependent electron transport through individual FePt dots/ultrathin SiO2 by means of a CoPtCr-coated magnetic tip of atomic force microscopy (AFM) in a contact mode.
In this work, based on size dependence of magnetic properties of FePt nanodots, we designed and fabricated double stack FePt NDs with ultrathin internal SiO2 and characterized their magnetoelectronic transport by using a non-magentic Rh-coated AFM tip at room temperature (RT).
After FePt NDs with an areal density of ~4.5x1011cm-2, an average dot size of ~5.0nm and a RT coercivity as small as 0.5kOe were formed by H2-RP exposure of ultrathin Pt/Fe bilayers on 2nm-thick thermally- grown SiO2 layer/Si(100) without external heating, uniform coverage of the FePt NDs with a 2nm-thick SiO2 layer by a PVD method, a very uniform formation of Pt/Fe bilayers was followed by H2-RP exposure to form a spontaneous formation of FePt nanodots with an areal density of ~2.5x1011cm-2, an average dot size of ~8.0nm and a RT coercivity of ~2.5kOe formed was carried out in a similar way to the 1st formation of FePt NDs. After that, Al back contact was formed to measure the electron transport through the double stack FePt NDs on ultrathin SiO2.
I-V characteristics of the double stacked FePt NDs as a function of magnetic field were measured by using non-magnetic Ph-coated AFM Tip at room temperature. With an increase in magnetic field from 1.5 to 2.5kOe in the direction normal to the sample surface, the current level is increased significantly by over one order of magnitude. Then no further change in the current level was detectable by magnetic field application of 4.5kOe. In addition, such a current level occurred at 2.5kOe remains almost unchanged even in 24hr after removal of external magnetic field, which implies stable magnetization of the double stack FePt NDs. But when the magnetic field of 0.5kOe was applied in the opposite direction to the 1st magnetization, the current level was decreased markedly to the initial low current level. With further increase the magnetic field from 1.5 to 2.5kOe, the switching to a high current level occurred. The observed magnetic field dependence of the current level through the double stack FePt NDs can be interpreted in terms of alignment in the magnetization polarity between staked FePt NDs with different coercivities.
5:15 AM - KK2.08
Magnetoresitance of Nickel Nanoparticles Embedded in High-Quality Single-Crystal Silicon
Girish Malladi 1 Mengbing Huang 1 Hassaram Bakhru 1 Steven Novak 1 Thomas Murray 1 Vincent LaBella 1 Akitomo Matsubayashi 1
1CNSE SUNY Albany Albany United States
Show AbstractIntegrating magnetic functionalities with Silicon, the dominant semiconductor in microelectronics, holds a promise to realize devices with multiple functionalities such as spin-valves, spinFETs etc. Earlier studies based on the incorporation of transition metal atoms into Silicon have reported ferromagnetism in Silicon but such materials have very low Curie temperature. Additionally, the observed room-temperature ferromagnetism in transition metal implanted Si has been rather associated with the implanatation-related defects. In this work, we developed a novel method based on ion implantation to fabricate a thin layer of ferromagnetic nanostructures embedded within single crystal Si. This is achieved by exploiting the effects of ion implanted hydrogen on the formation of metal nanoparticles in Si. With this approach, we demonstrate the synthesis of a layer of Ni nanoparticles (size: ~10-25 nm; density: ~ 1011/cm2) within a Si environment of a very high crystal quality. Ni nanoparticles encapsulated within such high-quality crystalline Si layer exhibit a high magnetic switching energy barrier of ~ 0.86 eV, an increase by about one order of magnitude as compared to their counterparts on a Si surface or in a highly defective Si environment. Strong ferromagnetism associated with this Ni nanostructure layer is evidenced even at room temperature. These ferromagnetic nanostructures also show enhanced positive or negative magnetoresistance depending on injected carrier types, when an external magnetic field is applied in the transverse direction. Such a thin layer of ferromagnetic nanostructures embedded in Si has a potential to act as an internal spin filter for the generation of spin-polarized carriers in Silicon.
5:30 AM - KK2.09
Thickness Dependent Multiferroic Properties of Nanoscale PZT/LSMO and PZTFT for Multiferroic Tunnel Junction Applications
Danilo G. Barrionuevo Diestra 1 2 Nora Ortega 1 2 Ram S. Katiyar 1 2 Ashok Kumar 3
1University of Puerto Rico San Juan United States2Institute of Functional Nanomaterials San Juan United States3National Physical Laboratory (CSIR), Dr K S Krishnan Road New Delhi India
Show AbstractMultiferroic magnetoelectric materials combine ferromagnetism and ferroelectricity giving the possibility of controlling polarization P with a magnetic field H or magnetization M with an electric field E. Ultrathin films offer the opportunity of combining respective advantages of fast low-power electrical write operation and non-destructive magnetic read operation, resulting in the realization of four-state logic. A way to exploit these properties are to use them in multiferroic tunnel junctions (MFTJs). Pb(Zr0.53Ti0.47)0.60(Fe0.5Ta0.5)0.40O3 (PTZFT) is a single-phase multiferroic material at room temperature. In order to study thickness effect on electrical and magnetic properties from thicker to ultrathin films, we have grown films with thicknesses from 4 to 80 nm of PZTFT on (001) LSMO/(LaAlO3)0.3(Sr2AlTaO6)0.7 (LSMO/LSAT) substrates deposited by pulsed laser deposition (PLD) technique. Well saturated ferroelectric loops were observed for PZTFT films with a remanent polarization of 32, 25 and 10 mu;C/cm2 for films with thicknesses of 80, 50 and 20 nm respectively. An enhanced saturated magnetization (Ms) was observed with increased PZTFT layer thickness in PZTFT/LSMO structures. The average Ms values for PZTFT/LSMO heterostructures were 33, 25, and 15 emu/cm3 for 80, 50, and 20 nm respectively, at 300 K. Piezo force microscopy measurements for 4, 5, and 7 nm ultrathin PZTFT films showed a clear and reversible out-of-plane phase contrast above ± 4 V, which indicates the ferroelectric character of ultra-thin films. Magnetic force microscopy show magnetic stripe domains in ultrathin films. The effect of PZTFT film thickness on temperature dependent dielectric properties will be discussed. We have also studied the effect of polar capping on magnetization in nanoscale PZT(PbZr0.52Ti0.48O3)(5 and 7 nm)/LSMO(30 nm) heterostructures grown by PLD technique. PZT/LSMO heterostructures with thick polar PZT (7 nm) capping showed nearly 100% enhancement in magnetization compared with thin polar PZT (5 nm) films, probably due to excess of hole transfer from the ferroelectric to the ferromagnetic layers. Core-level X-ray photoelectron spectroscopy (XPS) studies revealed the presence of large Mn (3s) spin-orbit hybridization and high Mn3+/Mn4+-ion ratio in the LMSO with 7 nm polar capping. The transport properties for Pt/PZT(7 nm)/LSMO heterostructures shown a significant variation in tunneling electroresistance (TER) ratio when was exposed to magnetic field and its values at zero bias changed from 57 (at 0 G) to 110 under 10 kG of magnetic field. We attributed this enhancement to change in resistance near the PZT/LSMO interface under magnetic field. Ferroelectric polarization reversal and application of magnetic field changed lattice strain, chemical bonding and charge modulation near PZT/LSMO interface which in turn affects the charge carrier density and transmission probability. Our results suggest the possibility to manipulate TER by magnetic field.
5:45 AM - KK2.10
Experimental Realization of Non-Volatile Memory: A Nanodevice Based on the Interplay between Superconducting Ratchet Effect and Out of Plane Magnetization
Jose Luis Vicent 1 2 Javier del Valle 1 Alicia Gomez 1 Elvira Maria Gonzalez 1 2 Manuel R. Osorio 2 Daniel Granados 2
1Univ Complutense Madrid Madrid Spain2IMDEA-Nanociencia Madrid Spain
Show AbstractWe have fabricated and tested a nanodevice that works as a non-volatile three-state memory, as well as a reading device (1). The nanodevice is a Si substrate with array of triangular-shaped nanomagnets embedded in a superconducting film. The nanomagnets are made of Co/Pd multilayers with the magnetization perpendicular to the samples plane. This magnetic configuration yields a strong stray magnetic field threading the Nb superconducting film. Consequently superconducting vortices are induced in the film without needing an applied external magnetic field. Applying an ac current in the device triggers a ratchet effect (2), so that an output dc voltage is obtained. The nanomagnet can be easily set in three states upward magnetization (+1), downward magnetization (-1) and demagnetized state (0). These three resilient states are detected by measuring the output dc voltages. We have to point out that the zero state shows a plateau where the output voltages remain constant. So the three states are well defined. In the case an external magnetic field is applied to the device the device turns into a magnetic sensor device, which more outstanding realization is that output voltage signal is zero for a precise value of the applied magnetic field. This value only depends on the fabrication characteristics of the nanodevice.
(1) J. del Valle, A. Gomez, E. M. Gonzalez, M. R. Osorio, D. Granados and J. L. Vicent, arXiv: 1505.04961
(2) J. E. Villegas, S. Savelev, F. Nori, E. M. Gonzalez, J. A. Anguita, R. Garcia, J. L. Vicent,Science 302, 1188 (2003).
KK3: Poster Session I: Advanced Flash, MRAM, Ferroelectric Memory, PCM, Others
Session Chairs
Eisuke Tokumitsu
Philip Trouilloud
Tuesday PM, December 01, 2015
Hynes, Level 1, Hall B
9:00 AM - KK3.02
Improving Electrical Properties of Inter-Poly Dielectric Layer using Atomic Layer Deposition Process in 2D NAND Flash Memory
Jeahoon Lee 1 Byoungjun Park 1 Minho Jeong 1 Jiyul Park 1 Sungpyo Lee 1 Younghwan Choi 1 Myoungkwan Cho 1 Kun-ok Ahn 1 Jinwoong Kim 1
1SK Hynix Cheongju-si Korea (the Republic of)
Show AbstractRecently, the NAND flash memory as a storage media for various mobile devices has been scaled down into sub-20 nm. However, reliability characteristics such as endurance and retention of NAND cells are also degraded as NAND Flash memory cells became smaller and closer. Of those ones, data retention characteristic is strongly related with inter-poly dielectrics (IPD), which consist of oxide-nitride-oxide layers. Because, those ones play an important role in NAND flash memory cell structure to prevent loss of charges in the floating gate. In this study, to improve the electrical properties of IPD layers, we change the way of deposition from conventional low pressure chemical vapor deposition to atomic layer deposition, which shows excellent step coverage and has less trap sites. Electrical properties of IPD layers are characterized with time dependent dielectric breakdown method and current versus voltage curves in the test element groups. Also, we check the data retention characteristic with threshold voltage distributions of NAND cell array.
9:00 AM - KK3.03
Laser Fabricated Nanocrystals for Memory Devices
L Kastanis 2 Jacob Leonard Spear 1 A Aggelou 3 Nikolaos Kalfagiannis 1 Ch Sargentis 2 Demosthenes Koutsogeorgis 1 E.K. Evanglou 3 D. Tsamakis 2
1Nottingham Trent University Nottingham United Kingdom2NTUA Attiki Greece3University of Ioannian Ioannina Greece
Show AbstractFlash memory is a type of electronic memory most often used in portable electronic devices and floating gate is the primary technology to construct such memories [1]. With downscaling of the device feature size, conventional poly-silicon floating gate flash memories are facing a severe challenge that the thinner tunneling oxide will degenerate retention characteristics due to leakage current [2]. During the last decades nanocrystals (NCs) have been extensively studied as a replacement to the polycrystalline Si layer in the floating gate nonvolatile memories (NVMs) [3]. Among various NC materials, it is well known that nonvolatile memories utilizing metal NCs have the advantages of higher density of states around the Fermi level, stronger coupling with the conduction channel, and smaller energy perturbation due to carrier confinement [4]. Moreover, metal nanoparticles with high work-function, like platinum (Pt), Silver (Ag) and gold (Au) NPs, are promising for the use of nanoparticle type memory due to the deep potential wells they create. At the same time, laser fabrication has been proven to be a versatile and powerful method for fabricating nanoparticle arrays with well controlled characteristics (size and distribution) [5].
In this work we present the growth of Ag nanocrystals (NCs) on SiO2 surfaces via sputtering followed by post deposition laser annealing (LA) as well as conventional rapid thermal annealing (RTA). Post-annealing treatment was introduced to the fabrication process to optimize device performance. Enhanced performance nanocrystal memory characteristics are shown for the laser annealed MOS devices as compared to RTA ones.
Thermally grown SiO2 with thickness around 2-5nm was prepared on n type Si(1-10 Ohm.cm) wafers. On top of the SiO2 surfaces a thin (5nm) Ag layer was sputtered followed by a blocking Y2O3 layer (around 40 nm sputtered at room temperature). After laser annealing at various fluences with an KrF laser, MOS devices were fabricated by shadow mask evaporation of Al gate contacts.
Capacitance vs Voltage (C-V) and Current vs Voltage (I-V) measurements of n-Si\SiO2\Ag NCs\Y2O3\Al devices show an almost 20% larger memory window, improved retention properties and reduced leakage currents. Fowler-Nordheim tunnelling was found to be the dominant current conduction mechanism at applied gate voltages in the range of 5 to 10 V.
Our results indicate that laser annealing leads to MOHOS-type flash memory devices with improved electrical characteristics due to the creation of superior quality metal nanocrystals.
9:00 AM - KK3.04
A Hybrid Ferroelectric-Flash Memory with Quasi-Single Crystal Pb(Zr,Ti)O3 for Blocking Layer
Jaehyo Park 1 Hyung Yoon Kim 1 Zohreh Kiaee 1 Seung Ki Joo 1
1Seoul National University Seoul Korea (the Republic of)
Show AbstractIn this work, A novel concept of charge-injection (CI) type ferroelectric-assisted flash memory FET (FAFM-FET) were fabricated. The total structure of the device was Pt/Pb(Zr,Ti)O3(PZT)/ZrTiO4(ZT)/SiO2/Si, where the PZT, ZT, and SiO2 was used for the blocking layer, charge-trapping layer, and tunneling layer, respectively. Strong polarization and high dielectric constant (1500) of PZT layer showed amplification effect, which improves the retention time and P/E switching speed. The ZT layer having a high dielectric constant (45) showed a high charge storage and effective diffusion barrier. As a result, the CI FAFM-TFT exhibited excellent memory characteristics, such as large memory window (9.1 V), fast P/E speed (500 nsec), long retention time and good endurance.
9:00 AM - KK3.05
Magnetoelastic Effect in Multilayer of Ni Nanoparticle and C Film Produced by Pulsed Laser Deposition
Alexsandro dos Santos Evangelista Cruz 1 Fernando Fabris 1 Dante Ferreira Franceschini 1 Yutao Xing 1 Wallace Castro Nunes 1
1Instituto de Fiacute;sica ( Universidade Federal Fluminense) Niteroacute;i Brazil
Show AbstractThe research on magnetic nanoparticle(NPs) has increased in recent years due to several potential applications, including recording media, magnetic hyperthermia, drug delivery and others. In this work, we produced multilayer in substrate of Si alternating the deposition of Ni NPs and amorphous carbon film. The film and NPs was deposited by Pulsed Laser Deposition (PLD). Carbon film was deposited in vacuum of about 1.6x10-6 Torr and Ni NPs was grown in Argon atmosphere at pressure of 1.0 Torr. The carbon film causes a mechanical stress in Ni NPs resulting in a magnetic anisotropy of magnetoelastic origin. The results shows that the samples with lower thickness of carbon film have easy axis magnetization parallel to the film surface. On the other hand, the increase of the thickness changes the easy axis to perpendicular direction. In addition, there is a change in blocking temperature of about 15K to near room temperature, respectively. The change is due to the increase of the stress of the carbon film. The energy associated with this anisotropy can be evaluate according to the and is given by E=-(3/2)lambda;σcos2theta;, where lambda; is the magnetostriction coefficient, σ is the induced strain and theta; is the angle between magnetization and deformation axis. It has been reported that anisotropy induced by magnetoelastic effect can be larger than magnetocrystalline anisotropy in Ni NPs system, and thus can define the easy axis of magnetization of the sample. The morphology of the samples were characterized by Transmission Electron Microscopy. To study the details about anisotropy effect we measured M(H) curves and ZFC(Zero Field Cooled) and (Field Cooled) curves for applied magnetic field parallel or perpendicular to the sample surface. The magnetic and structural results of the studied samples are discussed considering the magnetoelastic effect induced by carbon film in Ni NPs and potential application in magnetic recording media.
9:00 AM - KK3.06
Temperature Dependence of Magnetization in Interacting Nanoparticle Systems
Fernando Fabris 2 Oana Pascu 1 Maria Fialho Vaz 1 Wallace Castro Nunes 2
1Universidade Federal Fluminense Niteroacute;i Brazil2Universidade Federal Fluminense Niteroacute;i Brazil
Show AbstractThe nanostructured materials have shown large potential applications in many fields of science and technology. In particular, magnetic nanoparticles have been used as high-moment soft magnetic materials, magnetic sensors, improved nanocomposite magnets, and others. Theoretical models describing the magnetic properties of nanoparticle systems usually neglect interaction effects among nanoparticles. However, in rather concentrated systems the nanoparticles are close enough for interactions among them become noticeable, affecting their macroscopic magnetic properties. Is this work we developed a phenomenological model to describe the magnetic behavior of interacting nanoparticle systems. The model is based on a simple modification of the random anisotropy model to take into account the concentration and size of the nanoparticles as well as the field dependence of the correlation length. In addition, we considered that each group of correlated nanoparticles is subjected to the external magnetic field plus a internal mean field generated by dipolar interaction of other groups of nanoparticles. The proposed model leads to a accurate description of the zero-field cooled and field cooled magnetization curve of nanoparticle systems for a wide range of nanoparticle concentration.
9:00 AM - KK3.07
Ferroelectricity in Rare-Earth (Sm, Gd) Doped-HfO2 Thin Films Fabricated by Sequential Pulsed Laser Deposition
Yogesh Sharma 1 Danilo Barrionuevo 1 Radhe Agarwal 1 Shojan Pavunny 1 Ram S. Katiyar 1
1University of Puerto Rico San Juan United States
Show AbstractRecently, experimental as well as theoretical studies showed ferroelectricity in aliovalent ions (Si4+, Al3+, Y3+, and Zr4+) doped hafnia (HfO2) thin films which are compatible with existing CMOS technology. Ferroelectric HfO2 films have promising potential for the three-dimensional capacitor structure required for the future field driven and energy efficient ferroelectric random-access-memory (FeRAM) devices. With this motivation, rare-earth doped hafnium oxide (HfO2) thin films are pulsed laser deposited by sequential ablation of individual ceramic binary oxide targets. 6 mol. % of Sm2O3/Gd2O3 doped-HfO2 thin films (Sm:HfO2 and Gd:HfO2) of about 60 nm thickness and crystallized in cubic phase are found to exhibit ferroelectricity. A remnant polarization (Pr) of ~12.5 (11) mu;C/cm2 along with a coercive field (EC) of ~334 (384) kV/cm are observed in Sm:HfO2 (Gd:HfO2) thin films. Piezoresponse force microscopy measurements further confirmed the ferroelectric nature of these thin films by showing phase hysteresis and butterfly amplitude loops. It can be noticed that wake-up cycles improved the remnant polarization and found to be necessary for the forming of a well saturated hysteresis loop. Our results show potential towards realization of densely scaled next generation non-volatile FeRAM devices compatible with silicon technology.
9:00 AM - KK3.08
Probing Multi-Level Ferroelectric States in Multi-Floor P(VDF-TrFE) Nanostructures
Owoong Kwon 1 Seung Hyun 2 Jin Kon Kim 2 Yunseok Kim 1
1Sungkyunkwan University Suwon-si Korea (the Republic of)2Pohang University of Science and Technology Pohang Korea (the Republic of)
Show AbstractFerroelectric random access memory (FeRAM) is one of next-generation memories which show fast read/write time, low power consumption, high write-erase cycles and etc. However, its actual application is technically limited due to scalability and flexibility of the device. Thus, here, to overcome these limitations on the scalability and flexibility, we demonstrate multi-floor ferroelectric copolymer polyvinylidene fluoride trifluoroethylene [P(VDF-TrFE)] nanostructures for achieving both flexible devices and multi-level polarization states. Since the P(VDF-TrFE) is a well-known flexible ferroelectric material with high dielectric constant and low processing temperature, we have chosen the P(VDT-TrFE) for preparing array of multi-floor cascading of the nanostructures which allows achieving spatially multi-leveled structures. Switching spectroscopy piezoresponse force microscopy (PFM) clearly shows the different switching behavior at each floor indicating spatially varied ferroelectric states. Furthermore, multi-level ferroelectric states on the same floor were examined by first-order reversal curve-type PFM. The obtained results clearly reveal the existence of the multi-level ferroelectric states on the multi-floor P(VDF-TrFE) nanostructures. To further understand the existence of the multi-level ferroelectric states, finite element modeling was performed to visualize the electric field distribution with respect to the location of the conductive probe. These results can provide not only stepwise structural effects of the piezoresponse in the P(VDF-TrFE) but also potential device structures in the FeRAM.
9:00 AM - KK3.10
Differentiating Ferroelectric/Piezoelectric Effects from Electromechanical Response in Strain Based Atomic Force Microscopy
Seongjae Park 1 Daehee Seol 1 Olexandr Varenyk 2 Tricia Meyer 3 Ho-Nyung Lee 3 Anna Morozovska 2 Yunseok Kim 1
1Sungkyunkwan University (SKKU) Suwon Korea (the Republic of)2Institute of Physics of the National Academy of Sciences of Ukraine Kyiv Ukraine3Oak Ridge National Laboratory Oak Ridge United States
Show AbstractThe ferroelectric materials have been of great interest for multiple applications such as ferroelectric memories and energy harvesting due to its spontaneous polarization and piezoelectricity. In order to probe the exitence of ferroelectric properties at nanoscale, hysteresis loop measurements are typically performed using piezoresponse force microscopy (PFM). However, ferroelectric-like hysteresis loops were recently reported in non-ferroelectric materials and could be resulted from different mechanisms so that it can be misinterpreted as ferroelectric materials. This indicates that an approach for probing ferroelectric effect based on the hysteresis loop measurements can be limited in the interpretation of the ferroelectricity. Here, we suggest a new and facile way to differentiate ferroelectric effects from the other contributions using frequency dependent Vac measurements. Li-ion conductive glass ceramics (LICGC), which have both ionic and piezoelectric phases, and Pb(Zr,Ti)O3, which is a ferroelectric material, have been chosen as model systems to demonstrate our approach. The electromechanical (EM) response originated from piezoresponse is independent with its frequency, whereas that induced by the other contributions shows frequency dependent behavior. In addition, theoretical calculation of the other contributions to the EM response approves its frequency dependence. These results can provide a new and facile approach for differentiating the ferroelectric effects.
9:00 AM - KK3.11
The Orientation Controlled (Pb,La)(Zr,Ti)O3 Thin Films through PLD and Annealing Conditions for Robust Ferroelectric Capacitor
Takeyasu Saito 1 Taiga Amano 1 Rika Tamano 1 Yoko Takada 1 Naoki Okamoto 1 Kazuo Kondo 1 Takeshi Yoshimura 2 Norifumi Fujimura 2 Koji Higuchi 3 Akira Kitajima 3
1Osaka Prefecture University Sakai Japan2Osaka Prefecture University Sakai Japan3Osaka University Ibaraki Japan
Show AbstractFerroelectric random access memory (FeRAM) has tremendous potential for future non-volatile memory devices due to its excellent characteristics. Ferroelectric properties were generally influenced by crystal orientation of the films that also determines device performance. Therefore, the orientation control of the ferroelectric films was very crucial for highly integrated and reliable FeRAM devices.
In this study, we fabricated (Pb,La)(Zr,Ti)O3 (PLZT) thin films using pulsed laser deposition (PLD), then, we studied effects of PLD and annealing conditions on ferroelectric properties. Also, we measured crystallographic orientation of the films and discussed the relationship between electrical properties and orientation.
The substrates were highly (111)-oriented sputtered Pt (150-nm-thick) as bottom electrode. PLZT thin films (ca. 300-nm-thick) (Pb:La:Zr:Ti = 1.13~1.27:0.03:0.3:0.7) were deposited on Pt bottom electrode by using PLD. The substrate temperature during PLD was changed from R.T. to 600°C. Then, Pt top electrode was formed on the PLZT thin films using RF sputtering with a 5minus;500-mm-diameter shadow mask. Finally, the fabricated ferroelectric capacitors were annealed using rapid thermal annealing at 650~750°C (3~30 min) in air.
We investigated the effects of substrate temperature during PLD, Pb contents of the target, annealing temperature, and annealing period to improve ferroelectric properties. Lower substrate temperature (R.T.) during PLD, higher Pb contents (Pb = 1.27) of the PLD target, higher annealing temperature (750°C) and appropriate annealing period (10 min) exhibited PLZT(100) well-oriented films. Especially, PLZT(100) originated peaks appeared with increasing annealing temperature up to 750°C, in addition to PLZT(111) peaks. The annealing temperature strongly affects the orientation of PLZT thin films. The best remnant polarization and coercive voltage at an applied voltage of 10 V was 22.0 mu;C/cm2 and 7.1 V, respectively, was obtained.
9:00 AM - KK3.12
Dielectric and Ferroelectric Properties of Lead-Free BTS-BCT Thin Films Processed by Chemical Solution Deposition Method
Berk Akbay 1 Ahmet Macit Ozenbas 1
1Middle East Technical Univ Ankara Turkey
Show AbstractFor a few decades, the piezoelectric materials have been considered as important functional materials for various applications, from the microphones to the high technology scanning electron microscopes, actuators, sonar sensors, cell phones, MEMS and etc. Lead-based phases have dominated almost all these applications. However, lead based materials have been found as toxic and hazardous materials and will be prohibited to use within a short period of time. Among various lead-free piezoelectric materials, BTS-BCT based phases are good candidates instead of Pb-based materials due to having a tricritical point compared to other lead-free alternatives. In this study, lead-free Ba(Ti0.88Sn0.12)O3-0.3(Ba0.7Ca0.3)TiO3 (BTS-BCT) thin films were successfully grown on Pt/TiO2/SiO2/Si substrates using chemical solution deposition method. The effect of sintering temperatures on microstructure, dielectric and ferroelectric properties were studied systematically. Among the various high-quality BTS-BCT thin films with uniform thickness, the optimum room temperature dielectric and ferroelectric responses were observed for the thin films sintered at 850oC for 1 h. The thickness was kept constant for all measurements as 500 nm (13 layered films). Both morphological and structural analyses showed that BaTiO3 based (Ba0.91Ca0.09)(Ti0.92Sn0.08)O3 composition had homogeneously nucleated growth mechanism throughout the film. This type of growth mechanism yields polycrystalline films as observed in structural analyses and dense-small grained morphology as observed in morphological analysis. Optimum dielectric constant and dielectric loss values were obtained as 113.4 and 5.46 % at 600 kHz frequency for the BTS-BCT thin films sintered at 850°C due to perovskite phase showing full crystallization and minimum surface porosity obtained at this temperature. Also, remnant polarization (Pr) and coercive field (Ec) values were determined as 4.1 mu;C/cm2 and 57.8 kV/cm, respectively, for the films sintered at 850°C using 10 V applied voltage. Both ferroelectric and dielectric results were evaluated in the light of morphological and structural information. Thus, process parameter is selected as 850°C which was the optimum sintering temperature for the production of BaTiO3 based BTS-BCT thin films.
9:00 AM - KK3.13
Statics and Dynamics of Ferroelectric Domains in Diisopropylammonium Bromide
Haidong Lu 1 Tao Li 1 Shashi Poddar 1 Om Goit 1 Stephen Ducharme 1 Alexei Gruverman 1
1University of Nebraska-Lincoln Lincoln United States
Show AbstractRecent discovery of ferroelectricity in molecular salts has spurred the studies on fundamental ferroelectric ordering and polarization control in these materials in quest for new type of functionality not available in conventional inorganic and polymer ferroelectrics. Uniaxial The uniaxial molecular ferroelectric diisopropylammonium bromide (DIPA-B) is one of the emerging materials of high fundamental and potentially technological importance. Here, we report the results of an investigation of the nanoscale static and dynamic behavior of ferroelectric domains in DIPA-B microcrystals by means of piezoresponse force microscopy (PFM) technique. Effective manipulation of in-plane polarization by electrically-biased PFM tip is demonstrated. We show that stable head-to-head or tail-to-tail domain configurations can be realized in DIPA-B crystals and study the factors determining this stability. In-plane alignment of polarization allows investigation of the forward domain growth along the polar axis - a process that is difficult to attain in conventional ferroelectric switching studies. Using this sample geometry, we have directly measured anisotropy of the domain wall velocity for the forward and lateral growth and demonstrate the effect of the forming charged domain walls on morphology of the growing domains.
9:00 AM - KK3.14
Ferroelectric Retention Free BiFeO3 Mesocrystal
Ying-Hui Hsieh 1 Fei Xue 2 Yen-Chin Huang 3 Yi-Chun Chen 3 Chun-Gang Duan 4 Long-Qing Chen 2 Qing He 5 Ying-Hao Chu 1 6
1National Chiao Tung University Hsinchu Taiwan2Pennsylvania State University University Park United States3National Cheng Kung University Tainan Taiwan4East China Normal University Shanghai China5Durham University Durham United Kingdom6Academia Sinica Taipei Taiwan
Show AbstractNowadays, one of the focal approaches to pursue next generation low power consumption, multifunctional, and green nanoelectronics is to advance the electric field control of lattice, charge, orbital, and spin degrees of freedom. In order to control these degrees of freedom, a medium possessing the coupling between these degrees needs to be established. Multiferroics that support both strong ferroelectric and magnetic orders are typically insulators with an antiferromagnetic spin arrangement. Among numerous multiferroic systems, BiFeO3 (BFO) is currently the most studied and best understood. Large ferroelectric polarization along <111> directions and G-type antiferromagnetism at room temperature make BFO appealing for applications in non-volatile devices. The orientation of the antiferromagnetism couples to the ferroelastic strain state and is always perpendicular to the ferroelectric polarization. Once the polarization is switched by an electric field, the easy plane of magnetization changes accordingly to reach a stable state, offering an opportunity for controlling spin via the electric field.
Although BFO is an ideal template of manipulating the spin via electric field, some key issues, such as imprint, retention, and fatigue, have to be solved before the realization of new devices. For example, retention can be addressed to thermodynamic instability of the domain. Asymmetric free energy landscapes, mainly due to different out-of-plane electric boundary conditions, result in at least one unstable polarization state. In the metal-ferroelectric-metal capacitor, the problem can be solved by controlling the bottom and top electrodes to ensure the electrostatic boundaries are balanced. However, to reduce the circuit size, the structure of a transistor with ferroelectric/multiferroic is more favorable. The transistor of semiconductor-ferroelectric/multiferroic-metal will form an imbalance of electrostatic boundary conditions, causing severe retention problems. To solve the problem, additional energy term has to be induced into the system to balance the free energy landscape. Although efforts on related studies have shown their ways to reduce the energy difference of the polarization double-well by controlling chemical environment, breaking the out-of-plane compositional symmetry, or using strain gradient, ferroelectric retention is still a key issue to be dealt with. In order to shed light on the retention problem, we intend to use the elastic energy as a key parameter to improve ferroelectric retention of BFO. In this study, self-assembled BFO mesocrystal will serve as a model system. The intimate connection between the mesocrystal and matrix material provides a tunable structure coupling. This elastic energy term may be exploited to improve ferroelectric/multiferroic retention. The achievement of great improvement on the retention in this system will open a new avenue to ferroelectric retention and the possible application in nonvolatile devices.
9:00 AM - KK3.15
Photoelectric Switchable Diode Effect in Semiconducting Sulfur-Based Ferroelectric Materials
Yiping Wang 1 Jian Shi 1
1Rensselaer Polytechnic Institute Troy United States
Show AbstractWe will present both experimental and theoretical exploration of how ferroelectricity would manipulate the diode behaviors in ferroelectric semiconducting materials. Via van der Waals epitaxy, we will show the growth of high-quality large scale semiconducting ferroelectric SbSI and Sb2S3 sheets. The 3d transition metal-free ferroelectrics will enable greatly increased diffusion lengths of minority carriers, making the high-efficiency light-to-electricity generation process possible. We will show that the ferroelectric polarization effectively regulates the width of depletion region and therefore boosts the quantum efficiency of the sulfur-based materials as light sensitizer. The coupling of ferroelectricity and semiconductivity suggests a new avenue to high-efficient, fast, and endurable ferroelectric photovoltaic nonvolatile memory.
9:00 AM - KK3.16
Multistate Switching in Ferroelectric Multilayer Capacitors
Alexei Grigoriev 1 Pavel Salev 1
1Univ of Tulsa Tulsa United States
Show AbstractFerroelectric materials are successfully used in non-volatile memory applications. Multifunction properties of ferroelectric and multiferroic complex oxides allow researchers to develop new approaches to memory storage and switchable electronic and optical devices. We found double polarization switching and multiple dielectric states in ferroelectric bilayer capacitors of thin BaTiO3 (BTO) and PbZr0.2Ti0.8O3 (PZT) layers. Computational analysis predicts that the multistate switching can be a common feature of ferroelectric multilayer capacitors made of materials with different remnant polarizations. In this work we present experimental results of polarization stability, switching dynamics, and switchable dielectric state analysis of BTO/PZT bilayer capacitors.
9:00 AM - KK3.17
Realization of Flexible Block Copolymer-Incorporated One Diode-One Phase Change Memory Array on Plastic Substrate
Beomho Mun 1 Byoung Kuk You 1 Daniel Juhyung Joe 1 Se Ryeun Yang 1 Hyeon Gyun Yoo 1 You Yin 2 Yeon Sik Jung 1 Keon-Jae Lee 1
1KAIST Daejeon Korea (the Republic of)2Gunma University Gunma Japan
Show AbstractRecently, there has been significant research effort on realization of flexible non-volatile memory, the fundamental component for data processing, storage, and radio frequency communication in flexible electronic systems. Among several emerging non-volatile memory technologies, phase-change random-access memory (PRAM) is one of the strongest candidates for next-generation non-volatile memory devices due to its remarkable characteristics of large cycling endurance, high speed, and excellent scalability. Although there are several approaches for flexible phase-change memory (PCM) devices, high reset current is the biggest obstacle for their practical operation. In this presentation, we show the fabrication of flexible PCMs by incorporating nano-insulators derived from Si-containing block copolymer (BCP) in order to significantly lower the operating current of the memory on a plastic substrate. The reduction of thermal stress by BCP nanostructures enables the reliable operation of the memory devices spatially integrated with ultrathin, single crystal flexible Si-diodes during more than 100 switching cycles and 1000 bending cycles. These results may open up a new opportunity for realizing flexible PRAMs for practical implementation in electronic applications.
9:00 AM - KK3.18
Electrodeposition of GST phase change memory
Philip Bartlett 1 Sophie Benjamin 1 2 C. H (Kees) de Groot 1 Andrew Lee Hector 1 Ruomeng Huang 1 Andrew Jolleys 1 Gabriela Kissling 1 3 William Levason 1 Gillian Reid 1
1Univ of Southampton Southampton United Kingdom2Nottingham Trent University Nottingham United Kingdom3University of Bath Bath United Kingdom
Show AbstractChalcogenide-based phase change memory (PCM) is a promising candidate for next generation non-volatile memory. Electrodeposition offers several potentially significant advantages for growth of semiconductor alloys for PCM as a fast process with lower cost compared with vapour deposition techniques. It enables excellent control over the composition across ternary phase diagrams in p-block alloys, and is well suited to deposition into patterned substrates.1,2
We have developed a tuneable electrolyte bath that is suitable for the rapid electrodeposition of ternary GexSbyTez phase change materials. Uniform and continuous films were deposited with very low impurity levels. Thin film memory cells are fabricated from these films, displaying good switching performance, stable endurance and an on/off ratio of around 1000.
Uniform filling of nano-patterned electrodes constructed by lithographic or e-beam etching of silica films on titanium nitride provided access to vertical memory devices. TiN top contacts have been applied to these and the resulting microstructured and nanostructured GST-225 devices also displayed good switching performance.
1. P. N. Bartlett, D. Cook, C. H. de Groot, A. L. Hector, R. Huang, A. Jolleys, G.P. Kissling, W. Levason, S. J. Pearce and G. Reid, RSC Adv. 3 (2013) 15645-15654.
2. P. N. Bartlett, S. L. Benjamin, C. H. de Groot, A. L. Hector, R. Huang, A. Jolleys, G.P. Kissling, W. Levason, S. J. Pearce, G. Reid and Y. Wang, Mater. Horiz. (2015) DOI: 10.1039/c5mh00030k.
9:00 AM - KK3.19
Modeling Crystallization and Void Formation in Ge2Sb2Te5 Nanostructures
Adam Cywar 1 Zachary Woods 1 Ali Gokirmak 1
1Univ of Connecticut Storrs United States
Show AbstractThere is considerable interest in studying and modeling phase transitions in Ge2Sb2Te5 (GST) nanostructures for the development of phase-change memory technology [1]. Typically, the crystallization models in the literature [2-3] examine a rectangular area of material in which the material is being heated uniformly throughout. We present a finite element model for simulating the nucleation and growth of crystal grains within an amorphous domain of GST alongside other physics such as joule heating and solid mechanics, allowing for modelling of crystallization in an arbitrarily shaped structure where a thermal gradient and/or a transient may be present. This approach will allow for the modeling of dynamic crystallization during a set or reset operation, allowing for updates of the electrical, thermal and mechanical properties of the material as it crystallizes.
The current models for the crystallization of GST reported in the literature [2-3] do not account for the ~ 7% volume reduction that occurs upon the as-deposited amorphous to crystalline phase change [4]. Experimental results (STEM) have shown that a confined volume of as-deposited amorphous GST typically exhibits several voids after crystallization during annealing. The locations and sizes of the resulting voids are critically important to the quality of the device performance. Our model captures the volume change during crystallization to predict void locations and sizes based on the local strain and stress of grain boundary locations during the first-time annealing of as-fabricated devices.
[1] H. Wong et al., "Phase Change Memory," Proc IEEE, vol. 98, pp. 2201-2227, 2010.
[2] P. Ashwin, et al., "Fast simulation of phase-change processes in chalcogenide alloys using a Gillespie-type cellular automata approach," J. Appl. Phys., vol. 104, pp. 084901, 2008.
[3] G. W. Burr et al., "Observation and modeling of polycrystalline grain formation in Ge2Sb2Te5," J. Appl. Phys., vol. 111, pp. 104308-104308-12, 2012.
[4] W. K. Njoroge et al., "Density changes upon crystallization of Ge2Sb2.04Te4.74 films," Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol. 20, pp. 230-233, 2002.
9:00 AM - KK3.20
Growth of Crystalline Hexagonal GexSbyTez by Metal Organic Vapour Phase Epitaxy
Martin Schuck 1 2 Sally Riess 1 2 Kristof Keller 1 2 Daniel Wilson 4 5 3 Christoph Schmitz 5 2 Denis Rudolf 1 3 2 Manuel Bornhoefft 6 7 2 Joachim Mayer 6 7 2 Astrid Besmehn 8 Gregor Mussler 1 2 Martina von der Ahe 1 2 Hilde Hardtdegen 1 2 Detlev Gruetzmacher 1 2
1Forschungszentrum Juelich GmbH Juuml;lich Germany2Juelich-Aachen Research Alliance (JARA) Juelich Germany3RWTH Aachen University Juelich Germany4Forschungszentrum Juelich Juelich Germany5Forschungszentrum Juelich Juelich Germany6RWTH Aachen University Aachen Germany7Forschungszentrum Juelich Juelich Germany8Forschungszentrum Juelich Juelich Germany
Show AbstractPhase change memory (PCM) based on chalcogenides such as the Ge-Sb-Te compounds along the Sb2Te3 - GeTe pseudo-binary line have been widely used for optical data storage and in recent years also as non-volatile resistive memory devices. In these applications, the ultra-fast and reversible phase change between the amorphous and the metastable cubic crystalline phase, associated with a high contrast in reflectivity and resistivity is used for data storage. They are deposited in the amorphous state by atomic layer deposition or physical vapour deposition (sputtering). Due to the lack of applications, the thermodynamically stable crystalline hexagonal phase was not in the centre of attention up to now. However, recently superlattices of highly textured hexagonal Sb2Te3 - GeTe layers have received increasing interest due to an altered switching mechanism with reduced switching energy. Switching is field induced and occurs at the interfaces of the materials between two crystalline states circumventing the melting needed for the phase change. The layered structure of monocrystalline hexagonal Ge-Sb-Te compounds inherently resembles the superlattice structure with respect to atomic stacking and crystal orientation to the substrate. For this reason, the preparation and intense study of epitaxial, hexagonal Ge-Sb-Te can be of fundamental interest for future applications.
In this contribution, we present the growth and characterization of crystalline Ge-Sb-Te films on Si (111) deposited by MOVPE. At a reactor pressure of 50 hPa and growth temperatures around 450°C epitaxial films are grown using nitrogen as the carrier gas to transport the precursors DETe, TESb and digermane to the reactor. Different partial pressures of the precursors were employed to vary the film composition. The morphology of the deposited material was investigated using AFM and SEM, while the structure of the as-grown samples was studied by XPS, XRD and TEM. The chemical composition was determined using EDS.
The two compositions Ge1Sb2Te4 and Ge2Sb2Te5 were controllably achieved. XRD studies indicate, that the 100nm thick Ge-Sb-Te is crystallized in the stable hexagonal structure (P-3m1 or R-3m). TEM investigations reveal that the Ge, Sb and Te atoms form building blocks, consisting of 7 (Ge1Sb2Te4) or 9 (Ge2Sb2Te5) alternating cation and anion layers parallel to the Si (111) substrate surface, stacked along the [0001] axis. These building blocks are separated by van der Waals gaps originating from hexagonal Sb2Te3, where they are naturally present. The samples are monocrystalline and exhibit a low amount of defects. XPS reveals oxidation mainly of Ge and Sb at the surface of the films. Additionally the occupation of the cation sites by Ge and Sb atoms in the hexagonal lattice was investigated by TEM and XPS.
9:00 AM - KK3.21
In-Situ XRD Measurements and Simulations to Determine Grain Sizes in GeSbTe at Various Annealing Temperatures
Kadir Cil 1 Zachary Woods 1 Lhacene Adnane 1 Adam Cywar 1 Faruk Dirisaglik 1 Yu Zhu 2 Chung Lam 2 Ali Gokirmak 1 Helena Silva 1
1University of Connecticut Storrs United States2IBM T. J. Watson Research Center Yorktown Heights United States
Show AbstractPCM utilizes the large electrical resistivity contrast between the amorphous (high resistance) and the crystalline (low resistance) phases of chalcogenides -Ge2Sb2Te5 (GST) being the most common - that can be reversibly and rapidly switched between the two phases by self-heating via electric pulses [1]. Understanding the crystallization dynamics during set operation is critically important.
We have performed XRD measurements to characterize grain size distribution in GeSbTe as a function of temperature and test the crystallization numerical models we have constructed using nucleation and growth rates from the literature [2]. The material crystallizes over time as the chuck temperature is increased with 2oC/min heating rate and is monitored by the peaks in the XRD measurements. Peaks start appearing at T ~ 175 oC. The small widening of intensity peaks is attributed to an increase grain size due to temperature dependent nucleation and growth dynamics [2]. When the chuck temperature reaches 300 oC, the material transitions from fcc phase to hcp phase. The X-ray peak width and maximum intensity increase with temperature, showing increasingly larger crystalline grain sizes up to melting temperature. A step-wise change is visible on the average grain size of GST at maximum nucleation rate (~325oC) and the grain sizes tend to saturate as the peak growth rate temperature is approached. However, in these slow measurements, the material goes through an fcc-hcp mixed phase that is not expected to take place during normal device operation as the phase transition to hcp is a very slow process.
Our crystallization model captures transitions between fcc and amorphous phases but does not capture movement of grain-boundaries if the material is not molten. At this point, we are able to compare the simulated grain-size distributions with the experimental data assuming that the simulation is starting from amorphous material. The average grain sizes obtained from these simulations are in good agreement with the experimental ones even though there are important differences such as the temperature ramp rate which is significantly slower in the experiments.
References
[1] H. -. P. Wong, S. Raoux, S. B. Kim, J. Liang, J. P. Reifenberg, B. Rajendran, M. Asheghi and K. E. Goodson, "Phase Change Memory," Proc. IEEE, vol. 98, pp. 2201-2227, 2010.
[2] G. W. Burr, P. Tchoulfian, T. Topuria, C. Nyffeler, K. Virwani, A. Padilla, R. M. Shelby, M. Eskandari, B. Jackson and B. Lee, "Observation and modeling of polycrystalline grain formation in Ge2Sb2Te5," J. Appl. Phys., vol. 111, pp. 104308, 2012.
9:00 AM - KK3.22
Fusing Behavior of Flexible Silver-Fuse Memories Fabricated by Reverse Offset Printing
Nobuko Fukuda 1 Jaakko Leppaeniemi 2 Hirobumi Ushijima 1 Ari Alastalo 2
1AIST Tsukuba Japan2VTT Technical Research Centre of Finland, Ltd. Espoo Finland
Show AbstractPrinted electronics has recently been focused towards low-costs and energy-efficient manufacturing processes. The advantages of printing technology involve the additive and direct patterning of functional materials, as well as manufacturing of flexible devices. Reverse offset printing enables high-resolution patterning and constant thicknesses regardless of the width of the pattern. This printing technique has the potential for producing low-power and high-resolution flexible memory devices.
Here, we fabricated electrical fuse memories by the reverse offset printing with an ink containing silver nanoparticles on a flexible plastic sheet. After printing, the memories were sintered at 150 °C for 30 min. The resulting memories include 8 different widths of the 500-mu;m-length bits from 3 to 50 mu;m with the average thickness of 95 nm and the each bit has two contact pads. The resistivity of the silver fuse lines is estimated to be ca. 7 × 10-5 Omega; cm by 4-point probe method. The bits are fused within 1 s by applying a voltage except the 50 mu;m-width bit. The current density for fusing of the bits regardless of applying voltage is estimated to be less than 10 mA/mu;m2. This is smaller than that of the bits printed on a glass substrate, as we have reported previously (~ 60 mA/mu;m2). The lower-power fusing of the bits on the plastic sheet is probably caused by the larger thermal expansion and the smaller thermal conductance of the plastic sheet as compared with those of the glass. Observation during fusing with an optical microscope shows a difference between the reflectivities of the bits before and after fusing. The behavior can be explained by scanning electron microscope images. During fusing, bonding of neighboring silver nanoparticles in the bit would be accelerated with the generated Joule heat and formed into plate-like shapes. Then, shrinking of the bit leads to fusing. In addition, thermal expansion of the flexible plastic sheet assists breaking of the bit, resulting in low-power fusing.
9:00 AM - KK3.23
Protecting and Engineering of DNA for Long-Term Information Storage
Robert N Grass 1 Reinhard Heckel 2 Wendelin Jan Stark 1
1ETH Zurich Zurich Switzerland2IBM Research Rueschlikon Switzerland
Show AbstractDNA is nature&’s way of storing information - every cell of our body contains about 750 megabytes of genomic information. This information is not only stored on an extremely small space, it can also be read after thousands of years of storage (e.g., from bone and tooth fossils). Both in space requirements (>300&’000 terabytes per gram)[1] and in terms of long-term reliability (thousands of years)[2] DNA outperforms current information storage materials by several orders of magnitude.
In an attempt to mimic these advantages for the storage of non-biological information, we investigated if DNA encapsulated within silica glass spheres (i.e.”synthetic fossils”)[3] can endure for similarly long time-frames, and we compared DNA based information storage with physical (optical/magnetic/semiconductor) storage technologies.
For this we combined [4] the information theoretic concept of forward error correction with DNA encapsulation, a tool from materials chemistry. In a first experimental validation of the idea 83kB of digital information was encoded by a error correction scheme building on Reed-Solomon codes and translated to DNA sequences (4991 sequences each 117bp long). The DNA sequences were synthesized by a microarray technology and encapsulated into a silica matrix. This encapsulation resulted in very low DNA degradation rates, which were measured by accelerated aging experiments in various atmospheres. Following a simulated 2'000 year storage at ambient conditions, the digital information could be recovered from the DNA without error with the aid of the error correcting scheme . Besides giving an insight into the state of the art of information preservation in DNA we will also discuss future challenges and needs of digital data preservation in the form of chemical information.
[1] Church et al. Science 2012, 337, 6102.
[2] Meyer et al. Nature 2014, 505, 403.
[3] Paunescu et al. Nat. Protoc. 2013, 8, 2440.
[4] Grass et al. Angew. Chem. Int. Ed. 2015, 54, 2552.
9:00 AM - KK3.24
Development of All-Solid-State Electric-Double-Layer Transistors Using Oxide Ion and Proton Conducting Oxide Thin Films
Takashi Tsuchiya 1 Kazuya Terabe 1 Masakazu Aono 1
1NIMS Ibaraki Japan
Show AbstractElectrostatic carrier doping (ECD) by electric-double-layer (EDL) is an attractive technique for exploring interesting physical properties due to the ability to easily control the high electronic carrier density (1014 cm-2) merely by adjusting the DC bias voltage and in the freedom from the structural disorder inherent in chemical doping. While non-solid-state electrolytes, such as ionic-liquids, enable high carrier density, compatibility with other electronic devices is problematic. The nonuse of liquids is thus beneficial for practical applications. Here, all-solid-state EDLTs with oxide ion and proton conducting oxide thin film are developed.1-3 The device performance and electric properties of electrolyte will be discussed.
[1] T. Tsuchiya, K. Terabe, M. Aono, Appl. Phys. Lett. 103, 07311010 (2013)
[2] T. Tsuchiya, K. Terabe, M. Aono, Adv. Mater. 26, 1087-1091 (2014)
[3] T. Tsuchiya, M. Ochi, T. Higuchi, K. Terabe, M. Aono, ACS Appl. Mater. Interfaces, 7, 12254-12260 (2015)
9:00 AM - KK3.25
Titanium Dioxide Nanorods: Hybrid, Solution Processable and Photocrosslinkable Resistive Switching Materials for Tuneable Organic Electronic Memories
Emanuele Verrelli 1 Fei Cheng 2 Fahad Alharthi 2 Mohammed Ibrahem 1 Neil Kemp 1 Stephen M Kelly 2 Mary O'Neill 1
1University of Hull Hull United Kingdom2University of Hull Hull United Kingdom
Show AbstractTitanium dioxide is one of the most investigated resistive switching material and there are many reports on its bipolar or unipolar switching behaviour. Nevertheless, very little has been done on solution processable hybrids, in particular those based on titanium dioxide nanorods. Here we demonstrate the resistive switching of functionalized titanium dioxide nanorods films, which can be processed photolithographically. The material was prepared modifying an existing technique found in the literature which allowed the high yeld production of titanium dioxide nanorods with an average diameter of 5 nm and length of 20 nm. Ligands of different types, including oleic-acid, phosphonic-acid and photocrosslinkable phosphonate terminated coumarin, were successfully used to functionalize the nanorods. The use of photocrosslinkable ligands is particularly attractive because it enables 1) the 3D integration of these organic memories and 2) the fabrication of devices based on stacks of such hybrid thin films allowing thus to further tune the properties of the devices (e.g. bilayer approach). The functionalized nanorods can be solution processed in several common solvents and spin coated producing uniform thin films with RMS surface roughness of the order of 1-2 nm. Crossbar metal-insulator-metal (MIM) devices were used in this work in order to investigate the switching properties of the hybrid materials. In those samples incorporating the crosslinkable material, ultraviolet light irradiation was used to make insoluble films prior to depositing the top electrode. It should be stressed that the material preparation, device fabrication and measurements are all carried out in air showing the huge potential of this approach in the organic memory field. The as spin casted material show bipolar behaviour with set/reset ratios of 100-1000 and high stability under repeated bias sweeps. Switching fields as low as 0.25 MV/cm are needed to set or reset the devices with the set to reset transition always taking place when a positive voltage is applied to the top electrode. A forming step is needed for the as-spin-casted material. The role of the organic component in the switching behaviour of these films will be addressed and discussed in order to show the potential of these materials as tuneable organic resistive switching memories. In the same direction, we will also present results concerning the possibility to tune the switching behaviour of these thin films by processing them further (e.g. O2 plasma, ozone, etc) or by blending them with other compounds. The differences in the switching behaviour of devices based on 1) nanorods functionalized with different ligands, 2) nanorods with anatase or rutile crystalline phase and 3) stacks of hybrid titanium dioxide films (bilayer approach) will also be addressed and discussed.
KK1: Advanced Flash
Session Chairs
Gabriel Molas
Alexander Kotov
Tuesday AM, December 01, 2015
Hynes, Level 2, Room 202
11:30 AM - *KK1.01
Split Gate Flash Memory in Embedded NVM Applications
Alexander Kotov 1
1SST-Microchip San Jose United States
Show AbstractThe tremendous market growth of smart mobile devices, smart cards, wearables, industrial and automotive electronics, and IoT (Internet of Things) has led to a strong demand for high performance and reliability, while low power and cost SoC (System on Chip) devices, where integration with eNVM (embedded Non-Volatile Memory) is an important key. This paper reviews a current landscape of the available eNVM solutions on the market with a particular focus on the most adopted embedded Flash memory cells with a charge storage medium based on floating gate (FG), nitride, nano-crystals. A conventional stacked-gate 1T (single transistor) NOR Flash memory cell technology continues to maintain a good share of eNVM-MCU market in automotive applications. It has been successfully scaled and offered now in 40nm automotive CMOS technology by a large IDM. At the same time 1T NOR Flash memory scaling faces a number of fundamental challenges such as the need for read voltage boost above 1.8V supply voltage, known over-erase issue that requires sophisticated operation algorithms, very limited high voltage scaling, a decreased operation window in terms of stored FG charge that separates ONE and ZERO states.. Power and cell scaling constraints of 1T NOR Flash turned industry to massively adopt 1.5T (one and half transistor: split gate) NOR flash for various eNVM applications. Split gate Flash memories become an established choice on the eNVM roadmaps for many CMOS Foundries and IDMs down to 28nm. The paper reviews pros & cons of different 1T and 1.5T flash cells structures, suitability for various applications, scaling potential. In particular, we present Embedded SuperFlash (ESF) split gate technology scaling roadmap and key features to meet a variety of eNVM applications.
12:00 PM - KK1.02
Effect of Word-Line Air-Gap Process Optimization on sub-20nm NAND Flash Memory Performance and Reliability
Kwanghyun Yang 1 Daehwan Yun 1 Gil-Bok Choi 1 Kyongtaek Lee 1 Byoungjun Park 1 Seongjo Park 1 Kun-ok Ahn 1 Jinwoong Kim 1
1SK hynix Inc. Cheongju Korea (the Republic of)
Show AbstractAs the NAND flash memory has been continued to scale down for more productivity, the distance between floating gates has been decreased and the number of cells in a NAND sting increased. These phenomena have led to the degradation of reliability properties which is from widening of distribution of cell&’s threshold voltage (Vth) due to increase in cell-to-cell interference and due to decrease in cell string current. In order to enhance the word line(WL)-to-word line interference, WL air-gap technique has been adopted in NAND flash memory industry. Some researches addressed profile of WL air-gap is important for reliability properties. But these mostly focuses on portion of air-gap in inter layer dielectric. In this work, we optimize the profile of WL air-gap in view of bottom oxide thickness of WL air-gap and fabricate the optimized profile by appling new processes. Through the optimized profile and process, we obtained the enhanced performance and reliability properties in NAND flash memory. The optimized profile of WL air-gap is observed by Transmission Electron Microscope(TEM) images. To evaluate the improvement on reliability properties, electrical characteristics such as cell current, endurance and data retention have also been measured using test wafer. The results indicate that thicker WL air-gap bottom oxide produces higher cell current, narrower cell Vth distribution and better reliability.
12:15 PM - KK1.03
In-Line Monitoring of Grain Size Distribution of Channel Poly Si Used in 3D V-NAND Flash Memory Devices Using Multiwavelength Raman Spectroscopy
Nohyeal Kwak 1 Chul Young Ham 1 Sung Chul Shin 1 Seung Jin Yeom 1 Chun Ho Kang 1 Byung Seok Lee 1 Sung Gi Park 1 Woo Sik Yoo 2
1SK hynix Inc. Icheon-si Korea (the Republic of)2WaferMasters Inc. San Jose United States
Show AbstractThe NAND Flash business is transitioning from the conventional two dimensional, planar structure to three dimensional(3D), vertical structures to meet storage density requirements. Small scale volume production of 3D V-NAND Flash memory devices has recently begun and V-NAND-based solid-state drives(SSD) have been introduced in the market place.
For 3D V-NAND Flash memory devices, device scaling is being achieved by vertical staking of TFTs in multiple layer configurations, without heavily relying on advances in lithography. 3D V-NAND Flash memory device fabrication involves many processes that have never before been used in mass production of semiconductor devices.
Alternate deposition of ultra-thin films and selective etching are required for successful fabrication of vertically stacked layers used in 3D V-NAND Flash memory devices. Deep trenches are etched to form layers for gate dielectric, charge trapping and tunnel dielectric. Each trench is then filled with a-Si which will be converted to conducting poly-Si to form the channel. As the number of stacked layers increases, poly-Si channel length increases proportionally. Highly homogenous poly-Si channel materials, with uniform grain size, are required for reducing device performance variations within these “strings” of vertically stacked TFTs.
For poly-Si channel fabrication, an amorphous Si film is typically deposited in the channel trench region and then thermally converted to poly-Si by annealing. Depending on the heating mechanisms of the a-Si film, using different annealing techniques, average poly-Si grain size and its distribution pattern yield significantly different results. Variations of channel poly-Si grain size within, and between, “strings” of vertically stacked TFTs can result in device property variations in individual transistors and between “strings”. To achieve the desired properties of the channel poly-Si through process optimization, the average grain size, and its distribution, must be carefully monitored and controlled. Development of proper, in-line poly-Si grain size distribution characterization techniques for 3D V-NAND structures are required for identifying improper annealing techniques in the early stages of process development and for process monitoring during manufacturing.
The grain size distribution in the poly-Si channel, converted from the thin chemical vapor deposited(CVD) a-Si films after various thermal annealing techniques used in the 3D V-NAND Flash memory devices, was monitored using a multiwavelength Raman spectroscopy (MRS-300) system. The grain size distribution characterized by Raman spectroscopy was in good agreement with high resolution cross-sectional transmission electron microscopy (HRXTEM) and showed good correlation with on current(ION) of 3D V-NAND Flash memory devices. The multiwavelengh Raman characterization technique is very promising for in-line monitoring of grain size distribution in the poly-Si channel of 3D V-NAND Flash memory devices.
12:30 PM - KK1.04
Remote Plasma ALD of Silicon Nitride for CTF
Woochool Jang 1 Heeyoung Jeon 1 Hyoseok Song 1 Jingyu Park 1 Hyeongtag Jeon 1 Hyunjung Kim 1 Honggi Kim 1 Jaemin Lee 1
1Hanyang University Seoul Korea (the Republic of)
Show AbstractAs the feature size of device shrinks continuously, conventional floating gate (FG) NAND flash memory suffers from reliability problem such as decrease of charge loss tolerance, cell to cell interference, and vulnerability of stress induced leakage current via single defect. To increase memory density without above mentioned problems, NAND flash memory chose a three dimensional (3D) structures for next generation flash memory. In 3D NAND flash memory, stacking up many layers is critical technology because the number of layer is directly related high memory density. However, as more layers stack up, aspect ratio increases. In first generation 3D NAND flash memory, it has 24 layers and its aspect ratio is 40:1. Next generation 3D NAND may have 48 or 64 layers and its aspect ratio goes beyond 60:1. Therefore, there are demands the deposition technology with precise thickness control and high step coverage. In 3D NAND, charge storing type changed from FG to charge trap flash (CTF) memory. Silicon nitride (SiNx) is used as charge trap layer in CTF. To deposit SiNx thin film in semiconductor, low-pressure chemical vapor deposition (LPCVD) conventionally utilized due to its low hydrogen content good step coverage, and thermal stability. However, it is not sufficient to deposit SiNx in very high aspect ratio structure.
Among various deposition methods, atomic layer deposition (ALD) is considered to be the best solution to satisfy above-mentioned requirements. ALD reaction is self-limited and enables to deposit thin film with high step coverage and precise thickness control. Particularly, remote plasma ALD (RPALD) was utilized to enhance the reactivity between precursor and reactant gas for high film density with minimizing plasma damage. In RPALD, the plasma generation section is remotely outside of reaction chamber and the radicals in plasma generation region enter into the reaction chamber for deposition.
In this study, we developed low temperature SiNx with RPALD using trisilylamine (TSA) and NH3 remote plasma as the reactant gas and we investigated correlation between materials properties and trap properties of SiNx thin film. As the stoichiometry of SiNx thin films impacts defect properties, Rutherford back scattering spectroscopy (RBS), Auger electron spectroscopy (AES) was utilized to measure the stoichiometry. As hydrogen contents are influent to the defect density, elastic recoil detection (ERD) and secondary ion mass spectroscopy (SIMS) was utilized to measure hydrogen contents of deposited SiNx film. X-ray photoelectron spectroscopy (XPS) was utilized for chemical binding state. In addition, we fabricated metal-Al2O3-silicon nitride-SiOshy;2-Si (MANOS) device to obtain defect properties which are related with memory performances such as retention and program/erase(PE) characteristics.
Symposium Organizers
Guohan Hu, IBM T. J. Watson Research Center
Hyunsang Hwang, Pohang University of Science and Technology
Gabriel Molas, LETI-CEA
Eisuke Tokumitsu, Japan Advanced Institute of Science and Technology
Symposium Support
Kojundo Chemical Laboratory Co. Ltd. of Japan
KK6: Ferroelectric Memory II
Session Chairs
Wednesday PM, December 02, 2015
Hynes, Level 2, Room 202
2:30 AM - *KK6.01
Doped Hafnium Oxide for Ferroelectric Memories
Tony Schenk 1 Michael Hoffmann 1 Claudia Richter 1 Milan Pesic 1 Sergei V. Kalinin 2 Alfred Kersch 3 Thomas Mikolajick 1 4 Uwe Schroeder 1
1NaMLab gGmbH Dreseden Germany2Oak Ridge National Laboratory Oak Ridge United States3Munich University of Applied Sciences Munich Germany4TU Dresden Dresden Germany
Show AbstractFerroelectricity in HfO2 was first reported in 2011.[1] In the following years, it has been attracting a lot of interest from both theoretical and application oriented groups. From the material point of view, ferroelectric hafnium oxide is interesting since it is lead-free and a simply binary oxide with a non-perovskite structure. From the application perspective, especially the low permittivity, high coercive field and proven compatibility with semiconductor fabrication are appealing. In the last two years, first ab-initio studies were published and the space group originally claimed responsible for the ferroelectricity in HfO2 was recently experimentally verified. Already in 2012, a ferroelectric field effect transistor in 28 nm complementary metal-oxide-semiconductor (CMOS) technology was demonstrated.[2] Consequently, the HfO2 based ferroelectric memories were included in the 2013 edition of the International Technology Roadmap for Semiconductors (ITRS).[3]
This talk starts addressing the requirements for non-volatile memories. From a basic point of view, it is explained why the criteria of speed, data retention and cycling endurance cannot be independently optimized.[4] Next, the two main concepts of ferroelectric memories with either a capacitor or a transistor as the storage element are introduced. Major scaling issues of such devices when based on conventional ferroelectrics are summarized. With the above mentioned boundary conditions in mind, the research on HfO2-based ferroelectrics is motivated. The short “history” of research on this rather new class of ferroelectrics will be described leading to the current status of fundamental material research and the application in ferroelectric memories. Open material and engineering challenges are summarized and next steps on the road towards non-volatile ferroelectric memories are outlined.
[1] T. S. Böscke, J. Müller, D. Bräuhaus, U. Schröder, and U. Böttger, Appl. Phys. Lett. 99, 102903 (2011).
[2] J. Müller, E. Yurchuk, T. Schlösser, J. Paul, R. Hoffmann, S. Mueller, D. Martin, S. Slesazeck, P. Polakowski, J. Sundqvist, M. Czernohorsky, K. Seidel, P. Kücher, R. Boschke, M. Trentzsch, K. Gebauer, U. Schröder, T. Mikolajick, “Ferroelectricity in HfO2 enables nonvolatile data storage in 28 nm HKMG”. Symposium on VLSI Technology (VLSIT), June, 2012.
[3] International Technology Roadmap for Semiconductors - Emerging Research Devices. 2013
[4] Nanoelectronics and Information Technology: Advanced Electronic Materials and Novel Devices, edited by R. Waser (Wiley-VCH, Weinheim, 2003).
3:00 AM - KK6.02
Effect of Stress on Ferroelectricity of (Hf0.5Zr0.5)O2 Thin Films
Hiroshi Funakubo 1 Takahisa Shiraishi 1 Tatsuhiko Yokouchi 1 Takahiro Oikawa 1 Hiroshi Uchida 2
1Tokyo Inst of Technology Yokohama Japan2Sophia University Tokyo Japan
Show AbstractFerroelectricity of thin films of HfO2-based materials has been demonstrated by substituting various ions. Most noticeable feature of these films compared to the previous ferroelectric films is the appearance of ferroelectricity less than 10 nm in thickness even in polycrystalline film form. This feature is possible to realize not only low voltage operation of capacitor-type ferroelectric memories due to the very thin film thickness, but also ferroelectric transistor-type one due to the good compatibility of HfO2-based insulators with CMOS. Origin of the ferroelectricity is pointed out to be the noncentrosymmetric orthorhombic phases. This phase is non-equilibrium phase, but is pointed out to be stabilized in thin film form. Two stabilization factors are pointed out from the previous reports; one is the crystallite size and the other is the stress from the substrates. Crystalline size is systematically investigated by Hwan&’s groups, but the stress effect from the substrate has been hardly reported. In the present study, we first investigated the effect of the stress from the substrate by changing the kinds of substrates and film thickness. Ferroelectricity was investigated for 17 and 55 -nm thick (Hf0.5Zr0.5)O2 thin films prepared by Pulsed MOCVD. Ferroelectricity was found to be strongly depended on the film thickness and the kinds of substrates. This suggests that the formation and the volume fraction of ferroelectric orthorhombic phase strongly depended on the stress applied to the films from the substrate.
3:15 AM - KK6.03
Ferroelectricity in Hafnia, and the Role of the Surface Energy
Rohit Batra 1 Huan Doan Tran 1 Ramamurthy Ramprasad 1
1University of Connecticut Willimantic United States
Show AbstractConventional perovskite structure based ferroelectric (FE) materials suffer from various limitations such as poor Si-compatibility, small band gap, and the requirement of large physical thickness. Doped hafnia (HfO2) thin films (<10nm) with high remnant polarization, high bandgap and excellent silicon compatibility offer a strong prospect for future non-volatile memory and FE-Field Effect Transistor applications [1]. However, the origins of the FE behavior in doped hafnia thin films are not well understood as the known equilibrium phases of hafnia display inversion symmetry and hence, are non-polar. Recent work [2] suggests that two polar, non-equilibrium orthorhombic phases of hafnia, namely Pca21 and Pmn21, are energetically competing with the equilibrium phases, and may be responsible for this FE phenomenon. However, conditions under which these polar orthorhombic phases are stabilized are unknown.
In this study, the role of surface energy in stabilizing the non-equilibrium FE phases was explored using first-principles density functional theory calculations. Two equilibrium phases, i.e., monoclinic P21/c and tetragonal P42/nmc, and the aforementioned two polar phases were included in the present study. Phenomenological (1D) slab, (2D) rod and (3D) box models with different surface plane terminations were constructed to predict the critical thickness, area and volume, respectively, under which the polar phases become thermodynamically more favorable over the equilibrium monoclinic phase. The spontaneous polarization of the stable FE models was computed through evaluating the Born effective charge and was found to be in good agreement with the experimental observations. It is concluded that the surface energy is one of the prominent factors controlling ferroelectricity in hafnia thin films. Pathways for rationally designing FE hafnia thin films are also proposed.
References
[1] M. H. Park et al., Adv. Mater. 27(11):1811-31 (2014)
[2] T. D. Huan, V. Sharma, G. A. Rossetti, Jr., and R. Ramprasad, Phys. Rev. B 90, 064111 (2014)
4:30 AM - KK6.04
Patterned Organic Ferroelectric Memory Diodes by Solution Micromolding
Thomas Lenz 1 2 Frank Simon Benneckendorf 1 Kamal Asadi 1 Paul W. Blom 1 2 Dago de Leeuw 1
1Max Planck Institute for Polymer Research Mainz Germany2Graduate School Materials Science in Mainz Mainz Germany
Show AbstractThe ability to store data is crucial for many of the envisioned applications of flexible electronics. RFID tags for example need to be able to send and receive stored information that is communicated by means of a radio signal. Ferroelectric materials are promising candidates for memory technology, since they provide two bistable non-volatile polarization states corresponding to a Boolean 1 and 0, which can repeatedly be switched by an external field.
Ferroelectric polymers are specifically suited for flexible electronics, as their solution-processed thin films are bendable without compromising their properties. The most widely investigated organic ferroelectric is the copolymer of poly(vinylidene fluoride) and trifluoroethylene (P(VDF-TrFE)). Comprising capacitors exhibit a relatively large remanent polarization, short switching times, and good environmental and thermal stability. Furthermore, the programming cycle endurance is comparable to inorganic ferroelectric capacitors.
However, implementation of capacitors into integrated circuits is hampered by the read-out of the information being destructive. This problem can be overcome by using phase separated blends of P(VDF-TrFE) with a semiconducting polymer. The microstructure consists of semiconducting columns in a ferroelectric matrix. The bi-stable polarization state of the P(VDF-TrFE) yields the binary information that can be read-out non-destructively by the current through the semiconducting columns.
Phase separation however is a random process that yields a spatially undefined microstructure. Here we use solution micromolding to obtain well-defined interfaces between the two polymers. In this technique, a solution of P(VDF-TrFE) is poured onto the substrate and a polydimethylsiloxane stamp with relief structures on its surface is pressed onto the substrate surface using a hot press. After the solvent has fully evaporated, the stamp is removed and a complementary linear grating of P(VDF-TrFE) is obtained. The space in between the lines is backfilled with a semiconducting polymer by hot pressing with a flat stamp. Sandwiching the resulting binary array between two electrodes yields a bistable ferroelectric diode.
The diode can be programmed reversibly in a low resistive on-state and high resistive off-state. When the bias is turned off, the information is retained. Hence, the memory is non-volatile, as confirmed by programming cycle endurance and data retention measurements. Finally, the diode can be designed in such a way that current transport is rectifying. This allows implementation into a crossbar memory array without cross talk. The performance can be optimized by down scaling the lateral dimensions of the binary array. In this contribution we will discuss the prospects for data storage in applications of flexible electronics.
4:45 AM - KK6.05
Well-Ordered, Nanostructured Active Layers in Semiconducting-Ferroelectric Polymer Composites for Organic Memory Devices
Seung Hyun Sung 1 Bryan Boudouris 1
1Purdue University West Lafayette United States
Show AbstractOrganic non-volatile memory devices based on a phase-separated blend of ferroelectric and semiconducting materials (i.e., ferroelectric diodes) have received growing research interest due to their low-cost fabrication and easy processability. In these systems, the blend film is composed of semiconducting domains surrounded by a ferroelectric matrix. The ferroelectric phase dictates the memory retention functionality while the semiconducting phase serves as the pathway to read-out the memory in a non-destructive manner. The phase-separated structures of the semiconducting and ferroelectric phases play an important role in device performance. In order to evaluate this crucial structure-property relationship, we have fabricated ordered ferroelectric devices (OFeDs) through lithographic techniques to establish systematically the impact of nanoscale structure on the macroscopic performance. To simplify the morphological model, a square grid pattern was used with a continuous and perpendicular semiconducting pathway between two electrodes. After the fabrication of a nanoporous ferroelectric domain, a semiconducting polymer was deposited into the hole pattern arrays to complete the ordered heterojunction. These systematic studies reveal the optimal ferroelectric domain size (~400 nm) with the interpenetrating networks of semiconducting domains; this optimal structure provides a significant improvement in the memory performance of with respect to the ON/OFF current density ratio relative to the blended film fabricated using conventional solution casting. The improved performance originates from a combination of the ordered nanostructure and the interfacial interaction between the ferroelectric-semiconductor composite domains. This facile lithographic approach to create heterojunction arrays helps to quantitatively elucidate the impact of nanostructure with well-ordered active layer domains, and also triggers the enhancement of memory switching performance. As the first demonstration of macroscopic OFeDs, this work offers a new opportunity to investigate the underlying physics of the device operation and establishes a promising route for material design.
5:00 AM - KK6.06
Controlling the Threshold Voltage and Current of Electronic Components Using Organic Ferroelectric poly(vinylidene fluoride-co-trifluoroethylene) (PVDF-TrFE) Film
Negar Sani 1 Deborah Mirbel 2 Simone Fabiano 1 Georges Hadziioannou 2 Isak Engquist 1 Magnus Berggren 1
1Linkoping Univ Norrkoping Sweden2Universiteacute; de Bordeaux Bordeaux France
Show AbstractIn many electronic devices and components it is necessary to have control over the current and the threshold voltage which defines the on/off limit of the component. For this purpose, now a days it is very common to use TFTs as switches. TFTs have a settled technology but still a rather complicated fabrication process including several depositing, etching and curing steps. Here we present a method to define a turn-on threshold and control the current of an electronic component simply by depositing a ferroelectric poly(vinylidene fluoride-co-trifluoroethylene) (PVDFminus;TrFE) film on one of the electrodes. This is possible due to the fact that the PVDFminus;TrFE film is an insulator except for when the coercive field needed for aligning the dipoles in the film is applied. When the coercive field is applied the number of charges necessary for aligning all the dipoles can pass through the film, which then becomes insulating again. Since the PVDFminus;TrFE film has a defined surface charge density in the poled state, the amount of transferred charges can be adjusted by varying the surface area of the electrode on which the film is deposited. In addition, the threshold voltage can be adjusted by changing the thickness of the PVDFminus;TrFE film.
5:15 AM - KK6.08
Solution Processed Fabrication and Nano-Scale Domain Manipulation in Organic Ferroelectric Microcrystals of Diisopropylammonium Bromide(DIPAB)
Shashi Poddar 1 2 Haidong Lu 1 2 Jingfeng Song 1 2 Om Goit 1 2 Shah Valloppilly 2 Alexei Gruverman 1 2 Stephen Ducharme 1 2
1Univ of Nebraska-Lincoln Lincoln United States2Nebraska Center for Materials and Nanoscience Lincoln United States
Show AbstractThe recent discovery of ferroelectricity in halogen salts of diisopropylammine has lead to a new family of organic ferroelectric materials exhibiting spontaneous polarization up to 22 µC/cm2 and coercive field of 10 KV/cm, which are comparable to the inorganic perovskite materials like Barium titanate (BTO) and Lead zirconium titanate (PZT). The order-disorder motion of the center nitrogen atom in the amine group about the plane of pseudo symmetry is believed to play a vital role in the ferroelectric behavior of DIPAB. Until now only three-dimensional bulk crystals of DIPAB have been synthesized and it is important to be able to fabricate thin films of these materials to be integrated into future electronic devices.
In the present work we have used a blend of diisopropylammonium bromide and poly vinyl alcohol (DIPAB:PVA) with water as the common solvent to spin coat on a metal substrate. Due to difference in the rate of evaporation of the solvent, the constituent materials of the blend phase-separate resulting in the formation of well-aligned microcrystals of DIPAB with thickness ranging from 100 nm to 500 nm while the polyvinyl alcohol films are deposited in between the interstitial spaces which has been confirmed by scanning electron microscopy analysis and x-ray diffraction. Piezoresponse force microscopy (PFM) was used to study the spatial distribution as well as the electrical property of these microcrystals. The PFM studies revealed the crystals growing on the substrate with the polar b-axis (010) [SD1] along the plane of the substrate resulting in a strong lateral signal that was also supported by x-ray diffraction analysis. The microcrystal films of DIPAB consisted of 180° ferroelectric domains separated by uncharged domain walls. We were able to create and propagate 180° charged domain walls by applying a bias with the tip of the PFM. The ability to create and manipulate charged domain walls provide us a unique possibility of engineering ferroelectric domains in organic molecular systems.
KK4: Ferroelectric Memory I
Session Chairs
Eisuke Tokumitsu
Hiroshi Funakubo
Wednesday AM, December 02, 2015
Hynes, Level 2, Room 202
9:30 AM - *KK4.01
Hard-Disk-Drive-Type Ferroelectric Data Recording with Memory Density over 1 Tbit/inch2 Based on Scanning Nonlinear Dielectric Microscopy
Tomonori Aoki 1 Yoshiomi Hiranaga 1 Yasuo Cho 1
1Tohoku Univ Sendai Japan
Show AbstractWith the recent progress of information society, the importance of high-density data storage systems is increasing. Ferroelectric data storage system records the data bits in the form of the polarization direction of individual domains [1]. The domain wall of typical ferroelectric materials is as thin as a few lattice parameters [2][3], which is favorable for high-density data storage. In this system, data bits are reproduced by scanning nonlinear dielectric microscopy (SNDM) [4][5]. Up to now, real information storage at a density of 4 Tbit/inch2 was achieved by the ferroelectric data storage system based on atomic force microscopy type SNDM with a piezo linear scanner [6]. Moreover, reproduction with a bit rate of 2 Mbps and recording with a bit rate of 20 Mbps were achieved using the hard-disk-drive (HDD)-type data storage system [7].
However, in the HDD-type ferroelectric data storage system, recording with a density of 1Tbit/inch2 has not yet been achieved.
In this study, experiments were performed to demonstrate recording with a density over 1 Tbit/inch2 by HDD-type ferroelectric data storage system. As a result, we successfully achieved the recording of bits with a density of 3.4 Tbit/inch2.
Next, actual information data writing was conducted using the same equipment. In this demonstration, an ASCII bit array of “S”, “N”, “D” and “M” was recorded on the ferroelectric medium. The bit was written with the bit spacing of 25 nm. The SNDM image of the written bit data showed that all of the bit data was separately recorded and the bit data array could reproduce the original bit array with an appropriate signal processing. Finally, it was confirmed a series of writing and reading operation was possible with a density of 1 Tbit/inch2 using the same HDD-type ferroelectric data storage system.
[1] C. F. Pulvari, J. Appl. Phys. 22, 1039 (1951).
[2] W. J. Merz, Phys. Rev. 95, 690 (1954).
[3] K. Matsuura, Y. Cho and R. Ramesh, Appl. Phys. Lett., 83, 2650 (2003).
[4] Y. Cho, A. Kiriharra, and T. Saeki, 67, 2297 (1996).
[5] Y. Cho, S. Kazuta and K. Matsuura, Appl. Phys. Lett. 75, 2833 (1999).
[6] K. Tanaka and Y. Cho, Appl. Phys. Lett., 97, 092901 (2010).
[7] Y. Hiranaga, T. Uda, Y.Kurihashi, H. Tochishita, M. Kadota and Y. Cho, Jpn. J. Appl. Phys. 48, 09KA18 (2010).
10:00 AM - KK4.02
Precise Stoichiometry Control as Key for Room Temperature Ferroelectricity in Strain-Enabled CaTiO3 Thin Films
Ryan Haislmaier 1 Everett D. Grimley 2 Michael David Biegalski 3 James M. LeBeau 2 Susan E. Trolier-McKinstry 1 Venkatraman Gopalan 1 Roman Engel-Herbert 1 Matthew J. Brahlek
1Pennsylvania State Univ University Park United States2North Carolina State University Raleigh United States3Oak Ridge National Laboratory Oak Ridge United States
Show AbstractStrain-engineering has proven to be a powerful strategy for unlocking ferroic functionality in ABO3 perovskite oxides[1]. For instance, theory and experiments have shown that epitaxial strain can induce room temperature ferroelectricity in the incipient ferroelectric SrTiO3[2]. However, precise control over composition is also required to realize such strain tuning, although this has received much less attention [3]. In this work, we demonstrate precise control over stoichiometry as key for observing predicted strain-enabled ferroelectricity in CaTiO3 [4] films grown by hybrid molecular beam epitaxy (hMBE) where fluxes are co-supplied using thermal and organometallic sources. This approach enables an adsorption controlled growth window where the Ti:Ca stoichiometry is self-regulating, which has also been demonstrated for SrTiO3 films grown by hMBE[5]. In order to map out the growth window, a series of CaTiO3 films were grown on (001)(LaAlO3)0.3(Sr2AlTaO6)0.7 substrates (+1.23% tensile strain) by systematically adjusting the supplied titanium tetra-isopropoxide flux for each film. We measured the structural and electrical properties using x-ray diffraction, scanning transmission electron microscopy, energy dispersive x-ray spectroscopy, dielectric, and optical second harmonic generation characterization probes. We find that ferroelectricity only emerges for CaTiO3 films grown inside the growth window where the stoichiometric control is achieved, with a ferroelectric transition of TC ~180 K, a remnant polarization of Pr~6 mu;C/cm2, coercive field of EC~ 20 kV/cm, and electrical loss of tan(δ) < 3%.
Using the optimized growth conditions, we compressively strained CaTiO3 using (001)LaSrAlO4 (-1.68%), which showed room temperature second harmonic generation signal, with out-of-plane polarization (4mm point group symmetry). To confirm ferroelectricity, we grew 10 nm CTO film with a 5 nm CaVO3 bottom electrode on (001)LaSrAlO4. Using piezoresponce force microscopy, the polarization can be switched by 180° at room temperature with relatively low fields. This work demonstrates the utility of an absorption controlled growth regime offered by hMBE approach, where stoichiometry can be accurately maintained in order to achieve optimal ferroelectric performances, as shown for compressively strained CaTiO3 films exhibiting useful room temperature ferroelectric phenomenon.
[1] Schlom, D.G. et al. Ann. Rev. Mater. Res. 37, 589 (2007).
[2] Haeni, J.H. et al. Nature 430, 758 (2004).
[3] Lee, C.H. et al. Appl. Phys. Lett. 102, 1 (2013).
[4] Biegalski, M.D. et al. Appl. Phys. Lett. 106, 162904 (2015).
[5] Jalan, B. et al. Appl. Phys. Lett. 95, 1 (2009).
10:15 AM - KK4.03
Effects of Defects on Ferroelectric Stability in Ferroelectric Thin Film
Lin Zhu 1 Jeong Ho You 1 Jinghong Chen 2
1Southern Methodist University Dallas United States2University of Houston Houston United States
Show AbstractEffects of defects on ferroelectric stability in PbTiO3 (PTO) thin films have been investigated using molecular dynamics with first-principles effective Hamiltonian. Various types of defects including oxygen vacancy, hydrogen contamination, and dead layers, have been considered to study hysteresis loop and phase transition temperature as a function of film thickness. Both oxygen vacancy and hydrogen impurity have been modeled as a point defect which induces local strain and electrostatic fields. The localized fields have been obtained by density functional theory (DFT) calculations and used as inputs to molecular dynamics simulations in a large system. Without any defects, the critical film thickness for spontaneous polarization has been obtained as 3-4 unit cells. With oxygen vacancies only at the top and/or bottom single layer, the critical thickness has increased significantly. The formation energy and mobility of hydrogen impurity have been studied by DFT calculations and an energy penalty induced by hydrogen contamination has been added to the effective Hamiltonian. PTO with an interstitial hydrogen atom is more stable than pure PTO. There is an energy barrier about 50 meV to reverse a polarization in H-contaminated PTO. As the concentration of hydrogen increases, the critical film thickness increases. For the dead layers, the dipole moments at the top and/or bottom layers are constrained to be zero. The hysteresis loop and the phase transition temperature with the various defects are compared and discussed.
10:30 AM - KK4.04
Reliability Properties Studies of (Pb,La)(Zr,Ti)O3 Capacitor with Non-Noble Metal Oxide Electrode by Storing H2 Atmosphere
Yoko Takada 1 Takeyasu Saito 1 Naoki Okamoto 1 Kazuo Kondo 1 Takeshi Yoshimura 1 Norifumi Fujimura 1 Koji Higuchi 2 Akira Kitajima 2 Rie Shishido 3
1Osaka Prefecture University Sakai Japan2Osaka University Sakai Japan3Tohoku University Sendai Japan
Show AbstractFerroelectric random access memory (FeRAM) has tremendous potential for future non-volatile memory devices due to its excellent memory characteristics. Despite its great promise, however, FeRAM has a limited share of the current memory market, mainly due to process integration and cost issues. The major reason is that the conventional electrode materials for ferroelectric capacitors are noble metals or oxides such as Pt, Ir, RuO2, and IrO2. These noble metals also cause crucial process damage called H2 degradation. In this study, we evaluated H2 degradation characteristics and the time-of-flight secondary ion mass spectrometry (TOF-SIM) depth profiles of hydrogen for the ferroelectric capacitors with Pt, Al:ZnO (AZO), or Sn:In2O3 (ITO) top electrodes, then discussed the differences.
The substrates were highly (111)-oriented sputtered Pt (150-nm-thick) as bottom electrode. (Pb,La)(Zr,Ti)O3 (PLZT, Pb:La:Zr:Ti = 113:3:30:70) thin films (500-nm-thick) were prepared using chemical solution deposition. Then, a Pt top electrode (120-nm-thick) was deposited on the PLZT thin film using RF sputtering with a 5minus;500-mm-diameter shadow mask. On the other hands, either an AZO or ITO top electrode (100-nm-thick) was deposited on the PLZT thin film using pulsed laser deposition with the same shadow mask. Forming gas annealing (FGA) was performed in a 97% N2 and 3% H2 atmosphere at 200°C and 1 Torr for 45 minutes. We evaluated the ferroelectric properties, H2 degradation characteristics, and the contents of hydrogen and other atoms for PLZT capacitors were measured using TOF-SIMS.
The average 2Pr at an applied voltage of 15 V calculated from these measured polarization vs voltage hysteresis loops before FGA was 50.6, 35.4, and 35.7 mu;C/cm2 for Pt/PLZT/Pt, AZO/PLZT/Pt, and ITO/PLZT/Pt capacitors, respectively. The average 2Pr at an applied voltage of 15 V after 45 min FGA was 24.4, 24.7, and 27.1 mu;C/cm2 for Pt/PLZT/Pt, AZO/PLZT/Pt, and ITO/PLZT/Pt capacitors, respectively. The hydrogen content depth profile in PLZT thin films with Pt top electrode increased ca. 2.7 times after FGA compared with ca. 1.2 times with other electrodes. The ferroelectric properties of PLZT capacitor with Pt top electrodes were deteriorated after FGA compared with the ones with conductive oxide top electrodes due to the catalytic effect of Pt. Non-noble metal oxide electrode studied here should play a role as hydrogen protective layer.
10:45 AM - KK4.05
Solution-Based Synthesis and Controlled Self-Assembly of Monodisperse Titanium-Based Perovskite Colloidal Nanocrystals
Gabriel Caruntu 1 2 Saman Salemizadeh Parizi 1 2 Daniela Caruntu 1
1Central Michigan University Mount Pleasant United States2Central Michigan University Mount Pleasant United States
Show AbstractThe rational design of monodisperse ferroelectric nanocrystals with controlled size and shape and their organization into hierarchical structures has been a critical step for understanding the polar ordering in nanoscale ferroelectrics, as well as the design of nanocrystal-based functional materials which harness the properties of individual nanoparticles and the collective interactions between them. We report here on the synthesis and self-assembly of aggregate-free, single-crystalline titanium-based perovskite nanoparticles with controlled morphology and surface composition by using a simple, easily scalable and highly versatile colloidal route. Single-crystalline, non-aggregated BaTiO3 colloidal nanocrystals, used as a model system, have been prepared under solvothermal conditions at temperatures as low as 180 oC. The shape of the nanocrystals was tuned from spheroidal to cubic upon changing the polarity of the solvent, whereas their size was varied from 16 to 30 nm for spheres and 5 to 78 nm for cubes by changing the concentration of the precursors and the reaction time, respectively. The hydrophobic, oleic acid-passivated nanoparticles exhibit very good solubilities in non-polar solvents and can be rendered dispersible in polar solvents by a simple process involving the oxidative cleavage of the double bond upon treating the nanopowders with the Lemieux-von Rudloff reagent. Lattice dynamic analysis indicated that regardless of their size, BaTiO3 nanocrystals present a local disorder within the perovskite unit cell, associated with the existence of a polar ordering. We also demonstrate for the first time that, in addition of being used for fabricating large area, crack-free, highly uniform films, BaTiO3 nanocubes can be can serve as building blocks for the design of 2D and 3D mesoscale structures, such as superlattices and superparticles. Interestingly, the type of superlattice structures (simple cubic or face centered cubic) appears to be determined by the type of solvent in which the nanocrystals were dispersed. This approach provides an excellent platform for the synthesis of other titanium-based perovskite colloidal nanocrystals with controlled chemical composition, surface structure and morphology and for their assembly into complex architectures, therefore opening the door for the design of novel mesoscale functional materials/nanocomposites with potential applications in energy conversion, data storage and the biomedical field.
Wednesday AM, December 02, 2015
Hynes, Level 2, Room 202
11:30 AM - *KK5.01
Phase Change Materials for Non-Volatile Memories: From Technological Challenges to Materials Science Issues
Pierre Noe 1 Manuela Aoukar 1 2 Pierre David Szkutnik 2 Chiara Sabbione 1 Francesco drsquo;Acapito 3 Robert Morel 4 Ariel Brenac 4 Frederic Fillot 1 Nicolas Bernier 1 Niccolo Castellani 1 Dominique Jourde 1 Gabriele Navarro 1 Veronique Sousa 1 Christophe Vallee 2 Franccedil;oise Hippert 5
1CEA-LETI Minatec Campus Grenoble France2CNRS-LTM Grenoble France3CNR-IOM-OGG c/o ESRF Grenoble France4CEA-INAC, Minatec campus Grenoble France5CNRS-LNCMI Grenoble France
Show AbstractAmong the emerging Non-Volatile Memory (NVM) technologies, Phase Change Random Access Memories (PCRAM) are considered to be one of the most promising technology [1, 2]. PCRAM using chalcogenide Phase Change Materials (PCM), such as GeTe and Ge2Sb2Te5 (GST), exhibit the ability to switch reversibly between their crystalline and amorphous phases with different optical and electrical properties offering a unique set of features such as fast programming, good cyclability, high scalability, multi-level storage capability and good data retention [3]. Nevertheless, PCRAM technology has to overcome two main challenges involving both technological or materials science issues in order to definitively explode on the NVM market.
Firstly, the high power consumption is the major obstacle for this technology to compete with current Flash memory technology. It has been demonstrated that confinement of the PCM in a small volume improves the heating efficiency, leading to reduced currents [4]. A highly conformal deposition process is thus required to be able to integrate PCM into high aspect ratio lithographic structures. An original PE-MOCVD deposition process of PCM for confined structure applications will be presented [5].
Besides, the incorporation of PCM into confined structures also opens several questions regarding their scalability limit and the impact of confinement on their phase transformation. Thus, we will give an overview of our current research effort on the fundamental understanding on the interface/downscaling effects on the properties of PCM from 1D confinement in thin films to 3D confinement in clusters [6-8].
Secondly, ageing of the amorphous (a-) phase of PCM, which is characterized by a large increase of the resistivity with time (resistance drift), has hindered up-to-now the development of ultra-high multilevel storage devices. In literature, the origin of drift is attributed to a “structural relaxation” but very different, and sometimes opposite, physical mechanisms are proposed [9] pointing out the lack of structural studies of the a-phase as a function of ageing. A study of the “structural relaxation” of the prototypical a-GeTe by means of X-ray Absorption Spectroscopy (XAS) reveals that the local environment of Ge atoms evolves during ageing and drift [9].
All these technological and materials research challenges are of high importance in order to design and achieve new PCM memory cells with compositions and structures combining optimized properties in order to allow the PCRAM technology to invade the non-volatile memory market.
[1] A. Fantini, 2010 IEEE IEDM, 2010, pp. 29.21.21-29.21.24.
[2] G. W. Burr., JVST B 28, 223 (2010).
[3] S. Raoux, M. Wuttig (eds.), Springer, 2009.
[4] S. Kim, SEC, 2010 VLSI ; M. Kang et al., SEC, 2011, IEDM.
[5] M. Aoukar, JAP D: Appl. Phys. 48, 265203 (2015).
[6] G. E. Ghezzi., APL 101, 233113 (2012).
[7] R. Morel, EPCOS proceedings, Marseille (2015).
[8] G.E. Ghezzi, APL 104, 221605 (2014).
[9] P. Noé, submitted (2015).
12:00 PM - KK5.02
A Computational Study on Waveform Engineering for Phase Change Memory
Jacob Scoggin 1 Zachary Woods 1 Adam Cywar 1 Nadim Kan'an 1 Helena Silva 1 Ali Gokirmak 1
1U Conn Storrs United States
Show AbstractPhase change memory (PCM) is a resistive memory technology that utilizes the resistance contrast between the amorphous and crystalline phases1. The PCM cells can be cycled between the two phases by going through a melt to amorphize and annealing to crystallize. Typically the active area of the PCM cells is not single-crystal. Hence, the details of the crystallization process have a big impact on the grain sizes and distributions. These variations lead to variability in cell resistances and resistance-drift behavior. In order to capture the impact of the waveforms used to set and reset on the cell behavior, we have conducted a computational study using a finite element model that captures nucleation, growth and amorphization processes during device operation as well as fabrication. We find that it is possible to achieve a significantly different grain map and electrical behavior using waveform engineering. This can be leveraged to achieve multibit storage or alternative applications.
References:
[1] Wong, HS Philip, et al. "Phase change memory." Proceedings of the IEEE 98.12 (2010): 2201-2227.
12:15 PM - KK5.03
An Experimental Study on Waveform Engineering for Ge2Sb2Te5 Phase Change Memory Cells
Nafisa Noor 1 Kadir Cil 1 Lindsay Sullivan 1 Sadid Muneer 1 Faruk Dirisaglik 2 Adam Cywar 1 Chung Lam 3 Yu Zhu 3 Ali Gokirmak 1 Helena Silva 1
1University of Connecticut Storrs United States2Suleyman Demirel University Isparta Turkey3IBM T.J. Watson Research Center Yorktown Heights United States
Show AbstractThe spontaneous transition from amorphous to crystalline resistance state in phase change memory (PCM) is a critical factor affecting the retention time1. Study of this resistance-drift behavior of a PCM cell is crucial for understanding the underlying mechanism of crystallization2. We have studied resistance-drift behavior of amorphized Ge2Sb2Te5 phase change memory line cells at room temperature. The resistance-drift has been measured from ~60 s to ~73 days (~7X106 s) after resetting these cells with two different types of engineered pulses. Both of these pulses have a slow fall time in order to intentionally avoid the abrupt melt quenching3 of the cells during the amorphization process. As a result, the initial amorphous resistance values (Ramorphous) of these cells are consistently much lower (in the range of 10 k#8486; to 1 M#8486; based on the applied resetting amplitude and the initial crystalline resistance of the cells) than those of the regular melt-quenched cells (~tens of M#8486;). The drift behavior of these cells strongly depend on the waveforms used for reset operation. The experimental results that relate nucleation following the amorphization process and the drift behavior will be presented.
References:
1. Russo, Ugo, et al. "Intrinsic data retention in nanoscaled phase-change memories—Part I: Monte Carlo model for crystallization and percolation." Electron Devices, IEEE Transactions on 53.12 (2006): 3032-3039.
2. Pirovano, Agostino, et al. "Reliability study of phase-change nonvolatile memories." Device and Materials Reliability, IEEE Transactions on 4.3 (2004): 422-427.
3. Wong, HS Philip, et al. "Phase change memory." Proceedings of the IEEE 98.12 (2010): 2201-2227.
12:30 PM - KK5.04
Threshold Switching and Crystallization of Ag4In3Sb67Te26 with Picosecond Electric Fields
Michael Shu 1 Peter Zalden 4 Frank Chen 2 Aaron Lindenberg 3 4
1Stanford University Stanford United States2Stanford University Stanford United States3Stanford University Stanford United States4SLAC National Accelerator Laboratory Menlo Park United States
Show AbstractPhase change memories operate through fast electrically-driven crystallization and amorphization of the phase-change material cell. The crystallization process is speed limiting: first the field-driven “threshold switching” strongly increases the amorphous conductivity, which then allows Joule heating of the material beyond the crystallization temperature for a sufficient duration to allow atomic rearrangement to the crystalline structure. It is unclear what the speed limits are for the threshold switching itself, as studies of this process have been limited by the nanosecond-scale time constants of electrical circuits. Here we have excited amorphous Ag4In3Sb67Te26 (AIST) samples using optical pulses in the THz frequency range, providing picosecond duration electric fields with field strengths exceeding previously measured switching thresholds. We see evidence for threshold switching occurring within the excitation pulse, including filamentary crystallization of the material following prolonged exposure. The presence of crystallization implies that significant heating occurs during the excitation, which can only happen if the initially low conductivity is increased by orders of magnitude during the picosecond electric field pulse. We conclude that threshold switching occurs on a sub-picosecond time scale, so that write speeds in phase change memories are not limited by threshold switching. Because each picosecond pulse is individually too short to completely crystallize the material, the intermediate states can be investigated microscopically to effectively time-resolve the filamentary crystallization process in AIST.
Symposium Organizers
Guohan Hu, IBM T. J. Watson Research Center
Hyunsang Hwang, Pohang University of Science and Technology
Gabriel Molas, LETI-CEA
Eisuke Tokumitsu, Japan Advanced Institute of Science and Technology
Symposium Support
Kojundo Chemical Laboratory Co. Ltd. of Japan
KK9: ReRAM II
Session Chairs
Luca Larcher
Akihito Sawa
Thursday PM, December 03, 2015
Hynes, Level 2, Room 202
2:30 AM - *KK9.01
Challenges and Possible Solutions for Memristive Devices (ReRAM)
J. Joshua Yang 1
1University of Massachusetts Amherst United States
Show AbstractMemristive devices (also called ReRAM when used for memory) have exhibited great scalability, ultra-fast switching speed, nonvolativity, large HRS/LRS memory window, analogue resistance change, non-destructive reading, good 3D stack-ability, great CMOS compatibility and manufacturability. Numerous perspective applications, including nonvolatile memory and neuromorphic computing, have been proposed based on these attractive device properties. Each application emphasizes on different aspects of device performance. In order to meet these performance requirements, there are a number of challenges facing these devices, including mechanism understanding, device isolation in crossbar arrays, device variability and reliability. The challenges and possible solutions at material and circuit levels will be discussed in this talk.
3:00 AM - KK9.02
Electrical Probing of Key Metal Cap Layer Induced Material Processes Influencing Metal-Oxide Based ReRAM Operation
Dirk J. Wouters 1 2 Alexander Schoehals 1 2 Andreas Kindsmueller 1 2 Wonjoo Kim 3 2 Thomas Breuer 3 2 Astrid Marchewka 1 2 Vikas Rana 3 2 Stephan Menzel 3 2 Rainer Waser 1 3 2
1RWTH Aachen University Aachen Germany2Juuml;lich Aachen Research Alliance Juuml;lich Germany3Forschungszentrum Juuml;lich GmbH Juuml;lich Germany
Show AbstractIn Metal-Oxide (MOx) based ReRAM (with MOx = HfOx, TiOx, TaOx, AlOx..), the resistive switching (RS) process is generally assumed to be controlled by electric field (and/or diffusion) driven motion of ionic defects (oxygen vacancies VO). These defects locally increase the material conductivity through the valence change mechanism (VCM), and the cell resistance can be lowered (increased) by forming (or breaking) tiny conducting filaments through the insulating oxide matrix connecting top (TE) and bottom electrode (BE) [1]. Bipolar Resistive Switching (BRS) cells, in which resistance lowering (SET) respectively resistance increase (RESET) occurs at opposite (and fixed) polarities, obviously require a structural asymmetry of the ReRAM cell. This is typically realized by an asymmetric electrode structure. In practice, (inert) Pt or TiN is used as the (high work function) bottom electrodes (BE), and a thin (oxygen scavenging) metal cap layer (Ti, Hf, Ta, W..), as the (low workfunction, Ohmic) top electrode (TE) of the ReRAM M-I-M structure. This thin metal cap layer acts as an oxygen-scavenging layer and introduces oxygen vacancies, and by that reduces the forming voltage [2].
However, besides affecting the forming voltage, both the material choice and thickness of the thin metal cap layer also play a dominant role in controlling the resistive switching process itself. As for the thickness effect, it is shown that thin (5nm) Ta(/Hf) on 5nm TaOx (/HfOx) results in complementary switching compared to the standard bipolar switching observed in cells with thicker (15nm) Ta (/Hf) [3]. As for the electrode material (using TiOx metal-oxide material), Hf and Ti results in poor switching yield, while Ta results in higher on-off ratio than W [4]. Finally, the use of Ta metal cap results in an effective built-in series resistance in the cell resulting in self-compliance behavior increasing the stability of the switching-[3].
For a physical explanation of these results, the material interactions between the metal cap layer and the metal oxide are studied in more detail by means of electrical probing techniques. Evolution of forming voltage, cell capacitance and cell resistance as function of metal cap layer thickness (and material) gives insight in the oxygen exchange and dielectric changes occurring in the cell. These results are coupled with a simulation model to understand their effects on the observed resistive switching behaviors of the cell.
[1] R. Waser, et al. Adv. Mater., vol. 21, pp. 2632-2663, 2009.
[2] H. Y. Lee, et al.,, IEEE International Electron Devices Meeting 2008, Technical Digest, 2008, pp. 297-300.
[3] A. Schönhals, et al., 2015 7th IEEE International Memory Workshop (IMW), Monterey, vol., pp., 2015.
[4] V.Rana et al., Leading Edge Embedded NVM Workshop, Gardanne (F), Sept 2015
3:15 AM - KK9.03
Characterization of TaOx Resistive Switching Memory Devices by Scanning Tunneling Microscopy
Kiran Kumar Adepalli 1 3 Marco Moors 2 Qiyang Lu 3 Anja Wedig 2 Rainer Waser 2 Harry L. Tuller 3 Ilia Valov 2 Bilge Yildiz 1 3
1Massachusetts Institute of Technology Cambridge United States2Peter Gruuml;nberg Institut, Forschungszentrum Juuml;lich Juelich Germany3Massachusetts Institute of Technology Cambridge United States
Show AbstractResistive switching random access memory (Re-RAM) devices are considered contenders to become the basis of the next generation of information storage technology. Re-RAM devices are attractive compared to the current state-of-the-art flash devices due to their lower power consumption, high scalability, simple architecture, faster switching times (under sub-nanoseconds) and longer data retention. Although the technology is highly promising, the switching mechanisms are far from being well understood, thereby limiting the optimization of the Re-RAM device materials. The fundamental questions that need to be addressed include the effects of strong electric fields on defect creation and transport, and on the electronic structure. The inaccessibility of buried oxide films also makes the investigation of switching mechanisms more challenging.
In this work, we have induced and characterized localized electronic switching phenomenon under the electric field of the scanning tunneling microscope (STM) tip, in non-contact mode. We could spatially modify the resistance state of TaOx thin films precisely down to 10 x10 nm2. To understand the switching mechanisms, we probed changes in the local density of states during switching by the STM and STS (scanning tunneling spectroscopy) measurements. We observed two switching mechanisms, based on anion and cation mobilities that were dominant under different conditions based on the TaOx film chemistry. Our study highlights the possibility of in situ characterization of Re-RAM devices by STM with the ability to investigate the defect-induced switching mechanisms under nano-scale confined electric fields.
3:30 AM - KK9.04
STM Investigation of Resistive Switching Phenomena on SrRuO3 Thin Film Surfaces
Marco Moors 1 Kiran Kumar Adepalli 3 Anja Wedig 1 Christoph Baeumer 1 Benedikt Arndt 1 Regina Dittmann 1 Rainer Waser 1 2 Bilge Yildiz 3 Ilia Valov 1 2
1FZ Juelich Juelich Germany2RWTH Aachen University Aachen Germany3Massachusetts Institute of Technology Cambridge United States
Show AbstractInvestigating the process of surface redox processes on the nanoscale is a key for understanding the mechanistic details of resistive switching. In this work we use scanning tunneling microscopy (STM) and related methods for studying the influence of different electrical fields on the morphologic and electronic properties of ultrathin strontium ruthenate (SrRuO3) films. Due to its high electronic conductance SrRuO3 is a widely used electrode material but it also shows resistive switching properties. In comparison to most other scanning probe methods the STM technique benefits from its potentially atomic resolution and also prevents physical contact effects between the oxide and the STM tip, which acts as top electrode. The obtained results allow us to develop a well-grounded mechanistic model for resistive switching of SrRuO3 thin films.
3:45 AM - KK9.05
Conductance Switching Behavior of GeTe/Sb2Te3 Superlattices upon Hot-Electron Injection: A Scanning Probe Microscopy Study
Leonid Bolotov 1 2 Yuta Saito 1 2 Tetsuya Tada 1 2 Junji Tominaga 1 2
1AIST Tsukuba Japan2CREST, Japan Science and Technology Agency Kawaguchi Japan
Show AbstractDevelopment of new materials for next generation memory cells has been of great importance. In particular, interfacial phase-change memory (PCM) topological materials such as (GeTe)/(Sb2Te3) superlattices (SL) have been demonstrated huge magnetoresistance and magneto-optical properties essential for memory application.[1,2] While conventional PCM films experience amorphous-to-crystalline phase transition, the PCM SL films offer different mechanism of conductance switching which involves migration of Ge atom at the interface of the constituent sub-layers.[1] Thus, the carrier transport across SL can be controlled by Ge atom positions, which is of great importance to achieve electronic control on the conductance switching.
In the work, we performed local conductance switching of the PCM SL films caused by hot-carrier injection (electrons) from sharp tungsten probe tips through a tunneling vacuum barrier. [(GeTe)l /(Sb2Te3)m]n SL films (l, m, n are integer) were grown on Si(100) substrates with different grain sizes. We used a multimode scanning probe microscope (MSPM) operating in ultra-high vacuum [3] to observe spatial variation of the conductance switching threshold voltage and hysteresis in I/V spectra for individual grains at room temperature. The hot-electron energy was determined by the injection bias voltage (Vin) applied between the MSPM probe and the sample.
Two kinds of experiments were performed by using continuous and pulsed bias voltage. Under continuous hot-electron injection, the SL grains showed either gradual or sudden conductance changes by a factor of 10-200 depending on the position of the MSPM probe and the injection bias voltage. For a [(GeTe)2/(Sb2Te3)]4 SL the local conductance switching took place at Vin >2.0 V, which were observed in the current maps at a read voltage of 1.2 V in the constant-gap mode. At Vin >3 V, creation of defects and local change of the morphology were observed.
Under pulse injection, a series of I/V spectra revealed different switching behavior depending on the sweep voltage and the MSPM probe position. In particular, for a [(GeTe)2/(Sb2Te3)]4 SL we observed reproducible hysteresis in I/V spectra with large current value for the decrease voltage path. For electron injection at Vin =2.6 V a conductance ratio between the decrease/increase voltage paths was 10-50. The appearance of two high-conductance states is attributed to different positions of Ge atoms at the SL interfaces triggered by energetic electrons. The results shed a light into the conductance switching mechanism by electronic excitation of PCM SL films.
The work was supported by Japan Science and Technology Agency (JST/CREST).
[1] J. Tominaga et al., Adv. Mater. Interfaces 1 (2014) 1300027.
[2] J. Tominaga et al., Appl. Phys. Lett. 99 (2011) 152105.
[3] L. Bolotov et al., Jpn. J. Appl. Phys. 52 (2013) 04CA04.
4:30 AM - *KK9.06
Investigating Physical Mechanisms (and Dilemmas) Governing HfOX-RRAM Operations through Multi-Scale Modeling
Luca Larcher 1 2
1University of Modena and Reggio Emilia Reggio Emilia Italy2Grand Chemin 30 Saint Christophe Italy
Show AbstractRRAM technology represents today an attractive option for both non-volatile memory and neuromorphic applications.
Optimizing the RRAM performance and push this technology to exploit its full potential requires a comprehensive understanding the physical mechanisms governing device operation and variability and their correlation to the device structural and material properties.
Despite the intense research effort and the many papers published on this topic in the last years, several dilemmas related to the physical mechanisms governing the oxRAM operation and variability remain unsolved, that are:
The nature of charge transport mechanism, especially in LRS;
Switching operations: which are the “particles” involved? O ions? O vacancies? Which is the role played by electrons?
Endurance: which is the secret for very long endurance, despite the randomness of the ion/vacancy motion? Cohesion/isolation forces? Confinement due to structural or mechanical stresses?
Variability and RTN.
In this scenario, my presentation will try to answer some of these questions by using a multi-scale simulation model that explicitly considers HfOx-specific nature of device and material characteristics to describe at microscopic level the RRAM device operations.
The model is based on the description of the physical processes explaining the formation and the resistive switching of the conductive filament between a high and low resistance state, which includes charge transport, ion diffusion, atomic bond breakage and restoration. A full 3D description combined with a Monte-Carlo method is considered to account for the stochastic nature of microscopic RRAM processes.
The model simulations will be used to shed some light on the following aspects related to RRAM operations, reliability and variability.
Forming. The formation of the conductive filament is related to the Hf-O bond breakage and the subsequent out-diffusion of the released oxygen ions. The rates of the O vacancy and ion generation, calculated through a thermochemical model, accounts for the temperature increase inside the device induced by the power dissipation associated with the electron flow, consistently with the trap-assisted tunneling (TAT) current calculated by including the carrier-phonon coupling and lattice relaxation. The model successfully reproduces the whole dynamics of the forming process including the charge transport, the temperature increase, and the oxygen ion diffusion and accumulation at the top interface with the TiOy layer.
Reset. The simulations of the subsequent reset operation (bringing the device into high resistance state, HRS) shows that this process is controlled by re-oxidation of a filament tip caused by field-induced back-diffusion of oxygen ions. The charge transport in HRS is shown to be due to the TAT through O vacancies in the re-oxidized CF portion, whereas a sort of defect sub-band created by the high oxygen vacancy concentration dominates the charge transport in LRS.
Endurance and variability. The endurance is discussed on the basis of the randomness of ion diffusion in the reset process. Thigh variability of the HRS resistance (compared to the LRS one) is shown to be due to the intrinsic randomness of the reset process, i.e. the lateral diffusion of oxygen ions at the bottom HfOx interface (which is enhanced by the repulsive Coulomb field) and the subsequent recombination process.
RTN. The activation and deactivation of O vacancies is found to be responsible of the current HRS current noise, which is successfully simulated in both time and frequency domains using the same modeling framework.
5:00 AM - KK9.07
Retention Instability of Low Resistance State in Metal-Oxide RRAM
Sang-Ho Lee 1 Ronald D Schrimpf 1 Daniel M Fleetwood 1 Dimitri Linten 2
1Vanderbilt University Nashville United States2IMEC Leuven Belgium
Show AbstractResistive switching random access memory (RRAM or ReRAM) has been considered as a next-generation non-volatile memory semiconductor typically for the replacement of NAND-FLASH applications, due to the device&’s simple structure stacked in a crossbar architecture, superior scalability aiming at the enhanced integration density beyond the 10 nm regime. The conventional oxide-based RRAM (OxRAM) devices operate under two kinds of basic resistive switching mechanisms on the basis of a physically variable filament behavior; the formation and disruption of conductive nanofilament (CF) paths in resistive materials by the applied external bias, enabling the devices to undergo reversible resistance conversion between the High Resistance State (HRS) and the Low Resistance State (LRS). In this study, the retention instability of the LRS accompanied by progressive state degradation, sudden failure and its restoration in tantalum-oxide RRAMs is investigated using various combinations of electrical bias conditions, in which a conceptual framework with principles that can qualitatively identify the dynamic phenomena of semi-periodically recurring LRS fluctuations is established and proposed.
5:15 AM - KK9.08
Quantized Conductance in Pt/NiO/Pt Cells Showing Two Modes in Forming Process and Resistive Switching
Hiroki Sasakura 1 Yusuke Nishi 1 Tsunenobu Kimoto 1
1Kyoto University Kyoto Japan
Show AbstractResistive random access memories (ReRAM) have attracted considerable attention as a promising candidate for next-generation nonvolatile memory. ReRAM cells show reversible transitions between the low resistance state (LRS) and the high resistance state (HRS), which is called a resistive switching (RS) phenomenon. The RS phenomenon is widely believed to be caused by formation and rupture of a conductive filament. In addition, quantized conductance steps have been recently reported in some cells [1, 2]. However, the origin of the quantized conductance steps and a detailed mechanism for the RS phenomenon remain unclear.
In this study, ReRAM cells of Pt/NiO/Pt stack structures were fabricated. Approximately 80-nm-thick NiO films were deposited on Pt (80 nm)/Ti (5 nm)/SiO2/Si substrates by reactive radio-frequency sputtering with a selected oxygen gas flow rate. Pt (50 nm) films were deposited on the NiO film by electron-beam evaporation as top electrodes (TEs). Two different modes in forming process in the cells were observed. Here, the first step of the forming is called “semi-forming” and the second one called “second forming”. After semi-forming, the cell exhibited several discrete conductance values characterized by integer multiples of the quantized conductance G0 and RS phenomena until second forming occurs. Furthermore, the cell exhibited different RS phenomena even after second forming.
Values of the conductance G at 0.1 V in the initial state, after semi-forming, and in HRS after second forming were measured in the temperature range from 77 K to 400 K. After semi-forming, the values of (G - Gini)/G0 were integer and independent of temperature. This result can be expressed as G(T) = nG0 + Gbulk(T). It is noted that Gbulk is the conductance of NiO bulk region and is equal to Gini. n was 1 just after semi-forming with the compliance current of around 100 mu;A, and basically increase according to applied voltage. These results indicate that a filament including Quantum Point Contact (QPC) with the conductance of G0 was formed by semi-forming, and that the number of propagating modes n increases discretely. This discrete increase of n probably means a change of width of the filament including QPC. As in the case after semi-forming, the values of G in HRS after second forming were independent of temperature and satisfied the equation above. This implies that the filaments including QPC kept their states before and after second forming because the resistance in the HRS after second forming was nearly identical to the resistance just before second forming.
We investigated structural properties in NiO thin films and oxygen composition by transmission electron microscope and energy dispersive X-ray spectroscopy. RS phenomenon model showing two kinds of forming modes will be discussed.
[1] T. Tsuruoka, et al., Nanotechnol. 21, 425205 (2010). [2] X. Zhu, et al., Adv. Mater. 24, 3941 (2012).
5:30 AM - KK9.09
Stress Induced Vacancy Clustering Mechanism of Resistive Switching in Hafnium Oxides
Alex Katsman 1 Gilad Zeevi 2 Yuval Yaish 2
1Technion-Israel Inst of Tech Haifa Israel2Technion - Israel Inst Techn Haifa Israel
Show AbstractReversible changes in the conductivity of a dielectric film between high (HRS) and low (LRS) resistive states of a metal-insulator-metal (MIM) memory cell gained a new interest for the fabrication of nonvolatile memories, named resistive random access memories (RRAM). While the key factors driving the resistive switching (RS) in metal oxides have been identified, there is a lack in the microscopic description of the conductive path formation and dissolution that enable memory operations.Formation of conductive filament (CF) in HfO2 is attributed to the formation of oxygen vacancies and their clustering across the insulator layer. In this study we present an innovative model which includes a quasi-stationary distribution of two-charged oxygen vacancies, their generation at the cathode, diffusion to the anode, transformation to one-charged state, and then to neutral vacancies. Vacancy clusters comprise of only neutral vacancies due to the substantial Coulomb's repulsion between charged vacancies, while the kinetics of the clustering process is controlled by diffusion of mobile one-charged state vacancies. Capturing of hot free electrons injected by the cathode is a necessary condition for vacancy neutralization. Thus, RS phenomenon is treated as the formation of critical size vacancy cluster which provides continuous conductive path through the dielectric layer. The activation energy of the delay time required to the HRS/LRS transition is a combination of the diffusion activation energies of two- and one- charged vacancies, the binding energy between neutral vacancies, and the activation energy for vacancy generation at the anode. The bias dependence is determined by the vacancy generation rate, the vacancy drift velocity, and the density of free electrons. Several experimental results regarding the temperature and voltage bias dependencies of the delay time are analyzed and explained in the frame of the developed model.
5:45 AM - KK9.10
Molecular-Dynamics Simulations of Resistance Switch in Amorphous Carbon
Federico Zipoli 1 Alessandro Curioni 1
1IBM Research Zurich Rueschlikon Switzerland
Show AbstractDiamond-like carbon films are considered very promising materials for non-volatile memory applications. The main advantages of this technology are the extreme scalability, low fabrication cost, speed, robustness and the appealing physics resulting from an all-carbon electronics. This memory device consists of an amorphous carbon (a-C) film sandwiched between two conductive electrodes. An electric pulse is used to switch a-C between its low and high resistance states, LRS and HRS respectively. The information is stored by setting different level of electric resistance. The current challenge is to ensure reversible switches in a-C. In particular, the RESET step, which is the process to set the system in its HRS, is challenging, because the conductive pathways, that are formed by sp2 conjugated clusters, are thermodynamically stable and do not easily break.
In this work, we make combined use of classical molecular-dynamics (MD) simulations and first-principles calculations to elucidate the resistive switching mechanisms in a-C. In particular, we use classical atomistic simulations at the realistic device sizes to find the optimal set of conditions for reversible resistance switch in a-C. For this purpose, we develop an augmented-Tersoff classical potential which allows to perform MD simulations lasting tens of nanoseconds of systems containing up to two million atoms using box sizes up 27 x 27 x 18 nm3 which match the thickness of a-C films in the memory device. We also use first-principles calculations based on density-functional theory on smaller systems to link the structural and the electronic properties. We find that at constant density, the change of sp3 content that can be induced by Joule heat are less than 2 %. Re-hybridization can occur but overall the total number of the sp2 and sp3 atoms is determined by the atomic density. We show the strong link between sp3 content and atomic density via constant pressure simulations allowing large changes of sp3 content, from 20 to 80 %. We exploit the link between density and sp3 content to stabilize the HRS, by preventing irreversible formation of sp2 clusters percolating through the entire device. Based on the same arguments, formation of an sp2 filament is associated to a local lower atomic density. Dissipation of heat results in temperature gradients which help reversible switch. In particular, dissipation of heat through the surrounding a-C matrix helps the SET process by inducing formation of elongated clusters that eventually merge into a single filament that percolates from top and bottom electrodes. Heat dissipation from the top and bottom electrodes, along the direction of the filament, helps to break the filaments during the RESET step. This work is funded by the EU research & innovation project CareRAMM, N. 309980.
KK10: Poster Session II: ReRAM
Session Chairs
Hyunsang Hwang
Eisuke Tokumitsu
Thursday PM, December 03, 2015
Hynes, Level 1, Hall B
9:00 AM - KK10.01
Non-Volatile Resistive Photoelectrical Switches for Flexible Memory that Recognizes Rotating and Bending Impetuses
Jinjoo Park 1 Hongseon Song 1 Eun Kwang Lee 2 1 Joon Hak Oh 1 2 Kijung Yong 1
1POSTECH Pohang Korea (the Republic of)2UNIST Ulsan Korea (the Republic of)
Show AbstractIn this work, a non-volatile resistive optoelectronic memory was demonstrated in a flexible system that plays the dual roles of a reversible photo-reactive element and a signal-collecting element. In addition, smart environment has been requiring a proper novel interface capable of simple communication, such as gesture-recognition technology. In this study, we attempt to realize the ability to recognize a user gesture by detecting the rotation angle and bending angle of the wearable information appliance worn by the user. This motion-sensing and information-storing functionality is enabled by photo-tunable resistive switching behaviors, which results from bending the flexible device in diverse convex angles with respect to the incident light direction. Furthermore, we have investigated the basic mechanism of resistive photoelectrical switching behaviors by studying the effects of electrostatic barrier at the Au/ZnO junction, e.g., a Schottky barrier depending on the photonic and electric condition. Moreover, by employing a polymer structure, application in a prototype device provides improved endurance or retention of data.
9:00 AM - KK10.02
4K-Bit Microscale Integration and Noise Scaling Analysis of Organic Nanocomposite Resistive Memory
Younggul Song 1 Jingon Jang 1 Daekyoung Yoo 1 Youngrok Kim 1 Woocheol Lee 1 Takhee Lee 1
1Seoul National Univ Seoul Korea (the Republic of)
Show AbstractOrganic memory is a promising storage medium due to its ease of fabrication, good performance, flexibility, and printablity. However, organic memory cell array has been limited to less than a hundred bits (typically 64 bits, with dimensions of 8x8 array) with individual cell size larger than hundreds of microns. This limitation results from standard photolithography techniques for microscale integration not being applicable to organic electronic devices, mainly because of chemical incompatibility problems between organic materials and the solvents used for photolithography. Here, we present our recent research work on 4K-bit microscale organic nonvolatile resistive memory devices fabricated with a 10x10 mu;m2 cell size in a 64x64 cross-bar array structure [1]. This microscale integration was made via orthogonal photolithography processes using fluorinated photoresist and solvents and was achieved without causing damage to the underlying organic memory materials (polyimide (PI):phenyl-C61-butyric acid methyl ester (PCBM)). The demonstration of 4K-bit microscale organic memory devices in this work can be a first step towards the development of highly integrated organic memory that may be comparable to silicon-based memory in the future.
Besides, many studies on organic memory have focused on scientific and technical issues of the materials, device structures, switching mechanisms, and performance enhancement. However, because of the strongly disordered polymer structures, a consensus on the mechanisms of organic resistive memory has not been achieved. In particular, the charge conduction in organic memory materials is greatly influenced by the charge traps that are present in the organic memory material. In addition, bistable switching can occur from the accumulation of trapped charges, which affect the injection of charges into the organic material and often produce a negative differential resistance (NDR) in the I-V characteristics. Here, we studied the noise characteristics of PI:PCBM composite for the organic memory material [2]. The current fluctuations were investigated over a bias range that covers various intermediate states and NDR in organic nanocomposite unipolar resistive memory devices. From the analysis of the 1/fγ type noises, scaling behavior between the relative power spectral density and resistance was observed, indicating a percolating behavior. Considering a linear rate equation of the charge trapping-detrapping, the percolation behavior and NDR could be understood by the modulation of the conductive phase fraction with an external bias. This work provides an insight into how the NDR phenomena in organic nanocomposite system would actually act on the current path formation and its role in the switching mechanism in organic memories.
[1] Y. Song, J. Jang, D. Yoo, S.-H. Jung, S. Hong, J.-K. Lee, and T. Lee, Org. Electron. 17, 192 (2015).
[2] Y. Song, H. Jeong, J. Jang, T.-Y. Kim, D. Yoo, Y. Kim, H. Jeong, and T. Lee, submitted (2015).
9:00 AM - KK10.03
How Does Moisture Affect the Physical Property of Memristance for Anionic-Electronic Resistive Switching Memories?
Felix Messerschmitt 1 Markus Kubicek 1 Jennifer L.M. Rupp 1
1ETH Zurich Zurich Switzerland
Show AbstractMetal oxide-based Resistive Random Access Memories are promising candidates to replace todays transistor based memory in the near future due to their fast non-volatile ns-switching and scalability. Nevertheless a detailed understanding of the resistive switching processes is still missing. To date, studies on resistive switching focus predominantly on oxygen anionic or electronic defects leaving hydroxyl or protonic charge carrier contributions out of the picture despite the fact that many of the resistive switching oxides are well-established materials in resistive humidity sensors. Here, we study in a model experiment how the memristance is affected by moisture for one of the most-relevant resistive switching materials being strontium titanate.1) First, we thoroughly characterize own-processed Pt|SrTiO3#8209;δ|Pt resistive switching bits via cyclic voltammetry and chronoamperometry under ambient conditions for different electrical field strengths and time scales.1,2) Based on the high stability of our non-volatile device structures we investigate the impact of relative humidity to the resistive switching behavior. We find that at higher electrical fields Pt|SrTiO3#8209;δ|Pt will strongly modify the overall resistance states by up to 4 orders of magnitude as well as the device`s current-voltage profile shape, number of crossings and switching capability with the moisture level exposure. We report a conductivity change of factor of two at elevated temperatures up to 400 °C. Comparison of the same humidity levels show that this process is fully reversible.1) Further, we discuss the influence of moisture on the resistive switching response, memristance and conductivity for different microstructures. Here, bulk vs. surface sensitivities towards humidity are discussed for resistive switching of Pt|SrTiO3-δ|Pt bits. We attribute this behavior to the changed Schottky barrier by adsorbed surface water molecules and its interplay with the charge transfer of oxygen anionic-electronic charge carriers in the oxide affecting the memristance itself. From a fundamental perspective, these results show that moisture cannot be neglected in the development of anionic-electronic resistive switches as hydroxyl interaction seems to be crucial to the basic property of memristance in metal oxide-based switches.
References:
1) F. Messerschmitt, M. Kubicek, J.L.M. Rupp. How does Moisture affect the Physical Property of Memristance for Anionic-Electronic Resistive Switching Memories? Adv Funct Mater 2015 on-line.(in print)
2) F. Messerschmitt, M. Kubicek, S. Schweiger, J.L.M. Rupp. Memristor Kinetics and Diffusion Characteristics for Mixed Anionic-Electronic SrTiO3-δ Bits: The Memristor-based Cottrell Analysis Connecting Material to Device Performance. Adv Funct Mater2014 24, 7448-7460.
9:00 AM - KK10.04
Forming-Free and Self-Rectifying Resistive Switching of the Simple Pt/TaOx/n-Si Structure for Access Device-Free High-Density Memory Application
Shuang Gao 1 Fei Zeng 1 Feng Pan 1
1Tsinghua University Beijing China
Show AbstractThe search for self-rectifying resistive memories has aroused great attention due to their potential in high-density memory applications without additional access devices. Here we report the forming-free and self-rectifying bipolar resistive switching behavior of a simple Pt/TaOx/n-Si tri-layer structure. The forming-free phenomenon is attributed to the generation of a large amount of oxygen vacancies, in a TaOx region that is in close proximity to the TaOx/n-Si interface, via out-diffusion of oxygen ions from TaOx to n-Si. A maximum rectification ratio of sim;6 × 102 is obtained when the Pt/TaOx/n-Si devices stay in a low resistance state, which originates from the existence of a Schottky barrier between the formed oxygen vacancy filament and the n-Si electrode. More importantly, numerical simulation reveals that the self-rectifying behavior itself can guarantee a maximum crossbar size of 212 × 212 (sim;44 kbit) on the premise of 10% read margin. Moreover, satisfactory switching uniformity and retention performance are observed based on this simple tri-layer structure. All of these results demonstrate the great potential of this simple Pt/TaOx/n-Si tri-layer structure for access device-free high-density memory applications.
9:00 AM - KK10.05
Resistive Switching Non-Volatile Memories Based on Ferroelectric/Semi-Conductive Polymer Hybrid Langmuir-Blodgett Nanofilms
Huie Zhu 1 Shunsuke Yamamoto 1 Jun Matsui 2 Tokuji Miyashita 1 Masaya Mitsuishi 1
1Tohoku University Sendai Japan2Yamagata University Yamagata Japan
Show AbstractTo realize non-destructive read-out and low-energy writing in ferroelectric devices, a novel design philosophy is based on utilizing built-in electrostatic potential originating from ferroelectric polarization states to manipulate the charge transfer and accumulation in semiconductors. This manipulation will result in switched resistance at on- (polarization up) and off-state (polarization down) of the devices. To meet this demand, one of the most attractive polymers is poly(vinylidene fluoride) (PVDF), because of its outstanding ferroelectricity, easy processing, low cost and good flexibility. Therefore, phase-separated polymer blends of ferroelectric PVDF and semi-conductive polymers (e.g. poly(3-hexylthiophene)) were studied for organic memory devices by several groups since 2008.1 However, to achieve high information storage density, embedding semiconductor polymers into ferroelectric polymer matrixes with a domain size of semi-conductive polymers (SCPs) smaller than a few tens of nanometers (e. g. 50 nm) is requisite but extremely challenging so far.2 From another aspect, to decrease the writing and read-out voltages, ultrathin films (thinner than 100 nm) with high-content ferroelectric crystals are also necessary. The reported methods to prepare ferroelectric polymer ultrathin films, e. g. spin-coating are at the cost of decreasing ferroelectric phase or need high energy-consumption.
In this study, ultrathin PVDF Langmuir-Blodgett (LB) monolayers (2.3 nm per layer) showing almost 100% β crystals (a PVDF polar crystal with the highest spontaneous polarization)3 were utilized as matrix, which was combined with polymer semiconductors (poly[3-(5-carboxypentyl) thiophene-2,5-diyl] (P3CPenT)) at the air-water interface. By changing the mixing ratio, P3CPenT domain structures were tuned from nanoparticles to nanofibers with good dispersion in PVDF matrix. These nanofilms can be repeatedly deposited on various substrates for device preparation, which makes the film thickness adjustable at nanometer scale. Then, devices were constructed by sandwiching the hybrid LB nanofilms between gold as bottom electrode and aluminium as top electrode. When the PVDF matrix was polarized up and down at opposite voltages, resistive switching properties were investigated in the devices. For a 100 nm thick hybrid film, the stored information can be read out at a low voltage of 1 V. Interestingly, the ON/OFF ratio hit a fresh record high for such blend systems. Considering the fine control of film thickness, well-dispersive SCP domains as well as good ferroelectricity of PVDF matrix, we believe that the hybrid LB nanofilms will bring innovation to organic electronics.
Acknowledgment
The authors acknowledge the financial support from Hatano Fundation.
REFERENCES
(1) K. Asadi, et al, Nat. Mater., 2008, 7, 547-550. (2) T. I. D. A. Braz, et al, J. Phys. Chem. C, 2015, 119, 1391-1399. (3) H. Zhu, et al, Macromolecules, 2012, 45, 9076-9084.
9:00 AM - KK10.06
Conducting Nano-Filaments in Au/Graphene Oxide/Al RRAM
Sung Kyu Kim 1 2 Jeong Yong Lee 1 2 Hu Young Jeong 3
1Institute for Basic Science (IBS) Daejeon Korea (the Republic of)2KAIST Daejeon Korea (the Republic of)3UNIST Ulsan Korea (the Republic of)
Show AbstractGraphene oxide (GO) has widely studied due to its unique characteristics to control the chemical and physical properties in electronics and energy related fields. Above all, GO thin films which show viable resistiveelectrical switching phenomenabehavior are available to apply the resistive random access memory (RRAM) devices. Especially, GO thin films represent versatile resistive switching properties depending on the reduction process. However, the microscopic origin of resistive switching behavior in GO-based thin films has not clearly understood yet.
In this work, we reveal the peculiar mechanism about the origin of conducting filaments in Au/GO/Al memory devices by electrical, chemical, and microstructural analyses using transmission electron microscopy (TEM) and Raman spectroscopy. GO solution was synthesized using a modified Hummers method. GO thin films are deposited on an Al bottom Al electrode by spin coating method. Au/GO/Al device shows the stable bipolar resistive switching (BRS) behavior. The Raman spectra of ON and OFF states were obtained to identify the chemical shift in the GO films. The degree of reduction and oxidation were locally observed by the mapping of the intensity ratio of D and G band. In addition, the microstructure of GO conducting filaments in the ON state was confirmed using a spherical-aberration corrected TEM operated at 80 kV. The electrical path is consisted of highly reduced GO platelet in which oxygen functional groups connected with carbon network are removed by strong electric field. This result is also confirmed by the electron energy loss spectroscope (EELS) spectra and the intensity profiles of d-spacing in conducting filaments region. This work first shows the graphenegraphitic nano-filaments within GO thin films used as RRAM devices, and it gives driving force to develop the next-generation non-volatile memory with excellent performance.
9:00 AM - KK10.07
Dopant Effects on Oxygen Vacancy Formation in Ta2O5 and HfO2
Derek Stewart 1
1HGST, A Western Digital Company San Jose United States
Show AbstractDeveloping resistive RAM devices with low set and reset voltages is critical to reduce power requirements and increase device longevity. One route to reduce set/reset voltage is to lower the vacancy formation energy. In this work, we examine the impact of metal dopants on the oxygen vacancy formation energy in monoclinic HfO2 and lambda; phase Ta2O5 [1] using density functional theory. We perform a systematic study including several different metal dopants (e.g. Al, Mg, Hf, Zr, Ti, W, Ga). In HfO2, we consider three coordinated and four coordinated oxygen sites. In Ta2O5, we examine three different oxygen sites (three coordinated in-plane, two coordinated in-plane, and two coordinated between plane). Both GGA and GGA+U calculations are done for the systems. We find that the neutral oxygen vacancy formation energy depends strongly on the valence state of the metal dopant. We discuss the physical origin of this trend and how this compares to previous studies of dopant effects on vacancy formation energy in HfO2[1]and TiO2[2]. We also discuss the implications for vacancy formation energies in amorphous HfO2 and Ta2O5.
[1] S.-H. Lee, J. Kim, S.-J. Kim, S. Kim, and G.-S. Park, Phys. Rev. Lett, 110, 235502 (2013).
[2] L. Zhao, S.-W. Ryu, A. Hazeghi, D. Duncan, B. Magyari-Kope, Y. Nishi, in IEEE Symposium on VLSI Technology (IEEE, New York, 2013), pp. T106-T107.
[3] L. Zhao, S.-G. Park, B. Magyari-Kope, and Y. Nishi, Appl. Phys. Lett., 102, 083506 (2013).
9:00 AM - KK10.08
Memristive Switching of Self-Assembled ZnO Nanorods
Yevgeniy Puzyrev 1 Xiao Shen 1 Kai Ni 4 Xuan Zhang 4 Jordan Hachtel 1 3 Bo Choi 2 Matthew Chisholm 3 Daniel M Fleetwood 4 Ronald D Schrimpf 4 Sokrates T. Pantelides 1 4 3
1Vanderbilt Univ Nashville United States2VINSE Nashville United States3ORNL Oak Ridge United States4Vanderbilt University Nashville United States
Show AbstractWe present a combined experimental and theoretical study of memristive switching in a self-assembled mesh of ZnO nanorods. A ZnO nanorod mesh spans the area between Ag contacts in a device that exhibits hysteresis with large ON/OFF ratio, reaching ION/IOFF values of 103. We show that electroforming, set, and reset voltages critically depend on the geometry of the nanorod mesh. We propose a mechanism for resistive switching based on the migration and redistribution of Ag in the nanorod mesh. Redistribution of Ag atoms, driven by an electrical field, leads to the formation and evolution of a conducting path through nanorods.
A detailed description of atomic-scale dynamics is necessary for the understanding of memristive switching phenomena. [1] Field-induced migration of Ag atoms changes the doping level of nanorods and modulates their conductivity. Using density functional theory (DFT) static calculations and nudged-elastic-band calculations, we investigate the energy of interaction between Ag clusters and a ZnO surface, including migration barriers of Ag atoms.
Current-voltage (I-V) characteristics are modeled using percolation theory in a nanorod mesh. To describe the dynamics of SET/RESET phenomena, model parameters include the experimentally observed nanorod geometry and the energetics of Ag on ZnO surfaces, obtained from DFT calculations. The nanorod mesh can be viewed as a materials-based neural network device with potentially a wide array of applications, while retaining very low cost due to a simple structure
References
1. X. Shen, Y. S. Puzyrev, and S. T. Pantelides, “Vacancy breathing by grain boundaries - a mechanism of memristive switching in polycrystalline oxides” MRS Commun., 3, 167 (2013)
Acknowledgement
This work was supported by National Science Foundation grant DMR-1207241 and the McMinn Endowment at Vanderbilt University. Computational support was provided by the NSF XSEDE under Grant #DMR TG-DMR130121.
9:00 AM - KK10.09
Strain Engineering of Doped-Ceria Thin Films: Interplay of Anion Transport and Material Degradation
George Frederick Harrington 2 1 3 Tobias Huber 1 3 Harry L. Tuller 3 Bilge Yildiz 4 Kazunari Sasaki 1 Stephen Skinner 2 John Kilner 2
1Kyushu University Fukuoka Japan2Imperial College London London United Kingdom3Massachusetts Institute of Technology Cambridge United States4Massachusetts Institute of Technology Cambridge United States
Show AbstractResistive switching phenomena based on anion transport in oxide thin films, such as doped-ceria, has been gaining attention recently as a promising class of memory device [1]. Lattice strain engineering has been of great interest as a fundamental concept in ionic conductors for many years, but recently it has been shown to be possible to tailor the properties of memristor devices based on a gadolinia-doped ceria (GDC) active layer [2].
It is well know that doped-ceria sintered at high temperatures leads to material degradation via surface segregation of dopants, however all previous studies have been performed on doped-ceria degradation based on bulk sintered ceramics. It is vital that the understanding of segregation effects is extended to thin films for practical application of memristor devices. Furthermore, the effect of lattice strain on the dopant segregation to the surface has been entirely overlooked in the literature.
Here we study GDC thin films grown by pulsed laser deposition (PLD) on a range of substrates inducing both tensile and compressive strain in the films, as confirmed by x-ray diffraction (XRD), raman spectroscopy and transmission electron microscopy (TEM). We employ isotope exchange diffusion profiling (IEDP) as a method to directly and unambiguously assess the transport properties in the films, relating the diffusivity to the lattice strain.
In addition, using low energy ion scattering (LEIS), we study the extent of Gd dopant segregation to the surface and sub-surface regions of the GDC thin films. Films were studied both as-grown and for a range of thermal histories, typically associated with electrode deposition or electroforming steps. We show that significant dopant segregation occurs for as-grown films which dramatically increases for further thermal annealing. Finally the change in the rate and extent of the dopant segregation to the surface of the films as a function of lattice stain will be discussed.
[1] Messerschmitt et al., Adv. Func. Mat., 2014, 24, 47
[2] Schweiger et al., ACS Nano, 2014, 8, 5
9:00 AM - KK10.10
Multi-Level CuO-Based Conductive-Bridging-Random-Access-Memory Cell Embedded with Au NCs
Hea-Jee Kim 1 Kyoung-Cheol Kwon 1 Myung-Jin Song 1 Ki-Hyun Kwon 1 Dong-Won Kim 1 Soo-Min Jin 1 Jea-Gun Park 1
1Hanyang University Seoul Korea (the Republic of)
Show AbstractFor conductive-bridging-random-access-memory (CBRAM) cell to replace NAND flash memory, we embedded Au nano-crystals (NCs) in CuO-based CBRAM cell using CuTe top electrode. Au NCs were produced by thin Au film (3 nm) using thermal evaporator on the CuO solid-electrolyte in CBRAM cell. The average diameter and density of Au NCs were ~10 nm and ~6.49 #8569; 1011 / cm2, respectively. The CBRAM cell embedded with Au NCs showed typically a bi-stable I-V characteristic, a bipolar memory behavior, and multi-level-cell (MLC) operation by varying compliance current. They showed non-volatile memory characteristics such as a memory margin (Ion/Ioff) of 1.12#8569;104, a retention-time of ~12-years retention at 85oC, a AC (100-mu;s pulse width) set/reset endurance cycles of 107 by sustaining a memory margin of ~7.43#8569;104, close to a tera-bit-integration nonvolatile memory characteristic. In addition, they presented four-level memory-cell operation demonstrating the inversely conical shape of Cu-ion bridging filaments determined by the compliance current level. In particular, the CBRAM cell embedded with Au NCs showed that the forming voltage was decreased with increasing Au NCs diameter and set voltage did not changed although Au NCs diameter varied from 5 to 17.5 nm.
Acknowledgement
* This work was financially supported by the Industrial Strategic Technology Development Program (10039191, The Next Generation MLC PRAM, 3D ReRAM, Device, Materials and Micro Fabrication Technology Development) funded by the Ministry of Trade, Industry and Energy (MOTIE), Republic of Korea.
9:00 AM - KK10.11
Improvements in Pt/TiO2-x/Pt-Based Memristor Performance Using In-Situ Contacts
Gina C. Adam 1 Brian Hoskins 1 Bhaswar Chakrabarti 1 Dmitri Strukov 1
1Univ of California-S Barbara Santa Barbara United States
Show AbstractTwo terminal memristive devices are a strong potential candidate for highly scalable non-volatile memories and hardware implementations of artificial neural networks. The current device technology is suffering from poor reproducibility and high device variation. Previous research has shown that an unintentional interfacial layer controls the resistive switching in SrTiO3 devices. In this work, we expand on this topic while focusing on TiO2 as an active material. TiO2-based memristive devices have shown excellent analog behavior necessary for hardware-implemented artificial neural networks. We report a study on the interface engineering of TiO2 based memristive devices in order to achieve improved device reliability. A cleaner interface is insured by growing in-situ the TiO2 active layer and the top contacts. After patterning, ion milling is used to etch the top contacts metal and create the top lines. Oxygen vacancies are introduced in a controlled fashion during the sub-stoichiometric deposition of TiO2. Various device stacks - with in-situ sputtered contacts vs. ex-situ e-beam evaporated contacts; with sub-stoichiometric vs. stoichiometric TiO2 - are compared in an effort to create more reliable devices. Such devices with in-situ contacts patterned using ion milling can be easily integrated in stacked crossbar structures and on CMOS chips.
9:00 AM - KK10.12
WITHDRAWN 11/19/2015 Ion Implantation for Parameter Stabilization of Pt/TaOx/Ta Based Resistive Memory
Joshua Rideout 1 Andy Peter Knights 1
1McMaster University Hamilton Canada
Show AbstractBinary compositions of TaOx are one of the most studied material systems for resistive RAM (RRAM), due to their compatibility with standard CMOS processes, long read/write endurance, fast set/reset switching times, and ease of fabrication [1]. Films of Ta2O5 and sub-stoichiometric TaOx can be deposited using reactive sputtering, atomic layer deposition, and thermal oxidation of evaporated Ta metal. One promising method to create and modify particularly stable RRAM bits is ion implantation of thermal Ta2O5. Ion implantation can be used to (i) create a sub-oxide layer of TaOx which acts as a reservoir for O2- vacancies, (ii) create a secondary interface layer which can act as storage layer of oxygen which has diffused out of conduction filaments (CF), and (iii) insert dopant atoms into the solid electrolyte [1].
In this work, we have used an industry standard ion implanter to implant Ar ions and create a sub-stoichiometric TaOx, in a film of thermally grown Ta2O5 on a Ta/Si wafer base. The Ar ion implant preferentially sputters out the lighter O atoms in the film, leading to an oxygen deficient TaOx layer [2]. The implantation modified layer allows for multiple set/reset cycles in a Pt/TaOx/Ta2O5/Ta RRAM stack to be carried out at a relatively low voltage, in what would otherwise be a device which requires a set voltage in excess of 2.0 V. In addition, we have carried out implants of Cu and Ti to form thin oxide barrier layers of different oxygen affinities, which assist in holding migrating O2- ions during the set process. These barrier layers stabilize the set/reset voltages for a single bit over multiple read/write cycles. Finally, a reduction of the first forming voltage for a RRAM via La implantation is explored. It has previously been shown that using dopants with a lower valency than the host metal matrix reduces the forming energy for oxygen vacancies [3], and we have measured devices to explore this phenomenon in the TaOx system.
References:
[1] F. Pan, et al., “Recent progress in resistive random access memories: Materials, switching, mechanisms, and performance,” Materials Science and Engineering R, Vol. 83, pp. 1-59, (2014).
[2] W.D. Song, et al., “Nano suboxide layer generated in Ta2O5 by Ar+ ion irradiation,” Appl. Phys. Lett., Vol. 106, 031602, (2015).
[3] H. Zhang, et al., “Ionic doping effect in ZrO2 resistive switching memory,” Appl. Phys. Lett., Vol. 96, 123502, (2010).
9:00 AM - KK10.13
Improved Resistive Switching Reproducibility through Hydrogen Treatment for Al/NiOx/Si Structured Resistive Random Access Memory (RRAM)
Doo Hyun Yoon 1 Young Jun Tak 1 Sung Pyo Park 1 Heesoo Lee 1 Hyun Jae Kim 1
1Yonsei Univ. Seoul Korea (the Republic of)
Show AbstractIn this study, we investigated the improvement of resistive switching reproducibility through hydrogen treatment for Al/NiOx/Si structured resistive random access memory (RRAM). Electrically, the pristine NiOx device did not exhibit reproducible resistive switching. However, the hydrogen high pressure annealing (HHPA) treated device demonstrated over ~100 endurance cycles and over ~103 sec retention time. To find the reason of improved reproducibility of HHPA device, the bulk and interfacial properties of HHPA and pristine NiOx based device were compared through the x-ray photoelectron spectroscopy (XPS). The cross-sectional XPS etch time showed native interlayer oxide thickness was decreased by the HHPA treatment and the overall oxygen vacancy concentrations are increased by the reduction of hydrogen atom in bulk region from 20.84 to 27.30%. As a result, it is believed that the randomly formed oxygen vacancies which compose the conduction filament were effectively suppressed by HHPA. We believe that this research can facilitate applicability of RRAM as next generation non-volatile memory.
9:00 AM - KK10.14
Direct Observations of Oxygen Emission and Filamentation Tomography in Silica Resistive RAM
Mark Buckwell 1 Luca Montesi 1 Adnan Mehonic 1 Richard Chater 2 Sarah Fearn 2 Stephen Hudziak 1 David McPhail 2 Anthony J Kenyon 1
1Univ College London London United Kingdom2Imperial College London London United Kingdom
Show AbstractMetal-free, oxide-based resistive RAM offers the potential for fabrication of high-efficiency, high-density next-generation memory arrays. However, the mechanistic understanding of intrinsic switching in oxides is still under development, leaving open questions on the reliability of such devices. Thus, the switching mechanism must be better understood for resistive RAM to be optimised for integration into consumer technologies. Although oxygen movement, resulting in filamentation, is believed to be the underlying process governing device behaviour, there has been a lack of direct evidence for oxygen migration. Additionally, a definitive observation of filamentary conductive pathways has remained elusive. Here we present recent work on resistance switching behaviour, and the associated structural changes, of thin silicon suboxide layers sandwiched between TiN electrodes. We have been able to observe directly, using secondary ion mass spectroscopy, the emission of molecular oxygen in neutral and superoxide ion forms from our oxide layer during switching. We have also used atomic force microscopy to perform tomography studies of filaments through our silicon suboxide. In this way we have been able to observe the shape and form that these conductive pathways take in oxides. The novel methods used and subsequent data obtained highlight the dynamic behaviour of oxide-based resistive RAM.
9:00 AM - KK10.15
Difference of Resistance Switching Characteristics in NiO-Based ReRAM Cells between Pt and Ag as Top Electrodes
Yusuke Nishi 1 Yutaka Kuriyama 1 Tsunenobu Kimoto 1
1Kyoto Univ Kyoto Japan
Show AbstractResistance switching (RS) phenomena in metal/oxide/metal stack structures are applicable to Resistive Random Access Memory (ReRAM). Formation and rupture of conductive filaments have been widely accepted as an origin of RS mechanism in binary transition metal oxides. Forming in the ReRAM cells can be similar to soft breakdown of SiO2 in Si MOS structures. We have previously investigated Weibull distributions of forming characteristics under both constant voltage stress (CVS) and ramped voltage stress (RVS) in Pt/NiO/Pt structures [1]. The formation of conductive filaments follows a weakest link theory thorough the weakest spots distributed in a NiO film according to the Poisson statistics. Under the assumption that the average number of defects responsible for forming follows a simple power law of time [2], the exponent was fitted to be about 10 in the samples by Weibull slopes under CVS (about 1.5) and RVS (about 15). This value in thin SiO2 films was known as 40-48. However, whether the fewer exponent depends on the NiO (insulator) or Pt/NiO/Pt stack structures has been uncertain.
In this study, Pt bottom electrodes (BEs) with thickness of 80 nm were deposited on SiO2/Si substrates by RF sputtering with Ti thin films as an insertion layer. NiO films (thickness: less than 80 nm) were deposited on the Pt BEs by reactive RF sputtering with a Nickel target under the metallic mode. Finally, Pt or Ag top electrodes (TEs) with thickness of 50 nm were formed by electron beam evaporation. While Pt/NiO/Pt samples exhibited both unipolar and bipolar-type RS characteristics, Ag/NiO/Pt samples exhibited only bipolar-type. The typical difference of RS polarity indicated that the former and the latter could be categorized as valence change memory and electrochemical metallization, respectively.
Although initial resistances of the former and the latter were in the same range, typical voltage to forming (Vform) under RVS in the former was clearly larger than that in the latter despite of the same deposition condition of NiO films. While the resistance in the former under CVS gradually decreased depending on stressed time and rapidly decreased especially just before forming, that in the latter kept almost constant until forming occurred. In addition, Weibull slopes of Vform under RVS in the former were approximately 15 and essentially independent of NiO thickness (20-80 nm). The result implied existence of the similar critical thickness responsible for forming in Pt/NiO/Pt samples. In contrast, Weibull slopes in the latter increase with increasing NiO thickness (30-80 nm). These different characteristics can reflect the difference of defects and the critical electric field involved in conductive filaments before forming in NiO films.
[1] Y. Nishi et.al., MRS Proc. 1430, 141 (2012).
[2] E. Y. Wu et.al., Microelectron. Reliab. 45, 1809 (2005).
9:00 AM - KK10.16
Multi-State Resistive Switching and Nanoionics in Room Temperature SrTiO3 Thin Films
Hussein Nili 1 Sumeet Walia 1 Madhu Bhaskaran 1 Sharath Sriram 1
1RMIT University Melbourne Australia
Show AbstractThe consistent search for alternative non-volatile memories has revealed emerging memory technologies based on nanoscale phenomena in functional oxides. Among the contenders resistive random access memory based on two terminal devices has emerged as a promising candidate due to its facile fabrication, high speed, scaling potential and low energy operation. The resistive switching operation of a prominent subset of these devices is based on redox reactions and nanoionics transport processes in the functional oxide layers and interfaces. A particularly interesting resistive switching effect is the bipolar switching based on valence change mechanism in transition metal oxides such as TiO2 and SrTiOshy;3 (STO) which is triggered by drift diffusion of oxygen vacancies along the extended defects.
This work presents a CMOS-compatible realization of STO-based resistive switches with excellent switching performance. Amorphous STO (a-STO) thin films with sub-100 nm thicknesses were deposited on SiO2 substrates using RF magnetron sputtering at room temperature. The oxygen deficiency content of these films can be controlled through the control of oxygen partial pressure during the deposition. In effect, this allows for the realization of engineered oxygen vacancy with a uniform distribution through the thickness of a-STO thin films without the need for high temperature processing. Moreover, the controlled low temperature deposition can be utilized to realize multi-layered oxide stacks with distinct interfaces.
Switching performance of sputtered a-STO was tested in asymmetric Pt/Ti/a-STO/Pt MIM structures utilizing 100 nm oxide thin films. Engineering as-grown oxygen deficiencies in a-STO thin films results in an impressive improvement of OFF/ON ratios to the range of 103-104. Compositional analysis confirms the valence change mechanism nature of the bipolar switching in a-STO devices where the concentration of oxygen vacancies under the Ti electrode increases significantly after the electroforming step, facilitating the valence change mechanism in subsequent switching events.
This presentation will give an overview of conduction and switching mechanisms in memristive devices based on low-temperature deposited a-STO thin films, along with in situ nanoscale electrical characterization results.
Related References:
1. H. Nili et al., Adv. Funct. Mater. 246741 (2014).
2. H. Nili et al., Adv. Funct. Mater. 253172 (2015).
9:00 AM - KK10.17
Using SiOx Thin-Film ReRAM Devices to Emulate Neuronal Behaviour
Luca Montesi 1 Mark Buckwell 1 Kostantin ZarudnyiAdnan Mehonic 1 Stephen Hudziak 1 Anthony J Kenyon 1
1University College London London United Kingdom
Show AbstractBiological brains rely on extreme parallelism and complex synaptic signalling and plasticity. Attempts at emulating these networks by means of sequential modern computers have been extremely inefficient and slow. Not even machines dissipating megawatts have been capable of real-time emulation. In contrast, ReRAM devices have shown post-digital behaviour which is reminiscent of that found in neuronal synapses. These small and power efficient devices have already been placed in neuronal networks which have shown high speed and efficiency. Here we show that a substoichiometric TiN/SiOx/TiN ReRAM device is a good candidate for use in such networks. The device is theoretically scalable to tens of nanometres, is CMOS compatible and its switching behaviour is intrinsic. Following initial electroforming, conductance can be increased or decreased by means of subsequent potentiating or depressing pulses which can be identical in magnitude and length. The state a device enters depends on its previous states showing learning capability or plasticity. Additionally, long and short-term memory phenomena occur at fast time scales, in the order of tens of nanoseconds. The proposed device is therefore a strong competitor in the field of post-binary neuronal networks thanks to its electrical characteristics, ease of fabrication, scalability and power efficiency.
9:00 AM - KK10.18
The Crucial Role of Oxygen in Oxide-Based ReRAM: Oxygen Emission and Device Behaviour in a Labelled Oxygen Environment
Luca Montesi 1 Mark Buckwell 1 Celeste van den BoschAdnan Mehonic 1 Stephen Hudziak 1 Richard Chater 2 David McPhail 2 Anthony J Kenyon 1
1University College London London United Kingdom2Imperial College London United Kingdom
Show AbstractDespite controversy over switching mechanisms, filamentary oxide-based ReRAM devices have shown good benefits in functionality over flash memory. However, endurance-hindering surface distortion of device electrodes has been well reported during operation and often empirically attributed to gaseous oxygen emission. Our studies on TiN/SiOx/TiN devices lead to the identification of O2 and O2- (superoxide) species while applying a switching bias. We observed this through in-situ switching in high vacuum by means of SIMS detectors operating in RGA and regular mode, respectively. Switching in environments other than air further confirms that oxygen plays a crucial role on switching dynamics. Through the use of isotopically labelled oxygen, it is possible to study the interplay between internal and external oxygen. It is therefore crucial to find ways to better control oxygen movement and escape in future device generations in order to enhance endurance. This may be possible either through the insertion of sinks and sources during fabrication or through careful shaping of programming signals. A combination of both envisioned solutions might be the key to longer endurance in future devices.
9:00 AM - KK10.19
HfO2-Based Flexible Memristor Device via the Drop-Coating Technique
Vikas Kumar 1 Ilhaam Aziz Omar 1 Maguy Abi Jaoude 2 Baker Mohammad 1 Kyriaki Polychronopoulou 3
1Khalifa University of Sciences Technology and Research (KUSTAR) Abu Dhabi United Arab Emirates2Khalifa University of Sciences Technology and Research (KUSTAR) Abu Dhabi United Arab Emirates3Khalifa University of Sciences Technology and Research (KUSTAR) Abu Dhabi United Arab Emirates
Show AbstractActively researched by HP since 2008 [1], the nano-scale memristor device has stirred up a considerable interest as one of the potentially promising alternative to current CMOS technology owing to long retention time, fast switching speed, large data storage and low-power electrical switching for non-volatile memory applications. Typical nano-scale devices have been also attributed to potentially revolutionize other prominent research areas like digital, analog circuit and cognitive computing-type applications [2-4].
While the scalability of the nanoscale technology is yet to be developed due to multiple constraints on fabrication and reproducibility, micro-scale devices have also been reported to possess resistive switching properties and easier to elaborate [5].
In this work, we investigated a novel Al/HfO2/Al flexible microscale memristor device using a simple drop coating technique [5]. The memristor device is fabricated on flexible plastic sheet, while aluminum tape or foil is used as a top and bottom electrode material. The electrodes are drop coated with hafnium oxide precursor solution, at room temperature, and then sandwiched in a single cross bar structure. Initial current-voltage (I-V) characterization results showed a bipolar switching (BPS) behavior, with an I-V loop pinched at zero voltage and current. The BPS loop was constructed in anti-clockwise direction and the resistive switching from OFF to ON state was observed at low voltage, of about 0.5 V. However the endurance of the device is not more than 4 runs and the Roff/Ron ratio of the device is found to be around 10.
Scanning Electron Microscopy (SEM) characterization of a room temperature elaborated device revealed a flaky and mechanically unstable texture of the sandwiched insulator oxide layer. The device structure to performance relationship is assessed and optimized by tuning the synthesis and processing conditions. Effects of (i) the composition of the hafnium oxide precursor solution, (ii) the post-synthetic thermal treatment, (iii) the thickness of the active layer, in addition to (iii) the electrode material type on the device I-V properties, retention and endurance characteristics are investigated and discussed.
References:
Yang, J.J.et al. Memristive devices for computing. Nature nanotechnology, 2013. 8(1): p. 13-24
Hu, S., et al., Review of Nanostructured Resistive Switching Memristor and Its Applications. Nanoscience and Nanotechnology Letters, 2014. 6(9): p. 729-757.
Wey, T.A et al., Variable gain amplifier circuit using titanium dioxide memristors. IET circuits, devices & systems, 2011. 5(1): p. 59-65
Kuzum, D et al., Synaptic electronics: materials, devices and applications. Nanotechnology, 2013. 24(38): p. 382001.
Ella Gale et al., Drop-coated titanium dioxide memristors, Materials Chemistry and Physics, 2014 .143: p.524-529
9:00 AM - KK10.20
Modeling Electrical and Light-Controlled Resistive Switching in Organic ReRAM
Mikhail Dronov 1 2 3 Maria Kotova 1 Ivan Belogorohov 3
1Faculty of Physics, M.V. Lomonosov Moscow State University Moscow Russian Federation2A.M. Prokhorov General Physics Institute Moscow Russian Federation3Federal State Research and Design Institute of Rare Metal Industry ("Giredmet") Moscow Russian Federation
Show AbstractResistive switching, the reversible modulation of electronic conductivity, is of interest for prospective memory devices that could be a perfect electronic memory. Though different types of ReRAM (Resistive Random Access Memory) exist, the organic based ReRAM is of a special interest due to the possibility for production of high performance devices using a relatively simple technology.
Despite recent advantages in understanding of underlying physical mechanisms for resistive switching, there is still a relatively large set of experimental data that cannot be we explained. The five main mechanisms of the resistive switching are: the phase change mechanism (PCM), the thermo-chemical mechanism (TCM), the valency change mechanism (VCM), the electro-chemical metallisation (ECM) and the electrostatic/electronic mechanism (EEM). The problem is that for the widely observed unipolar switching only PCM and TCM are applicable which often seems to be not possible in organic materials, while all other mechanisms require the bipolar behaviour, with channels forming/dissolving (for VCM or ECM) with different voltage polarities.
We demonstrated previously [1, 2] that admixture of photosensitive molecules to the polymer switching layer may lead to addition of two effects to the conventional resistive switching (controlled by electric field). The first one is the photo-induced switching (i.e. nonvolatile change in the resistance controlled by light), the second is the photo-controllable switching (i.e. change of critical switching parameters under illumination).
We suggest an adaptation and extension for the model used for filament thermal dissolution in NiO metal-insulator-metal switches [3]. Our main extension to the model is to account for charge carrier generation under illumination as an effective modification to the electrical resistance.
The calculated filament parameters match reasonably with estimates for silver based filaments. This result provides an argument supporting a filament formation from the contact material though other options are possible.
This work was supported by RFBR (project 14-02-31569) and by Federal Target Grant "Research and development on priority Areas of Science and Technology Complex of Russia for 2014-2020" (government contract 14.576.21.0029 Unique identifier for Applied Scientific Research (project) RFMEFI57614X0029).
[1] M. Dronov, I. Belogorohov and D. Khokhlov (2011). New MEH-PPV Based Composite Materials for Rewritable Nonvolatile Polymer Memory Devices. MRS Proceedings, 1337, mrss11-1337-q05-07 doi:10.1557/opl.2011.980.
[2] M. Dronov, M. Kotova and I. Belogorohov (2015). Photo-controllable Resistive Memory Based on Polymer Materials. MRS Proceedings, 1729, mrsf14-1729-m02-08 doi:10.1557/opl.2015.289.
[3] U. Russo, D. Ielmini, C. Cagli, A.L. Lacaita: Self-accelerated thermal dissolution model for reset programming in unipolar resistive-switching memory (RRAM) devices. IEEE Trans. Electron Devices 56(2), 193-200 (2009)
9:00 AM - KK10.21
Size and Stoichiometric Effects on Resistive Switching across Individual TiO2/Nb:SrTiO3 Interfaces
Jiaying Wang 1
1University of Massachusetts-Amherst Amherst United States
Show AbstractIntense research efforts focus on scaling Resistive Random Access Memory (RRAM) devices due to their promise as a next generation non-volatile memory platform. In this work we investigate the influence of oxygen concentration during the fabrication and post-annealing processes on the electroforming operation voltage and resistive switching (RS) properties across individual, nanoscale TiO2/Nb:SrTiO3 junctions of varying size (50nm-1mu;m). We propose that the variation of the contact size also results in the different SET voltages. A physical model illustrating the nature of electroforming voltage dependence on nanostructure size is presented. The nanostructures display effective switching behavior, possessing highly stable and reproducible high resistance state (HRS) to the low resistive state (LRS) ratios (RHRS/RLRS) of 104 at a read voltage of 0.1 V. We also demonstrate the effects of oxygen content on RS phenomena through systematic variations in the deposition and annealing atmospheric conditions. Finally, a comparison between metal/oxide and oxide/oxide contact mechanisms is drawn from local I-V results collected via conductive AFM (c-AFM).
9:00 AM - KK10.22
Printable, Non-Volatile Memory Based on Randomly Dispersed Cu-SiO2 Core-Shell Nanowires
Patrick Flowers 1 Matthew Catenacci 1 Benjamin Wiley 1
1Duke University Durham United States
Show Abstract
This research describes a printable non-novolatile memory which uses memristive principes and a randomly-oriented Cu-SiO2 core-shell nanowire-based design. We specifically identify the presence of two memristive elements in series as a result of such a configuration. We have developed an ink capable of dispersing and printing our nanowire-based non-volatile memory. We have examined the parameters and performance of the overall memory cell that results from these two in series memristors. We have found this memory to have excellent retention (extrapolated to >10 years), low voltage operation (~2V), and exceptional 50 ns programming speed, making this an exciting printable alternative to flash memory.
9:00 AM - KK10.24
Non-Volatile Ferroelectric Memories from CdS Nanoparticles-P(VDF-TrFE) Nanocomposite Films
Saman Salemizadeh Parizi 2 1 Daniela Caruntu 2 1 Gabriel Caruntu 2 1
1Central Michigan Univ Mount Pleasant United States2Central Michigan University Mount Pleasant United States
Show AbstractFerroelectric capacitors are attractive candidates for data storage applications due to the polarization effect, which can be used to encode information by using Boolean algebra. However, the read out process in ferroelectric capacitors is destructive since ferroelectrics are wide band gap insulating materials [1]. Combining ferroelectric materials with a semiconductor is a promising approach to design biphasic structures with complementary electronic properties such as ferroelectric polarization and semiconductivity [1-2]. Such functions will enable a device to function as a rectifying diode being therefore suitable for non-volatile data storage [3]. In this work, we present a solution-based approach to fabricate nanocomposite materials based on CdS nanoparticles encased into a ferroelectric polymer matrix, such as poly[(vinylidenefluoride-co-trifluoroethylene] P(VDF-TrFE). To this end, the as-synthesized semiconductor CdS nanoparticles were stabilized in a polar solution containing polymer molecules upon a simple surface functionalization process. Preliminary results show high quality biphasic nanocomposite films with well dispersed nanoparticles in polymeric matrix. The electronic properties of neat P(VDF-TrFE) and CdS NPs-P(VDF-TrFE) nanocomposite were determined by combining measurements with a ferroelectric tester with piezoresponse force microscopy (PFM), Kelvin probe force microscopy (KPFM) and conductive atomic force microscopy (CAFM). The CdS NPs-P(VDF-TrFE) nanocomposite perform well in a non-destructive read out process which can be used in non-volatile data storage applications.
References:
1. Kamal Asadi, Dago M. De Leeuw, Bert De Boer, and Paul W. M. Blom, Nature Mater. 2008, 7, 547-550.
2. E. Y. Tsymbal and A. Gruverman, Nature Mater. 2013, 12, 617-621.
3. Zheng Wen, Chen Li, Di Wu, Aidong Li and Naiben Ming, Nature Mater. 2013, 12, 602-604.
KK7: Piezo- and Ferro-Electric Memories
Session Chairs
Eisuke Tokumitsu
Dennis Newns
Thursday AM, December 03, 2015
Hynes, Level 2, Room 202
9:30 AM - KK7.01
Giant Soft-Memory in Nanocomposites of Polymer Functionalized Ferroelectric Nanoparticles and Liquid Crystals
Ravindra Kempaiah 1 Yijing Liu 1 Zhihong Nie 1 3 Rajratan Basu 2
1Univ of Maryland-College Park College Park United States2The United States Naval Academy Annapolis United States3University of Maryland College Park United States
Show AbstractEngineering nanocomposites of liquid crystals (LC) with functional nanoparticles (NPs) to enhance optical properties, enable faster switching and to form 3D hierarchical self-assembled structures has proven to be very effective and is an active field of research.[1],[2] Such a hybrid nanocomposite system offers a programmable platform to develop alternate techniques for electronic data storage.[3],[4] To design such a non-volatile memory device, ferroelectric liquid crystals, polymer functionalized plasmonic nanoparticles in nematic LC and topological defects arising from nano and micro porosities in LC have been extensively studied.[5],[6],[7],[8] Most of these studies have been conducted in nematic phase of liquid crystals. Here, we report a novel way of introducing giant, non-volatile soft-memory in a nanocomposite comprising of amphiphilic polymer functionalized barium titanate (BaTiO3) nanoparticles and isotropic phase of 4-cyano-4-pentylbiphenyl (5CB) liquid crystal. Doping of pure ferroelectric NPs in isotropic phase of 5CB creates nanoscopic domains of highly ordered regions as 5CB molecules arrange themselves around the NPs and we call these regions, pseudonematic domains. Here, mesogens can electromechanically rotate the BaTiOshy;3 NPs within the domain, along the direction of applied electric field. These domains are spatially and thermodynamically locked-in and retain their directional orientation and net polarization even after the applied electric field is switched off. We call this net remnant polarization or hysteresis, ‘soft memory&’. When NPs are functionalized with amphiphilic block copolymers, self-assembly of mesogens occurs at the interface of polymer tethers and nanoparticles via combination of non-covalent coupling and π-π stacking interaction and this results in multi-fold enhancement in the volume of pseudonematic domains and subsequent increase in the soft memory. This work provides new insight into understanding the interaction of nanoparticles, polymers and liquid crystal and potentially lead to the creation of nanoelectrocmehanical (NEMS) storage device using functionalized nanoparticles.
References
[1] T. Hegmann, H. Qi, V. Marx, Journal of Inorganic and Organometallic Polymers and Materials 2007, 17, 483.
[2] A. Kumar, G. Singh, T. Joshi, G. K. Rao, A. K. Singh, A. M. Biradar, Applied Physics Letters 2012, 100.
[3] J. Ouyang, C. W. Chu, C. R. Szmanda, L. Ma, Y. Yang, Nat Mater 2004, 3, 918.
[4] I. Muscaron;evi#269;, S. Zumer, Nat Mater 2011, 10, 266.
[5] J. Prakash, A. Choudhary, A. Kumar, D. S. Mehta, A. M. Biradar, Applied Physics Letters 2008, 93.
[6] L. Marino, S. Marino, D. Wang, E. Bruno, N. Scaramuzza, Soft Matter 2014, 10, 3842.
[7] R. Basu, Physical Review E 2014, 89.
[8] I. Musevic, M. Skarabot, U. Tkalec, M. Ravnik, S. Zumer, Science 2006, 313, 954.
9:45 AM - *KK7.02
The PiezoElectronic Transistor: A High Speed Low Power Device for Logic, Switching and Memory
Dennis M. Newns 1 Josephine Chang 1 Paul Solomon 1 Thomas Shaw 1 Matt Copel 1 Hiroyuke Miyazoe 1 Bruce Elmegreen 1 Marcelo Kuroda 1 Glenn J Martyna 1 Susan E. Trolier-McKinstry 2 Ryan Keech 2 Smitha Shetty 2
1IBM T.J. Watson Research Ctr Yorktown Heights United States2Pennsylvania State University State College United States
Show AbstractThe search for novel devices continues, motivated by the IT industry&’s need for more aggressive performance in terms of lower power and higher speed/frequency, and novel functionalities. Here we present a new device, the PiezoElectronic Transistor (PET) [1], with applications in low power/high performance logic, switching, and memory. In the PET, a gate input is applied across a Piezoelectric (PE) actuator, which expands and compresses an adjacent Piezoresistor (PR). The PR, a material which undergoes a pressure-driven insulator-metal transition, then conducts, turning the switch On. High On/Off (~104) ratios at low voltage (~100 mV) are achievable. Mechanical contact is continuous, distinguishing the concept from NEMs. Device performance critically depends on the use of two high performance materials, a relaxor piezoelectric, e.g. PMN-PT, and a rare earth chalcogenide piezoresistor, e.g. SmSe. Simulation and modeling, based on the properties of known materials, shows that the PET is capable of forming the switching element in a CMOS-analog logic, functioning at higher speeds than current CMOS but at 1/100 the power. By use of a hysteretic PR material, such as SmS or a variant, the PET can be used as the storage element in a memory cell, which is fast and low voltage with moderately high density. At micron scales, simulations show that the PET can be used as an RF switch with an outstanding figure of merit. We describe the current capabilities in fabricating high performance thin PE and PR films, and the fabrication and performance of three forms of the device, and outline the future trajectory of the technology
[1] D.M. Newns et al. "A Low-Voltage High-Speed Electronic Switch based on Piezoelectric Transduction," J. Appl. Phys. Vol. 111, 084509, (2012); M. Copel et al., "Giant Piezoresistive On/Off Ratios in Rare-Earth Chalcogenide Thin Films Enabling Nanomechanical Switching," Nanoletters, 13, 4650 (2013).
10:15 AM - KK7.03
Towards High-Performance Non-Volatile Oxide Transistor
Cristina Visani 1 2 Matthew S. J. Marshall 1 2 Ankit S Disa 1 2 Fred Walker 1 2 Charles H. Ahn 1 2 3
1Yale University New Haven United States2Yale University New Haven United States3Yale University New Haven United States
Show AbstractFuture device possibilities based on functional oxides are attractive for two reasons: thin films can be grown with atomic-layer precision and emergent electronic phases at the interface can be gate-tuned. The incorporation of ferroelectric oxides, such as Pb(Zr0.2Ti0.8)O3 (PZT), allows one to introduce new attributes, including non-volatility, to device operations. Devices with all these features could be used not only to store memory bits, but also to perform logic operations. Currently, however, there are challenges to achieving practical implementation for such oxide-based field effect devices, including small room temperature ON-OFF conductance swing and large gate voltages. Here we present a three-terminal oxide-based ferroelectric device consisting of an ultra-thin (3.5 unit cells) epitaxial LaNiO3 (LNO) layer grown by molecular beam epitaxy and a ferroelectric PZT gate grown by off-axis magnetron sputtering. Upon switching the ferroelectric polarization the conducting channel displays room temperature ON-OFF resistive ratio in excess of 20. Circuit simulations show that a device fabricated from these materials can be implemented in a reconfigurable NAND/NOR logic gate.
10:30 AM - KK7.04
Highly Tunable Transport Properties of Hybrid Wurtzite-Perovskite Heterojunctions
Ashok Bera 1
1King Abdullah University of Science and Technology Thuwal Saudi Arabia
Show AbstractIntegration of functional materials with distinct lattice symmetries and dimensions is a versatile approach to realize novel materials properties. Hence it is natural to envisage that the heterostructures synergistically combining perovskite and wurtzite oxides can provide unprecedented multifunctional devices that integrate the useful and unique properties of both material groups. But the drastic mismatch between crystal structures of both makes single crystalline growth of wurtzite oxides on perovskite oxides challenging. But, by taking the advantage of anisotropic growth rate in solution process, we have grown single crystalline wurtzite ZnO Nanowires (NWs) on perovskite LaAlO3 (LAO)-SrTiO3 (STO) interface and Nb doped STO (NSTO). The heterojunctions formed by 1D ZnO NWs on the 2D electron gas of LAO-STO interface show insulator to metal transition in which the transition temperature can be tuned simply by changing the applied bias across the heterojunctions. On the other hand, the heterojunction diode formed at the interface of ZnO NWs and NSTO shows high and persistent photoconductivity and it can be turned into a versatile light-switchable resistive switching memory with highly tunable ON and OFF states. We believe that the reversible modification of the effective interfacial energy barrier in the concurrent electronic and ionic processes gives rise to the high susceptibility of the heterojunctions to external electric and optical stimuli. Furthermore, this facile synthetic route is promising to be generalized to other novel functional nanodevices integrating materials with distinct and diverse structures and properties.
10:45 AM - KK7.05
Leveraging the Dynamics of Memory Materials and Devices for Neuromorphic Computing: The Case of Ferroelectric Gated Transistors
Asif Islam Khan 1 Sayeef Salahuddin 1
1UC Berkeley Berkeley United States
Show AbstractIn the beyond CMOS paradigm of computing, there has been a significant push to realize neuromorphic functionalities in solid state devices [1-3]. In this talk, we will present a generalized scheme of implementing characteristic biological neuroplastic responses such as short term potentiation (STP) and long term potentiation (LTP) by utilizing the dynamics of the double potential landscape in memory materials and 3-terminal devices. In biological brains, STP and LTP are widely considered to be the underlying mechanism for memory and learning [4-7]. The memorization level in sensory memory mode increases slightly with initial rehearsals, and then, in STP mode, is temporarily enhanced before decaying. Subsequent frequent rehearsals results in LTP, leading to a long-term memorization. In this talk, we will show that it is possible to mimic these characteristics neuroplastic responses (STP & LTP) in ferroelectric circuits by achieving conditional switching between stable ferroelectric polarization states depending on the rehearsal frequency of current or voltage stimulli. We simulate the dynamics of the ferroelectric capacitor by using the Landau-Khalatnikov equation [8,9]. By introducing a ferroelectric material in the gate oxide of a field-effect transistor, the polarization dynamics of the ferroelectric polarization becomes coupled to the channel conductance (and the drain current) of the 3-terminal device. The implementation of neuroplastic responses in 3-terminal devices gives significant advantages over those achievable in 2-terminal counterparts [10]. In such architectures, the input and the output become decoupled which could make neuromorphic computing architectures much simpler. Furthermore, these dynamic devices could also function as correlation detectors between different input signals which could implement associative memory functionality and Hopfield network based pattern recognition algorithms.
References:
[1] Eryilmaz, S. B., Kuzum, D., Jeyasingh, R., Kim, S., BrightSky, M., Lam, C., & Wong, H. S. P. Frontiers in Neuroscience 8, 1 (2014)
[2] Ohno, T et al. Short-term plasticity and long-term potentiation mimicked in single inorganic synapses. Nature Mater. 10, 591 (2011).
[3] Bessonov, A. A et al. Nature Mater. 14, 199 (2015).
[4] Loslash;mo, T et al.. Phil. Trans. Royal Soc B 358, 617 (1432).
[5] Bliss, T. V. P. & Collingridge, G. L. Nature 361, 31_39 (1993).
[6] Kandel, E. R., Schwartz, J. H. & Jessell, T. M. (eds) Principles of Neural Science
4th edn (McGraw-Hill, 2000).
[7] Atkinson, R. C. & Shiffrin, R. M. in The Psychology of Learning and Motivation:
Advances in Research and Theory Vol. 2 (eds Spence, K. W. & Spence, J. T.) 89-195 (Academic, 1968).
[8] Landau, L. D. & Khalatnikov, I. M. Dokl. Akad. Nauk 96,469 (1954).
[9] Khan, Asif Islam, et al. Nature Materials 14, 182 (2015).
[10] Kaneko, Y. et al. IEEE Trans. Electron Dev. 61, 2827 (2014).
KK8: ReRAM I
Session Chairs
Hyunsang Hwang
Jianhua Yang
Thursday AM, December 03, 2015
Hynes, Level 2, Room 202
11:30 AM - *KK8.01
Resistive Switching in Ferroelectric Junctions with Engineered Interfaces
Akihito Sawa 1 Atsushi Tsurumaki-Fukuchi 1 Yoshikiyo Toyosaki 1 Hiroyuki Yamada 1 2
1National Institute of Advanced Industrial Science and Technology Tsukuba Japan2JST, PRESTO Kawaguchi Japan
Show AbstractFerroelectric resistive switching induced by polarization reversal in ferroelectrics has recently attracted considerable interest, because of a promising application to nonvolatile memories. Ferroelectric resistive switching memory offers the possibility of solving the drawbacks of conventional ferroelectric random access memory (FeRAM); for instance, the resistive readout of the polarization state in ferroelectric resistive switching memory enables non-destructive readout of data, and as it is non-charge-based memory with a simple cell structure, this type of memory is highly scalable.
To induce ferroelectric resistive switching, an asymmetric potential distribution in ferroelectric capacitors is required. We revealed that an interfacial dielectric layer formed at an electrode/ferroelectric interface induces an asymmetric potential distribution with resultant ferroelectric resistive switching in a ferroelectric capacitor. Ferroelectric capacitors consisting of semiconducting Bi-deficient Bi1-δFeO3 (BFO) layers with SrRuO3 (SRO) electrodes showed no resistive switching, but resistive switching emerged in these ferroelectric capacitors when a thin LaFeO3 (LFO) dielectric layer was inserted at one of the SRO/BFO interfaces. In addition to resistive switching, the devices showed rectifying current-voltage characteristics, suggesting the formation of an asymmetric barrier at the SRO/LFO/BFO interface. Moreover, we have recently found that the resistive switching characteristics in BaTiO3 (BTO)-based ferroelectric tunnel junctions (FTJs) strongly depend on the surface-termination of BTO in contact with a simple-metal electrode. FTJs consisting of BTO barrier layers with BaO or TiO2 terminations show “eight-wise” or “counter-eight-wise” switching, respectively, suggesting opposing relationships between the polarization direction and the resistance state. The resistance-switching ratio in the junctions can be remarkably enhanced up to 103, by artificially controlling the fraction of BaO termination. This result can be understood in terms of the termination dependence of dead layer and charge screening, which has been predicted by the first-principles calculations.
We thank M. Kobayashi, H. Kumigashira, and T. Nagai for XPS and TEM studies. Part of this work was financially supported by the JSPS KAKENHI (Grant No. 26286055).
12:00 PM - KK8.02
Engineering Defect Levels and Strain Fields as Functional Oxide Building Blocks for Novel ReRAM Architectures
Jennifer L.M. Rupp 1 Eva Sediva 1 Roman Korobko 1 Felix Messerschmitt 1 Sebastian Schweiger 1 Rafael Schmitt 1 Markus Kubicek 1
1ETH Zurich Zurich Switzerland
Show AbstractNanoscale resistive switches (ReRAM) were recently proposed as a new class of non-volatile switches capable of reading, writing and erasing memory information by switching non-linearly between low- and high-resistance values by application of mV voltage pulses in the ns range.
Through this paper we want to elucidate novel findings and innovation on the fields of material and structure development for oxygen anionic-electronic conducting valence change ReRAM.
Firstly, we present a new type of a model material device concept entitled "a strained ReRAM"1. Here, new material engineering of oxides and their electro-chemo-mechanics2 are discussed to control resistive switching device properties like retention, Ron/Roff ratios and power consumption by "interfacial strain engineering of mixed conducting oxide". Lattice strain engineering using heterostructures at internal interfaces can be used to tune material properties in micro-dots as new resistive switching architectures far beyond the change accessible by classic solute solution doping in valence change oxides. We exemplify the switching characteristics based on either compressively or tensely strained Gd0.1Ce0.9O2-x heterolayers by Er2O3 or Sm2O3 monolayer modulation, respectively, and discuss directly the device implication.
Secondly, we turn to the role of electric field and frequency dependencies of SrTiO3 anionic-electronic conductor ReRAM switching bits in an unusual combination of methods3,4,6. Here, on- and off equilibrium electrochemical impedance spectroscopy and chronoamperometry are used to investigate the best operation conductions to implicate on fast switching and stable retention with high resistance modulation for the devices. Thereby, the capacitive and memristive contributions can be separated and discussed towards optimum operation, as well as diffusion constant characteristics of the materials involved can be accessed. The "Memristor-based Cottrell equation" is used to determine successfully diffusion kinetics in these anionic-electronic resistive switches4,5.
Thirdly, we grow nanoscopically-rough LaFeO3 switching bits and demonstrate in a model experiment for an amorphous and epitaxially oriented films the implication of grain-boundary free but varying defect levels of the structures on resistive switching. We compliment classic resistive switching tests characterizing the near order Raman vibrational (ionic bonding), direct and non-linear optic spectroscopy techniques (electronic contributions) and implicate on the potential application of these rather stable and novel resistive switching architectures.
1) S. Schweiger et al., J.L.M. Rupp, ACS Nano, 8, 5032, 2014
2) Y. Shi et al. J.L.M. Rupp, Nature Materials, online, 2015
3) F. Messerschmitt, M. Kubicek, J.L.M. Rupp. AFM, online, 2015
4) F. Messerschmitt et al. J.L.M. Rupp. AFM, 24, 7448, 2014
5) H. Nili et al. D.B. Strukob and S. Siram, AFM, 24, 6741, 2015
6) M. Kubicek, R. Schmitt, F. Messerschmitt, J.L.M. Rupp, ACS Nano, 2015
12:15 PM - KK8.03
Resistive Switching Tuned by Interfacial Strain in Ionic Heterostructure Microdots
Sebastian Schweiger 1 Ulrich Aschauer 1 Reto Pfenninger 1 Jennifer L.M. Rupp 1
1ETH Zurich Zurich Switzerland
Show AbstractRedox-based resistive memories are a promising alternative to current information storage technologies, i.e. memories and logics.1 Resistive switches are usually composed of a single metal oxide film, e.g. SrTiO3, TiO2, CeO2, sandwiched between two metal electrodes and are operated at high electric field strengths. Here, new material engineering and design concepts to alter carrier contributions at high field strength and involved carrier kinetics require attention.2 The concept of strain engineering for metal oxide heterostructures to go beyond classic doping of oxides is discussed in this work to control resistive switching device properties like retention, Roff/Ron ratios and power consumption. We discuss lattice strain engineering as a new powerful and versatile tool for manipulating mass and charge transport and its integration as new functional building blocks to real micro-devices. The benefits of strain manipulation to implicate on atomistic near order and ionic transport are the biggest at low temperature and for large strain fields;3 this makes it particularly interesting for low to room-temperature applications, like resistive switching memories. We demonstrate a novel microfabrication strategy for strained micro-dot heterostructure architectures to manipulate the in-plane nanoscopic interfaces and transport of the strained heterostructures for resistive switches.4 For this, the material systems Gd0.1Ce0.9O2-x/Er2O3 and Gd0.1Ce0.9O2-x/Sm2O3 were studied to investigate the influence of either compressive or tensile straining the ionic conducting phase on resistive switching, ionic transport and atomistic bond strength vibrations. The magnitude of strain is changed by altering the number of interfaces from 1 to 60 while keeping the device at constant heterolayer film thickness. We show that interfacial strain changes the ON-State of the device and can be used to vary the effective Roff/Ron ratio, frequencies and number of resistance states addressable. Building on this knowledge we investigate the near-order ionic transport interaction by Raman micro-spectroscopy measurements which are discussed as new measurement technique to investigate and describe the strain state and changes in the oxygen sublattice where the carriers are transported upon resistive switching at high bias. Finally, we will demonstrate the very first in-operando Raman measurements capturing in real-time changes in the near order oxygen anionic-cationic lattice vs. applied field strength, frequency and strain states of the resistive switching memories. The transport measurements and structural characterizations are supported by DFT and KMC simulations for the resistive switching materials.
References:
[1] Messerschmitt et al., Advanced Functional Materials, 2014, 24, 47, 7448
[2] Kubicek et al., ACS Nano, 2015
[3] Shi et al., Nature Materials, 2015 doi: 10.1038/nmat4278
[4] Schweiger et al., ACS Nano, 2014, 8 ,5, pp 5032
12:30 PM - KK8.04
Effect of Oxygen Engineering in HfO2 by MBE for RRAM Applications
Sankaramangalam Ulhas Sharath 1 Stefan Vogel 1 Erwin Hildebrandt 1 Jose Kurian 1 Philipp Komissinskiy 1 Christian Walczyk 2 Pauline Calka 2 Gang Niu 2 Thomas Schroeder 2 Lambert Alff 1
1TU Darmstadt Darmstadt Germany2IHP Frankfurt (Oder) Germany
Show AbstractResistive Random Access Memory (RRAM) devices based on resistive switching in hafnium oxide (HfO2) are being investigated extensively as emerging embedded nonvolatile memories. Hafnium based oxides have added advantage due to their established CMOS compatibility for use as high-k dielectrics. In RRAM, higher voltages used in electroforming process required for realizing stable switching can lead to variations in switching behavior from device to device, in turn decreasing the device yield. In turn, efforts towards achieving forming free switching by defect engineering and doping can help in the realization of reproducible application of HfO2 based RRAM technology. We have grown thin films of HfO2 using molecular beam epitaxy (MBE) on different substrates. Oxygen engineering in HfO2 thin films has been achieved using strongly oxygen deficient growth parameters, stabilizing oxygen vacancy concentrations far beyond the thermodynamical equilibrium. The conductivity of epitaxial hafnium oxide films grown on c-cut sapphire substrates at temperatures above 500 oC was found to be tunable in a wide range by varying the oxygen flow across an insulator-metal transition [1]. For RRAM application, polycrystalline and amorphous HfO2 films were grown on titanium nitride (TiN) electrode at 320 oC and room temperature, respectively. At 320 oC, HfO2 crystallize in a monoclinic symmetry (m-HfO2) at higher oxidation conditions, whereas the highly oxygen deficient hafnium oxide films showed an oxygen vacancy stabilized tetragonal like higher symmetry phase of hafnium oxide (t-HfO2-x) which was verified by X-ray diffraction [2]. This is indicative of the ability to intrinsically tune in a broad range the oxygen stoichiometry of HfO2 films grown at lower temperature. A large concentration of oxygen vacancies lead to a defect band at the Fermi-level as observed by X-ray photoelectron spectroscopy (XPS). The electrical switching measurements show that the forming voltage is suppressed for oxygen deficient films paving the way for low power devices in future [3]. Further efforts towards understanding switching performance in oxygen vacancy rich HfO2 by the traditional approach of doping trivalent atom (Lanthanum), crystallizing in vacancy stabilized c-La:HfO2 has also led to lower forming voltages and is currently being investigated further. Our study suggests that, the combination of oxygen deficient and stoichiometric layers of hafnium oxide with varying thicknesses can lead to forming free devices.
[1] E. Hildebrandt et al., J. Appl. Phys. 112, 114112 (2012).
[2] S. U. Sharath et al., Appl. Phys. Lett. 104, 063502 (2014).
[3] S. U. Sharath et al., Appl. Phys. Lett. 105, 073505 (2014).