Symposium Organizers
Junichi Motohisa, Hokkaido University Graduate School of Information Science and Technology
Lincoln J. Lauhon, Northwestern University
Deli Wang, University of California, San Diego
Takeshi Yanagida, Osaka University Institute of Scientific and Industrial Research
Symposium Support
Japan Society of Applied Physics
National Science Foundation
Office of Naval Research
AA2: Nanowire and Nanotube Growth II
Session Chairs
Tuesday PM, April 10, 2012
Moscone West, Level 3, Room 3004
2:30 AM - *AA2.1
Inorganic Nanotubes and Fullerene-like Nanoparticles: An Update
Reshef Tenne 1
1Weizmann Institute Rehovot Israel
Show AbstractThis presentation is aimed at underlying the principles, synthesis, characterization and applications of inorganic nanotubes (INT) and fullerne-like (IF) nanoparticles (NP) from 2-D layered compounds. While the high temperature synthesis and study of IF materials and INT from layered metal dichalcogenides, like WS2 and MoS2 remain a major challenge, progress with the synthesis of IF and INT structures from various other compounds has been realized, as well. Intercalation and doping of these nanostructures, which lends itself to interesting electronic properties, has been realized, too. Recently, new core-shell nanotubular structures, like PbI2@WS2 nanotubes, and INT-SnS2 have been reported. Re doping of the IF and INT endow them with interesting electrical and other physio-chemical properties. Major progress has been achieved in elucidating the structure of INT and IF using advanced microscopy techniques, like aberration corrected TEM with 0.08 nm resolution and electron tomography. Also recently, scaling up efforts in collaboration with "NanoMaterials" resulted in multikilogram production of (almost) pure multiwall WS2 nanotubes phases. Extensive experimental and theoretical analysis of the mechanical properties of individual INT and more recently IF NP was performed casting light on their behavior in the macroscopic world. IF-MS2 (M=W,Mo, etc) were shown to be superior solid lubricants in the variety of forms, including an additive to various lubricating fluids/greases and for various self-lubricating coating. Following scaling-up efforts, full commercialization of products based on this technology have taken place in the automotive, aerospace, food, machining and other industries. New potential applications have been realized, e.g. in the field of medical technology, by forming self-lubricating coatings which incorporate the IF nanoparticles. Some new potential applications for these and related materials will be discussed in the fields high toughness nanocomposites and (photo)catalysis.
3:00 AM - AA2.2
Direct Observation of Autocatalytic to Non-catalytic Growth Mode Transition in GaAs NWs on Silicon
Daniel Rudolph 1 Simon Hertenberger 1 Stefanie Bolte 1 Watcharapong Paosangthong 1 Dance Spirkoska 1 Markus Doeblinger 2 Max Bichler 1 Jonathan Finley 1 Gerhard Abstreiter 1 3 Gregor Koblmueller 1
1Walter Schottky Institut Garching Germany2Ludwig Maximilian University Munich Munich Germany3Technical University Munich Garching Germany
Show AbstractGaAs-based NWs offer great opportunities for various nanoscale electronic and photonic devices integrated with Si, mainly due to advanced band gap engineering and doping capabilities known from decades' long research on GaAs thin film heterostructures. In common vapor-liquid-solid (VLS) growth of GaAs NWs this flexibility is however restricted, because the droplet assisted growth mechanism (via foreign or self-induced droplets) causes non-abrupt doping and compositional profiles in axial NW hetero-interfaces, unintentional NW tapering and kinking, as well as mixture of vertical and inclined NWs when grown on Si. Ideally, one would thus like to employ an entirely catalyst-free growth mode, which to date has been only rarely observed. In this study, we identified a hitherto unobserved growth mode transition toward non-catalytic self-induced GaAs NWs on Si by MBE. This growth mode transition depended crucially on effective V/III ratio at the NW growth front and the presence of a SiO2 layer, resulting in vertical and radial growth rates that differ substantially between autocatalytic VLS-grown NWs (under low As/Ga ratio) and facet-driven non-VLS NWs (under high As/Ga ratio) [1]. To substantiate the two distinct growth mode regimes, in situ RHEED measurements were carried out for the range of growth conditions leading to this interesting transition. For low As/Ga ratio, in-situ monitoring during the NW nucleation stage revealed clear NW growth delay due to formation of Ga droplets since growth proceeds via the VLS mechanism. Interestingly, the growth delay was independent of the As/Ga ratio within this regime, since similar equilibrium Ga droplet sizes needed to form prior to the onset of NW condensation. In contrast, for higher As/Ga ratios an immediate onset of NW growth was observed indicating a transition to droplet-free, facet-driven selective area growth with lower vertical growth rates. Distinctly different microstructures, facet formation and either the presence or absence of Ga droplets at the apex of NWs, were further elucidated by transmission electron microscopy (TEM) [1]. The results further show that the growth mode transition is caused by an abrupt change from As- to Ga-limited conditions at the (111)-oriented NW growth front, allowing precise tuning of the predominant growth mode. Moreover, the spatially resolved emission properties of these two types of NWs were characterized using power- and temperature-dependent micro-photoluminescence. While the emission line at 1.51 eV, i.e., the free exciton of pure zincblende GaAs was the dominating spectral line in autocatalytic GaAs NWs, the non-catalytic NWs evidenced additional lines at 1.4 - 1.5 eV due to twinning superlattices. These results directly confirm the microstructural features as obtained by TEM and further demonstrate an excellent means for non-destructive analysis of growth mode related crystal structure features. [1] D. Rudolph et al., Nano Letters 11, 3848 (2011).
3:15 AM - AA2.3
Control of Position, Size, and Shape of GaN Nanowires by Selective Area Growth
Tobias Gotschke 1 Christian Hauswald 1 Bernd Jenichen 1 Namil Koo 2 Jungwuk Kim 2 Oliver Brandt 1 Raffaella Calarco 1 Lutz Geelhaar 1 Henning Riechert 1
1Paul-Drude-Institut fuuml;r Festkouml;rperelektronik Berlin Germany2AMO GmbH Aachen Germany
Show AbstractThe self-induced growth of GaN nanowires (NWs) by molecular beam epitaxy (MBE) on Si substrates results in outstanding material quality, which makes them very suitable for optoelectronic applications. At the same time, many applications require NW ensembles with very homogeneous properties. Also, both for devices and fundamental investigations it is often desirable to work with single, free-standing NWs. Since we found the material properties of catalyst-induced GaN NWs to be inferior, selective area growth (SAG) on prepatterned substrates appears to be a promising approach to achieve advanced growth control. Here, we present our SAG strategy for the synthesis of high-quality GaN NWs on Si(111) substrates by MBE. For this growth technique, the self-induced nucleation of GaN NWs takes place only after an incubation time whose length depends on the growth conditions and the substrate material. In a series of experiments on unpatterned AlN and Si, we monitored the desorption of Ga from the substrate in situ by line-of-sight quadrupole mass spectrometry. Thus, we studied the differences in NW nucleation on the two materials and identified for which combinations of substrate temperature and Ga flux NW nucleation occurs in a given time on AlN but not on Si. These results are summarized in a growth diagram. The basic idea for our SAG approach is to use a SiOx mask on top of an AlN buffer on the Si substrate (The nucleation behavior on SiOx and Si is similar). For growth conditions chosen on the basis of our in-situ investigation, GaN grows only on the AlN exposed by the holes in the SiOx mask, demonstrating high selectivity. Significant advantages of this approach are using Si substrates and avoiding metals that could cause contamination. Holes in various shapes (hexagons, triangles, squares, and lines) with diameters and periods in the range 20-300 nm and 0.3-3.0 µm, respectively, were obtained by electron beam lithography. Remarkably, NWs with diameters as small as 50 nm grow at the predefined positions, depending on the hole diameter. Moreover, the selectively grown GaN adopts the shape of the mask openings. Hence, the selective growth opens up the possibility to fabricate nanostructures of custom shape which in turn could enhance NW device performance. For instance, both the coupling of light with the NWs as well as the distribution of strain in NW heterostructures may be modified. In photoluminescence (PL) spectra of selectively grown NW ensembles, transitions due to excitons bound to neutral O and Si donors can be distinguished, and the individual lines are only about 1 meV wide. Moreover, transitions related to stacking faults are virtually absent. Finally, x-ray diffraction (XRD) measurements demonstrate that the NW out-of-plane orientation distribution is narrower for selectively grown NWs compared to that of self-induced NWs. Therefore, both PL and XRD ascertain the high material quality of our selectively grown GaN NWs.
3:30 AM - AA2.4
How to Improve ZnO Nanowires Alignment on Rough Substrates?
Audrey Schrijnemakers 1 Pierre Colson 1 Andre Decroly 3 Rudi Cloots 1 2 Benedicte Vertruyen 1 Catherine Henrist 1 2
1University of Liege Liege Belgium2University of Liege Liege Belgium3University of Mons Mons Belgium
Show AbstractDue to their large surface-area-to-volume ratio as well as their interesting intrinsic optical and electronic properties, ZnO 1D nanostructures are part of the few dominant materials for nanotechnology. Up to date, well-aligned nanostructures of ZnO are most often produced by a two-step hydrothermal method. The first step involves the coating of a substrate with ZnO nano-particles (seeds). Then, every seed works as a nucleation site for the formation of ZnO nanowires under hydrothermal growth conditions. Critical role of seeds and of the roughness of the underlying substrate on the alignment of ZnO nanowires makes evidence. Indeed, alignment is strongly disrupted as the roughness increases. Therefore, it is of tremendous importance to reduce roughness in order to improve nanostructures orientation In this study, we systematically studied the effects of the seeding parameters on the roughness of the underlying substrate and established correlation with the resulting structure and properties of the ZnO nanowires. First, the influence of the seeding parameters on the seeds density and on the roughness of the seeded substrate is studied. Atomic force microscopy measurements and quantification of seeds by induced coupled plasma allow to correlate the seeds density with the roughness modification of seeded substrate. X-ray diffraction and scanning electron microscopy have been used to describe the microstructural features of the ZnO nanowires arrays. Then, correlation between the microstructural properties of nanowires arrays and change of substrate roughness in function of seeding conditions are established. A mechanism is proposed to explain the seeding effects on roughness and alignment of nanowires. The effects of the seeding parameters on the migration process and the reaction mechanism at the interfaces were further evaluated by impedance spectroscopy.
3:45 AM - AA2.5
Guided Growth of Millimeter-long Horizontal Nanowires with Controlled Orientations
David Tsivion 1 Mark Schvartzman 1 Ronit Popovitz-Biro 2 Palle von Huth 2 Ernesto Joselevich 1
1Weizmann Institute of Science Rehovot Israel2Weizmann Institute of Science Rehovot Israel
Show AbstractThe large-scale assembly of nanowires with controlled orientation on surfaces remains one of the most critical challenges toward their integration into practical devices. We report the vapor-liquid-solid growth of perfectly aligned, millimeter-long, horizontal GaN nanowires with controlled crystallographic orientations on different planes of sapphire (1). The growth directions, crystallographic orientation and faceting of the nanowires vary with each surface orientation, as determined by their epitaxial relationship with the substrate, as well as by a graphoepitaxial effect that guides their growth along surface steps and grooves. Despite their interaction with the surface, these horizontally grown nanowires display few structural defects, exhibiting optical and electronic properties comparable to those of vertically grown nanowires. This paves the way to highly controlled nanowire structures with potential applications not available by other means. (1) D. Tsivion, M. Schvartzman, R. Popovitz-Biro, P. von Huth, E. Joselevich, Science 333, 1003 (2011).
4:30 AM - *AA2.6
Hybrid Structure of Semiconducting Nanowires and Ferromagnetic Nanoclusters Grown by Selective-area Metal-organic Vapor Phase Epitaxy
Shinjiro Hara 1 Masatoshi Yatago 1
1Hokkaido University Sapporo Japan
Show AbstractFree-standing semiconductor nanowires (NWs) have showed the extreme versatility in electronic and photonic device applications for future nano-electronics industry. We have been investigating selective-area metal-organic vapor phase epitaxial (SA-MOVPE) techniques to form semiconducting NWs [1] and ferromagnetic nanoclusters (NCs) on (111)B substrates [2]. In addition to the device applications so far, we demonstrate, in this paper, the hybrid NWs of semiconducting and magnetic materials to realize magneto-electronic devices using NWs [3]. We reported that the ordered arrays of the chain structures comprising of selectively-grown elongated MnAs NCs strongly affected magneto-resistance (MR) effects of the applied currents, and showed the angle-dependent MR [4]. These results have showed the possibility to apply the hybrid NWs for magneto-electronic devices, such as a magnetic sensor using NWs. The SA-MOVPE process for the typical GaAs NW array templates on GaAs (111)B wafers has been given in detail elsewhere [1]. The initial SiO2 mask openings with the thickness of about 30 nm measured 60, 100, and 300 nm in diameter, and the distance between them was from 0.5 to 3.0 ?m. For the MnAs NC growth after the growth of GaAs NW arrays, we utilize the phenomenon of the "endotaxy" of MnAs in GaAs [5]. Endotaxy is connected with diffusion processes leading to the redistribution of the substances in the host crystals and to the formation of new stable phases. This is the key technique to form MnAs NCs "into" the GaAs NWs. Under the gas supply conditions of (CH3C5H4)2Mn and H2, i.e. no AsH3 supply, for the endotaxy of MnAs NCs into GaAs NWs, the growth time was set to 1 minute, and the growth temperature was changed from 350 to 600 oC. The MnAs NCs embedded in the hexagonal GaAs NWs and ordered along the six ridges of the NWs were observed. From the cross-sectional observations and the characterization with transmission electron microscope, the average width of the MnAs NCs, which had hexagonal NiAs-type crystal structure, and the average depth in the GaAs NWs were estimated to be about 10 and 8 nm, respectively. Magnetic response detected by magnetic force microscopy from the reference samples with MnAs NCs showed that the ferromagnetic MnAs NCs were "endotaxially" grown in planar GaAs (111)A and (111)B layers. The diameter of the NCs formed in GaAs NWs was increased by decreasing the growth temperature and/or by increasing the distance between the GaAs NWs, while the density of the NCs was increased by increasing the growth temperature. It was found that the diameter and the density of the NCs were also strongly influenced by the supplied gas during decreasing the temperature from the growth temperature of the NCs after the growth. Ref. [1] J. Noborisaka et al., APL 87, 093109 (2005); [2] S. Hara et al., JCG 310, 2390 (2008); [3] M. Yatago et al., submitted (2011); [4] M. T. Elm et al., PRB 84, 035309 (2011); [5] H. Iguchi et al., JJAP 47, 3253 (2008)
5:00 AM - AA2.7
Assembly of Single-walled Aluminosilicate Nanotubes from Molecular Precursors and Curved Nanoscale Intermediates
Gulfem Ipek Yucelen 1 Haskell W Beckham 1 Sankar Nair 2
1Georgia Institute of Technology Atlanta USA2Georgia Institute of Technology Atlanta USA
Show AbstractMetal oxide nanotubes have emerged as an important class of 'building block' materials for nanotechnology. Their vast range of potentially accessible compositions and structures, and their unique properties such as well-defined wall structure and porosity, tunable dimensions, and chemically modifiable interior and exterior surfaces, make them attractive candidates for fabricating devices for molecular recognition-based applications in catalysis, separations, sensing, and molecular encapsulation. However, their widespread application will depend on the development of synthesis processes that can yield structurally and compositionally well-controlled nanotubes. Moreover, such processes should be amenable to scale-up and preferably operate via benign chemistries under mild conditions. There is currently very little knowledge on the molecular-scale 'design rules' underlying the engineering of such materials. We report the identification and elucidation of the mechanistic role of molecular precursors and nanoscale (1-3 nm) intermediates with intrinsic curvature, in the formation of single-walled aluminosilicate nanotubes. We characterize the structural and compositional evolution of molecular and nanoscale species over a length scale of 0.1-100 nm, by electrospray ionization (ESI) mass spectrometry, nuclear magnetic resonance (NMR) spectroscopy and transmission electron microscopy (TEM). DFT calculations revealed the intrinsic curvature of nanoscale intermediates with bonding environments similar to the structure of the final nanotube product. We show that curved nano-intermediates form in aqueous synthesis solutions immediately after initial hydrolysis of reactants at 25°C, disappear from the solution upon heating to 95°C due to condensation, and finally rearrange to form ordered single-walled aluminosilicate nanotubes. Our study also reveals the complexation of aluminosilicate species with anionic (ClO4-, etc.) species that influence the precursor curvature. Integration of all our results leads to the construction of the first molecular-level mechanism of single-walled metal oxide nanotube formation, incorporating the role of monomeric and polymeric aluminosilicate species as well as larger nanoparticles.
5:15 AM - AA2.8
Dislocation-driven Growth of Nanowires and Nanotubes and Their Applications in Renewable Energy
Song Jin 1
1U. of Wisconsin-Madison Madison USA
Show AbstractScrew dislocation-driven nanowire growth mechanism is a fundamentally different mechanism from the well-known vapor-liquid-solid (VLS) growth. Axial screw dislocations provide the self-perpetuating steps to enable 1-dimensional (1D) crystal growth, unlike previously understood mechanisms that require metal catalysts. This mechanism was initially found in hierarchical PbS nanowire structures with helically rotating branches resembling "pine trees". Dislocations can further result in the spontaneous formation of ZnO nanotubes and other morphologies such as 2D nanoplates. The balance between the dislocation strain energy and other factors determines the equilibrium morphology of the materials. We have used classical crystal growth theory to confirm that the anisotropic 1D growth is driven by dislocations and to guide the rational design of dislocation-driven nanowire growth. Several more examples of several class of materials, such nitride, metal oxide FeOOH (Fe2O3), Cu2O, In2O3, and pure metal Cu, have been demonstrated to illustrate that dislocation-driven growth is general to many materials grown in vapor or solution phase. These discoveries can create a new dimension in the rational design and synthesis of nanomaterials. The rational low-cost synthesis of semiconductor nanowires of abundant materials in a large scale has enabled their applications in solar energy conversion and other renewable energy applications.
5:30 AM - AA2.9
d0 Ferromagnetism in Co-doped SnO2 Nanowires
Sagrario Melina Loya Mancilla 1 Wilber Antunez Flores 1 Jose Andres Matutes-Aquino 1 Francisco Espinosa-Magana 1 Sion Federico Olive-Mendez 1
1Advanced Materials Research Center (Cimav) Chihuahua Mexico
Show AbstractIn this work we report on the synthesis of Co-doped SnO2 nanowires (NWs) with a Co content estimated to be ~5 % at. NWs growth was carried out by means of vapor-liquid-solid (VLS) process, using gold droplets on r-sapphire as catalytic material. Vapor transport technique in a vacuum tubular furnace was used for NW growth. Ar was used as the gas carrier, CoCl2 and Sn as the precursor materials and O2 as oxidizing agent. Scanning electron microscopy was used to determine NW morphology. X-ray diffraction combined with selected area electron diffraction were used to find SnO2(11-2)//?-Al2O3(1-12) and [010]SnO2//[100]?-Al2O3 as the epitaxial relationship together with [101] as the growth axis direction. NWs have diameters between 20-80 nm and lengths of a few ?m. X-ray diffraction patterns showed the absence of secondary phases such as CoO, Co3O4 or Co agglomerates. EDS mapping of single NWs in a high resolution TEM show a homogeneous distribution of Co as well as an oxygen deficiency from the stoichiometry of SnO2. Magnetic hysteresis loops were obtained from a physical properties measuring system, showing a typical tanh(H/H0) shape commonly observed in diluted magnetic oxides. The magnetic moment was calculated to be 1.26 ?B/Co at. The origin of ferromagnetism in these NWs can be explained in the framework of d0 magnetism, namely the magnetic polarons created by the interaction of the 3d ions and the oxygen vacancies. Ferromagnetic NWs have a potential application for elaboration of single NW spin-transistor. These 1D dimension structures have an advantage over thin films for their application in flexible and transparent electronics.
5:45 AM - AA2.10
Preparation and Optoelectronic Properties of ZnGeP2 Nanowires
Sean Michael Collins 1 Jeanne M Hankett 1 Azhar I Carim 1 Stephen Maldonado 1 2
1University of Michigan Ann Arbor USA2University of Michigan Ann Arbor USA
Show AbstractThis presentation describes a method for the preparation of ZnGeP2 nanowire films and explores the electrical and optical properties of ZnGeP2 nanowires and nanowire films. III-V semiconductor nanowires have been prepared by a variety of methods and have been integrated into a variety of optoelectronic applications from nanowire lasers to electrodes for photoelectrochemical water splitting. Their ternary analogs, the II-IV-V2 semiconductors, despite their nonlinear optical properties and mid-sized bandgaps suitable to drive photoelectrochemical water splitting (>1.7 V), have not been explored in nanowire form. Here we present a solid source-sublimation chemical vapor deposition (CVD) method to prepare ZnGeP2 nanowire films (>0.5 cm2) using a Sn catalyst and Si(100) and Si(111) substrates. ZnGeP2 nanowire diameter and length are controlled by variation in Sn catalyst particle size and growth time. Individual nanowires exhibit long-range single-crystal character and approximate 1:1:2 composition. Data are presented from transmission electron microscopy (TEM), scanning TEM energy dispersive spectroscopy (STEM-EDS), and polarized Raman microscopy of individual nanowires as well as scanning electron microscopy (SEM), powder X-ray diffraction (XRD), and Raman spectroscopy of nanowire films. Chemical and optical investigations of ZnGeP2 nanowire films have indicated acid resistance and optical absorption characteristics consistent with reported bulk properties. The properties of ZnGeP2 nanowire film photoelectrodes as well as individual nanowire electrical and optical measurements will be discussed. The presented method establishes a straight-forward approach to the preparation of II-IV-V2 nanowire films with features suitable for optical and photoelectrochemical energy conversion/storage applications.
AA3: Poster Session: Growth and Synthesis
Session Chairs
Tuesday PM, April 10, 2012
Moscone West, Level 1, Exhibit Hall
6:00 AM - AA3.1
Controlled Growth of Si Nanowires Using a Simple Solution Based Approach
Hugh Geaney 1 Calum Dickinson 1 Kevin M Ryan 1 2 Ajay Singh 1
1University of Limerick Limerick Ireland2University of Limerick Limerick Ireland
Show AbstractSilicon nanowires have attracted a large amount of research interest due to their potential in devices ranging from transistors to photovoltaics and lithium ion storage components. The majority of solution based, Si NW growth methods require temperatures which are not easily attainable in conventional organic solvents to allow decomposition of organometallic precursors. As a result, typically used approaches require supercritical media to facilitate NW growth. In comparison, Ge NW formation by high boiling point solvent (HBS) based approaches represents a growing research area. We have recently shown the growth of Ge NWs on various substrates using a glassware based HBS route.1-3 Here, we show that this HBS system can be extended to the growth of crystalline Si NWs by choosing the appropriate reaction conditions, catalyst material and organometallic precursor. The NWs were characterized using electron microscopy and the relationship between the catalyst seeds and the NWs was identified. (1) Barrett, C. A.; Geaney, H.; Gunning, R. D.; Laffir, F. R.; Ryan, K. M. Chemical Communications 2011, 47, 3843-3845. (2) Geaney, H.; Dickinson, C.; Barrett, C. A.; Ryan, K. M. Chemistry of Materials ASAP DOI 10.1021/cm202276m 2011. (3) Geaney, H.; Dickinson, C.; Weng, W.; Kiely, C. J.; Barrett, C. A.; Gunning, R. D.; Ryan, K. M. Crystal Growth & Design 2011, 11, 3266-3272.
6:00 AM - AA3.11
Metastable HCP-Au Nanocrystal Solidification at the Tips of VLS-Grown Ge Nanowires
Shruti Vivek Thombare 1 Ann F Marshall 2 Arturas Vailionis 2 Paul C McIntyre 1 2
1Stanford University Stanford USA2Stanford University Stanford USA
Show AbstractGold catalyzed Ge nanowire growth by vapor-liquid-solid (VLS) mechanism has been studied in literature in great detail. Gold forms a eutectic alloy with Ge at 363 °C, enabling growth of Ge nanowires at temperatures well below 400 °C. In our experiments, Ge nanowires were grown on Ge(111) and Si(111) substrates at temperatures as low as 375°C in a cold-walled CVD reactor with hydrogen diluted germane as the precursor, using Au as a catalyst. Post-growth TEM analysis of the Au nanoparticles solidified at the tips of these VLS-grown Ge nanowires showed either a metastable HCP phase or the thermodynamically stable FCC phase of Au [1]. Inspection of the sample in the TEM showed that approximately 10% of the Au nanocatalysts were in HCP phase. To understand the mechanism of crystallization of this unusual, metastable HCP phase, we applied the technique of x-ray diffraction (XRD) for further characterization. XRD analysis of the Ge nanowire samples provides a quantitative measurement of the HCP phase content as well as any preferred orientation relationship between the Au catalyst and Ge nanowires, which might be present. Preliminary x-ray diffraction data, after comparing the intensities of peaks corresponding to both HCP and FCC phases of Au, suggests that the actual content of Au in HCP phase is between 20-30%. Through systematic variation in growth conditions as well as in-situ heating experiments in the x-ray diffractometer carried out in presence of Ar, this HCP phase Au content was found to be dependent on the germane supersaturation during Ge nanowire growth and subsequent cool-down. In this presentation, we will report on how the dependence of HCP-Au phase content on parameters such as germane supersaturation during nanowire growth and cool-down, and the cooling rate, can be understood in the context of the proposed mechanism of metastable crystallization of HCP-Au. [1] Ann F. Marshall et.al., Nano Lett., 2010, 10 (9), pp 3302-3306
6:00 AM - AA3.12
Early-stage Kinking during VLS Ge Nanowire Growth
Yanying Li 1 Seunghwa Ryu 2 Ann F Marshall 3 Wei Cai 4 Paul C McIntyre 3 5
1Stanford University Stanford USA2Stanford University Stanford USA3Stanford University Stanford USA4Stanford University Stanford USA5Stanford University Stanford USA
Show AbstractGe nanowires have been considered promising components for high-performance field-effect and photonic devices due to their desirable carrier mobilities, band gap and compatibility with silicon technology. Despite decades of application of vapor-liquid-solid (VLS) wire or whisker crystal growth, many of its aspects are not yet well understood, such as the kinking behavior during nanowire growth. Understanding the fundamental mechanisms underlying kinking during Ge nanowire growth, especially at the early-stage, is helpful for better control of Ge nanowire growth for technological applications. We report an investigation of wire morphology before and during Ge nanowire kinking in early stage growth. The Ge nanowires were synthesized using colloidal gold particles as a catalyst on Ge (111) substrates in a cold-wall lamp-heated chemical vapor deposition (CVD) chamber with hydrogen-diluted GeH4 as the precursor. We examined early-stage Ge nanowires synthesized under typical nucleation conditions. The Ge nanowires grew either along the vertical [111] direction or kinked away onto inclined <111> axes early on during their growth. We found that most kinked Ge nanowires deposited under these conditions kinked at similar height, and had similar sidewall facet structure in the kinked region. High-resolution transmission and scanning electron microscopy investigations also showed that the typical kinking-structure was bounded by (111) and other relatively stable Ge surface facets. The morphology of the kinking structure suggests a capillary instability of the liquid droplet on the Ge nanowires before they kink, which may be responsible for the kinking phenomenon. We construct a 3D phase field model of the nanowire based on the transmission and scanning electron microscopy and compare the evolution of the droplet and nanowire with experimental observations. The observed kinking will be discussed in terms of the Ge nanowire facet and Au-Ge catalyst droplet surface energies and the morphology evolution of a single Ge nanowire during early-stage growth.
6:00 AM - AA3.13
Versatile and Controllable Direct Synthesis of Silicon Nanowires in Porous Alumina Template
Ki-Hwan Kim 1 Emmanuel Lefeveure 1 Marc Chatelet 1 Costel-Sorin Cojocaru 1
1LPICM, Ecolepolytechnique Palaiseau France
Show AbstractHighly densely packed, self-organized silicon nanowires(SiNWs) with very narrow diameter distribution were synthesized within porous anodic alumina(PAA) templates with electrodeposited catalytic metal nanoparticles. For successful catalytic metal nanoparticle deposition, electrochemical-, and chemical barrier layer thinning process was introduced following anodization process. Controlled pulsed electrodeposition process was carried out for a volume calibration of desired catalytic metal nanoparticle deposition inside nanopore arrays using different metal-ion containing electrolyte. The volume of the catalytic metal nanoparticles was found to strongly affect on the morphology of the subsequently grown SiNWs. Not only single metal nanoparticles, but also bi-, tri- metal nanoparticles layers were filled inside PAA to enhance metal filling aspect, and to control the volume of nanoparticles more precisely. Using multilayered metal nanoparticles resulted on different SiNW's growth behavior depending on the types of underlying metal nanoparticles. SiNWs were successfully synthesized using hot-filament assisted chemical vapor deposition (HFCVD) system. Although silicon precursor gas can generally be dissociated at relatively low temperatures, the use of a hot filament activation help decreasing process temperature , and also, highly activated atomic hydrogen generation via the tungsten(W) hot filament placed at gas inlet helps preventing parasitic amorphous silicon deposition on either the alumina membrane surface or the pore wall which hinders appropriate growth of SiNWs in PAA by nanopores clogging . The grown SiNWs show high density and uniform diameter distribution. In addition, in-situ boron(B), and phosphorus(P) doping into SiNWs were tested as well for practical device applications. Such densely packed, self-organized SiNWs are of high interest in many application fields like nanoelectronics, optoelectronics, and energy storage/conversion devices etc.
6:00 AM - AA3.14
Facile Synthesis and Growth Mechanism of Ni-catalyzed GaAs Nanowires on Non-Crystalline Substrates
Ning Han 1 Fengyun Wang 2 Alvin T Hui 1 Jared J Hou 1 Sen Po Yip 1 Fei Xiu 1 TakFu Hung 1 Johnny C Ho 1
1City University of Hong Kong Hong Kong Hong Kong2City University of Hong Kong Hong Kong Hong Kong
Show AbstractGaAs nanowires (NWs) have been extensively explored for next generation electronics, photonics and photovoltaics due to their direct bandgap and excellent carrier mobility. Typically, these NWs are grown epitaxially on crystalline substrates, which could limit potential applications requiring high growth yield to be printable or transferable on amorphous and flexible substrates. Here, utilizing Ni as a catalytic seed, we successfully demonstrate the synthesis of highly crystalline, stoichiometric and dense GaAs NWs on amorphous SiO2 substrates [1]. Notably, the NWs are found to grow via the vapor-solid-solid (VSS) mechanism with non-spherical NiGa catalytic tips and low defect densities while exhibiting a narrow distribution of diameter (21.0 ± 3.9 nm) uniformly along the entire length of the NW (>10 ?m). The NWs are then configured into field-effect transistors showing impressive electrical characteristics with ION/IOFF > 103, which further demonstrates the purity and crystal quality of NWs obtained with this simple synthesis technique, compared to the conventional MBE or MOCVD grown GaAs NWs. Reference: 1. N. Han, F. Y. Wang, A. T. Hui, J. J. Hou, G. C. Shan, F. Xiu, T. F. Hung, and J. C. Ho, Nanotechnology 22, 285607 (2011).
6:00 AM - AA3.15
Synthesis and Characterization of Single Crystalline Ge/C-core/Shell Nanowires below Bohr Radius
Ki Seok Son 1 Byung-Sung Kim 2 Eun Kyung Lee 3 Byoung Lyong Choi 3 Jong Min Kim 3 Sung Woo Hwang 4 Dongmok Whang 1 2
1Sungkyunkwan University Suwon Republic of Korea2Sungkyunkwan University Suwon Republic of Korea3Samsung Advanced Institute of Technology, Samsung Electronics Yougin Republic of Korea4Korea University Seoul Republic of Korea
Show AbstractSemiconductor nanowires (NWs) are currently the subject of intense research because of the fundamental new science that they help to uncover as well as for their potential applications in electronic and optoelectronic devices. [1] Particularly, germanium (Ge) is one of the important semiconductors for the higher carrier mobility, a larger dielectric constant, and a larger excitonic Bohr radius than silicon (Si). [2] Herein, we successfully synthesized high quality of single crystalline Ge/C-core/shell NWs below bohr radius via low pressure chemical vapor deposition (LPCVD) process. The simultaneous carbon shell formation can prevent unintentional Ge vapor deposition at even high temperature on the NW side surface, resulting the uniform GeNWs with a narrow diameter distribution (~12±3nm). These carbon shell effects on NW growth were investigated using field emission scanning electron microscopy (FE-SEM), raman spectroscopy, and high resolution transmission electron microscopy (HR-TEM). References [1] M. Moskovits et al., J. Phys. Chem. C. 112, 13797 (2008) [2] G. Gu et al., J.Appl. Phys. 90, 5747 (2001)
6:00 AM - AA3.16
Influence of Ammoniation Temperatures on Microstructures, Morphologies and Optical Properties of GaN/Nb Nanostructures by RF Magnetron Sputtering Technique
Feng Shi 1 Chengshan Xue 1
1Shandong Normal University Jinan China
Show AbstractGaN nanowires and nanorods have been successfully synthesized on Si(111) substrates by magnetron sputtering through ammoniating Ga2O3/Nb thin films and the influence of ammoniation temperatures on microstructures, morphologies, and optical properties of GaN nanowires was analyzed in detail. X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), FT-IR spectrophotometer, scanning electron microscopy (SEM), high-resolution transmission electron microscopy (HRTEM), and photoluminescence (PL) spectrum were carried out to characterize the microstructures, morphologies, and optical properties of the GaN samples. The results demonstrate that the nanowires are single crystal GaN with hexagonal wurtzite structure and high crystalline quality ammoniated at 950 centigrade, having the size of 30-80 nm in diameter. When ammoniated at 1000 centigrade, nanostructures become thicker and turn to nanorods with smooth and clean surface and more than 100 nm in diameter. The optical properties of the GaN nanostructures grown at 950 centigrade and 1000 centigrade are the best with strong emission intensity.
6:00 AM - AA3.17
Synthesis of Single-crystalline Nickel Silicide Nanowires with Excellent Electrical Properties
Wen-Li Chiu 1 Jui-Yuan Chen 1 Yu-Ting Huang 1 Chun-Wei Huang 1 Wen-Wei Wu 1
1National Chiao Tung University Hsinchu Taiwan
Show AbstractAccording to Moore's law, the size of electronic devices have been shrunk in last decades. To overcome the upcoming issues , nano-scaled and one-dimensional materials such as metallic nanowires and transient metal/semiconductors nano-heterostructures have been widely studied. In this work ,we successfully synthesized single-crystalline Nickel silicide nanowires(NWs) with high-yield and high aspect ratio (~100)by Chemical Vapor Deposition(CVD)methods. By tuning different parameters such as temperature, chamber pressure , and carrier gas flow , we can control the phases(ex: Ni3Si and Ni3Si2 )and the morphology. Furthermore, the results of electrical measurements of those NWs showed lower resistivity compared with their film counterpart. Finally ,we also demonstrated the mechanism of the breakdown behavior by in-situ Transmission Electronic Microscopy(TEM).
6:00 AM - AA3.18
Growth Mechanism of InSb Nanowires by Chemical Vapor Deposition
Jiebin Zhong 1 Jian Lin 1 Miroslav Penchev 2 Rajat K Paul 1 Maziar Ghazinejad 1 Mihrimah Ozkan 2 Cengiz S Ozkan 1 3
1University of California Riverside Riverside USA2University of California Riverside Riverside USA3University of California Riverside Riverside USA
Show AbstractIndium antimonide (InSb) NW is a promising III-V compound material for high-speed and low-power electronics, infrared detectors and thermal-electric (TE) devices. In this study, the growth mechanism of epitaxially grown InSb NWs by Chemical Vapor Deposition (CVD) is investigated. InSb NWs are firstly grown on InSb or InAs substrates by CVD, at the temperature range of 300°C-500°C for 10min-120min. As grown NWs are carefully studied by Scanning Electron Microscopy (SEM), Transmission Electron Microscopy (TEM) equipped with Energy-dispersive X-ray spectroscopy (EDAX), X-ray Diffraction (XRD). Single NW-Field Effect Transistor (NWFET) is fabricated in order to study the electrical property. We observe that the NWs growth rate and morphology are highly affected by NWs diameter, growth temperature, precursor amount, and the presence of Au nanoparticles. More specifically, The diffusion of Indium adatoms on the substrate play a significant role that governs the growth process. Meanwhile, the presence of Au nanoparticles influencing the decomposition of the substrate also needs to be taken into account. These findings provide comprehensive understanding of the growth of InSb NWs via CVD and open opportunities for controlled grown semiconductor NWs array for nano-electronics and photonic applications.
6:00 AM - AA3.19
Preparation of the Porous Carbon Nanofiber Webs of Binary Blend System Using a Differences of Miscibility
Eunmi Jo 1
1Chonnam National University Gwangju Republic of Korea
Show AbstractThe aim was to determine the morphology development of polymer blend fiber webs using miscibility difference of PAN and other polymers. PMMA and PAA were selected as Pyrolyzing polymers due to its solubility parameters. PMMA have a huge difference with PAN compared to PAA. The 10wt% PAN and 25wt% of Poly(methyl methacrylate) (PMMA) and poly(acrylic acid)(PAA) were dissolved in DMF. PMMA and PAA blends based on PAN were prepared in a 75:25 ratio, blend nanofiber webs were prepared by electrospinning process. And PMMA and PAA were removed at a high temperature in N2 atmosphere. The morphology and diameter of fibers were investigated using scanning electron microscope. As changed miscibility of blends, the morphology and pore structures of blend fiber webs were controlled in various forms.
6:00 AM - AA3.2
Evaluation of Crystal Structure of Porous Si Nanowires Prepared by Metal Assisted Etching
Takuya Yamaguchi 1 Tomohiro Shimizu 1 Chounge Wang 1 Qi Wang 1 Shoso Shingubara 1 Aleksandr Kuznetsov 2 Johan Vanacken 2 Victor Moshchalkov 2
1Kansai Univ. Suita,Osaka Japan2Catholic University of Leuven Leuven Belgium
Show AbstractThe macro and micro morphology, and the crystal structure of porous-Si nanowires as well as Si nanowires prepared by catalytic metal assisted etching were investigated. Here, we prepared the Si nanowires by metal assisted etching combined with pre-patterned metal catalyst formed with ion beam etching and porous anodic alumina (PAA) masks. At first PAA membranes with ordered hexagonal pore arrays were placed onto the Au or Ag films on the Si (100) wafer. Subsequently, Ar ion beam etching was performed to transfer the PAA pore pattern to the metal film on the Si. Using anti-dot patterned metal film as a catalyst, ordered array of vertically aligned Si nanowires on Si substrate was formed by metal assist etching in a mixture of hydrofluoric acid and hydrogen peroxide. Various concentrations of hydrogen peroxide were prepared for the etching solution. The morphology and crystalline structure of the nanowires was investigated by SEM and cross-sectional TEM observations. 2D periodic arrays of vertical Si nanowires with the diameter of 60 nm were formed on Si substrate. With increasing concentration of the hydrogen peroxide in the etching solution, the surface of nanowires tends to be porous structure with very fine pores of a few nm (porous Si nanowire). When concentration of hydrogen peroxide is low, Si single crystal nanowire has a smooth surface without pores. The porous Si nanowire consists of the nano scaled crystals and partially amorphous Si nears its surface. The photoluminescence spectra of porous Si nanowire showed a broad peak around 700 nm, which is quite similar to the porous silicon prepared by wet chemical synthesis method.
6:00 AM - AA3.20
Growth of n-type Gallium Nitride Nanowires on p-type Silicon and Heterojunction Characterization
Jordan Chesin 1 Xiang Zhou 1 Silvija Gradecak 1
1Massachusetts Institute of Technology Cambridge USA
Show AbstractOne of the challenges facing the implementation of solid-state lighting based on gallium nitride (GaN) light-emitting diodes (LEDs) is the high-cost of lattice-matched substrates, such as sapphire and silicon carbide, used for the growth of high quality thin films. This motivates the integration of wide band-gap semiconductors on inexpensive and conductive substrates, such as silicon. Semiconductor nanowires provide a valuable platform for the integration of III-V materials on silicon, as the large surface-to-volume ratio and nanoscale interface allow for direct epitaxy in systems with large lattice mismatch. In this study, the direct growth of n-type GaN nanowires on p-type (111) silicon has been achieved using metalorganic chemical vapor deposition. The effects of the native oxide, as well as the formation and removal of an oxide overlayer formed during the substrate processing, are investigated. The oxide overlayer, formed on top of the seed-particle film after deposition onto bare silicon, is shown to inhibit GaN nanowire growth, likely by acting as a barrier for diffusion of the gas source into the seed-particles. GaN nanowire growth on the native oxide encounters no such oxide overlayer, resulting in dense GaN nanowires on the native oxide (?50 nanowires/µm2). By removing the oxide overlayer immediately prior to growth, similarly dense GaN nanowires on bare silicon are achieved (?65 nanowires/µm2). As-grown GaN nanowires are single crystalline and grow along the m-direction of the wurtzite GaN structure. Cross-sectional transmission electron microscopy is used to compare the interface of nanowires grown on bare silicon and on the native oxide, suggesting an abrupt interface between the GaN nanowires and silicon. For nanowires grown on both types of substrates photoluminescence reveals both the GaN near band-edge luminescence and red luminescence (attributed to relaxation from shallow donor levels to a deep trap state in GaN). Devices are fabricated directly on the growth substrate, with back contact to the silicon substrate and an etched back spin-on insulator used to make contact to the nanowires. The devices show rectification, attributed the p-N heterojunction, indicating the viability of large-scale fabrication of LEDs based on the heterojunction between the n-type GaN nanowires and the p-type silicon substrate. This work demonstrates uniform, dense growth of n-type GaN nanowires directly on p-type silicon and investigates the optical and electrical properties of the nanowires and the heterojunction between the GaN nanowires and the substrate, suggesting the viability of using such a heterojunction as large-scale LEDs.
6:00 AM - AA3.21
Vertical Growth of InxGa1-xN Nanowires Using Multi-catalyst and Their Properties
Ryong Ha 1 Heon Jin Choi 1 Sung Wook Kim 1
1Yonsei University Seoul Republic of Korea
Show AbstractIII-nitrides have a great potential for light emitting diodes and photovoltaics. In particular, the InGaN ternary nitrides are interest because of the ability to tune the band gap from the near-ultraviolet to near-infrared region. Meanwhile, InGaN nanowires are considered as ideal building blocks to exploit the potential. However, there remains difficulty in making high-quality InGaN nanowires in a controlled manner with tunability across the entire range of compositions. In this presentation, we report on the vertical growth of InxGa1-xN nanowires on c-plane GaN substrate by using Au/Ni multi-catalyst. The typical diameter and length of InxGa1-xN nanowires was 50 - 150 nm and a few micrometers to several tens of micrometers, respectively. Our systematic study indicates that the multi-catalysts makes possible to control the In composition as well as the vertical growth of InxGa1-xN nanowires. Structural characterization using transmission electron microscopy (TEM) showed that these nanowires are single crystal with a growth direction of <0001>. Photoluminescence (PL) characterizations of the InxGa1-xN nanowires showed luminescence with wavelength from blue to yellow region, tuned by the indium composition. Electroluminescence (EL) from InxGa1-xN nanowire based organic light emitting diodes (OLEDs) indicates that InxGa1-xN nanowires prepared by multi-catalyst are promising for advanced optoelectronic devices.
6:00 AM - AA3.22
Growth of High-density CdTe Nanowires on Glass Substrates via Solution-liquid-solid Mechanism
Yun-Mo Sung 1 Woo-Chul Kwak 1
1Korea University Seoul Republic of Korea
Show AbstractCompared to vapor-phase growth, solution-phase growth is a cost-effective and low-temperature route that is highly beneficial for wide applications. Due to low-temperature process, glass plates or even polymer films can be used as a substrate for nanowire growth. To grow high-density straight nanowire arrays through solution-phase growth, template-assisted growth using anodic aluminum oxide (AAO) or polymer templates has been introduced. However, despite easy process, after removal of templates most of nanowires collapse and aggregate to form bundle morphologies, losing wanted nanostructures. On the other hand, SLS growth in which liquid precursor solution penetrates liquid-phase catalysts to grow into solid nanowires is an approach very suitable for this purpose. However, so far extremely limited number of success has been reported for high-quality SLS growth of semiconductor nanowires on any types of solid substrates. CdTe is a II-VI compound semiconductor with a narrow direct energy band gap of 1.44 eV. Due to full visible-range light absorption, it has been used as an absorber layer in high-efficiency CdS/CdTe thin film solar cells. Also, it has been used as red- or green-light fluorophores18 and inorganic dyes. SLS growth of colloidal CdTe nanowires has been reported by couple of groups. Kuno et al. synthesized high-quality colloidal CdTe nanowires in straight and branched forms via SLS growth. Sun et al.synthesized colloidal CdTe nanowires using SLS and nicely modulated their energy band gap by precisely controlling the diameter. However, to date SLS growth of high-density CdTe nanowires on a solid substrate has not been reported. Here, we for the first time report the successful SLS growth of high-density and high-crystallinity CdTe nanowires on a solid substrate that is low-cost soda-lime glass in this study. Furthermore, CdTe/ZnSe core/shell nanowire structures were developed by coating the surface of CdTe nanowires with ZnSe nanocrystals. The type-I band structure and thus a quantum well structure has been established in this system. The light absorption and emission properties of bare CdTe nanowires and CdTe/ZnSe core/shell nanowires were investigated and compared to each other. Extension of the SLS growth of CdTe nanwires to other semiconductor nanowires and possibility of various device applications were proposed.
6:00 AM - AA3.23
Crystal Phase and Growth Orientation Dependence of GaAs Nanowires on NixGay Seeds via Vapor-solid-solid Mechanism
Ning Han 1 Alvin T Hui 1 Fengyun Wang 2 Jared J Hou 1 Sen Po Yip 1 TakFu Hung 1 Johnny C Ho 1
1City University of Hong Kong Hong Kong Hong Kong2City University of Hong Kong Hong Kong Hong Kong
Show AbstractOne of the challenges to utilize high performance III-V compound semiconductor nanowires (NWs) for large-scale technological applications is to control the crystal phase and growth orientation for homogenous nanowire properties. We reported the dependence of crystal structure and growth orientation of GaAs NWs on NixGay seeds via vapor-solid-solid mechanism [1,2]. The crystal structure of catalytic seeds was found to direct the crystal phase of NWs with cubic NiGa seeds yielding zincblende GaAs NWs while hexagonal Ni2Ga3 seeds producing wurtzite GaAs NWs. Furthermore, the seed/nanowire interface plane relationship would dictate the epitaxial growth orientation of the NWs (e.g. NiGa{110}|GaAs{111}, NiGa{111}|GaAs{111} and Ni2Ga3{10-10}|GaAs{10-10}), which was independent of the NW diameters and growth conditions. All these suggested the importance of well-controlled crystal phase and orientation of catalysts for the synthesis of homogenous nanowires. Reference: 1. N. Han, F. Y. Wang, A. T. Hui, J. J. Hou, G. C. Shan, F. Xiu, T. F. Hung, and J. C. Ho, Nanotechnology 22, 285607 (2011). 2. N. Han, A. T. Hui, F. Wang, J. J. Hou, F. Xiu, T. F. Hung, and J. C. Ho, Appl. Phys. Lett. 99, 083114 (2011).
6:00 AM - AA3.24
Growth of Straight InAs-on-GaAs Nanowire Heterostructures
Maria E Messing 1 Jennifer Wong-Leung 2 Zeila Zanolli 3 Hannah J Joyce 2 Hoe H Tan 2 Qiang Gao 2 L Reine Wallenberg 1 Jonas Johansson 1 Chennupati Jagadish 2
1Lund University Lund Sweden2Australian National University Canberra Australia3Universiteacute; the Liegrave;ge Sart-Tilman Belgium
Show AbstractOne of the main motivations for the great interest in semiconductor nanowires is the possibility of easily growing advanced heterostructures that might be difficult or even impossible to achieve in thin films. In this work we combine heterostructure growth with crystal structure tailoring. With this novel approach the design freedom for advanced nanostructures is significantly increased. We show that it is possible to grow straight heterostructure nanowires with InAs on top of GaAs, which have not been managed before, although several attempts have been made by various groups. InAs - on - GaAs axial heterostructure nanowires were achieved by a judicious choice of nanowire diameter and by tailoring the crystal structure. Straight heterostructures with sharp interfaces are feasible when growth parameters are chosen to result in InAs with the wurtzite (WZ) crystal structure. In addition, an increase in yield of straight nanowire heterostructures was observed with increasing nanowire diameter. We have performed first principle calculations of the heterostructure interface energies that clearly show an energy gain when the InAs section exhibits the WZ crystal structure compared to when it exhibit the zinc blende (ZB) structure, which is consistent with our experimental results. We believe that these results are important for developing axial InAs/GaAs heterostructure based optoelectronic and electronic devices and may open up opportunities for growth of other axial heterostructures which were thought to be not possible earlier.
6:00 AM - AA3.25
Effect of Doping on Nanowire Morphology during Plasma-assisted Chemical Vapor Deposition
Andrew J Lohn 1 2 Kate J Norris 1 2 Elane Coleman 3 Gary S Tompa 3 Nobuhiko P Kobayashi 1 2
1University of California Santa Cruz Santa Cruz USA2NASA Ames Research Laboratories Moffett Field USA3Structured Materials Industries, Inc. Piscataway USA
Show AbstractWhen formed in the geometry of a nanowire many of the physical properties of a material can change dramatically. Manipulating the geometry of a semiconducting material on the nanoscale can bring about profound effects or create diverse and promising new applications such as the ability to tune the band gap, control the density of states, increase optical trapping, enable high speed optical switching, increase thermoelectric conversion efficiency and enable superior sensitivity for chemical detection just to name a few. As a result of promise and challenge in nanowire device fabrication, developing careful control of the morphology of nanowires during growth has become a very active field of research. The ability to control the geometry of nanowires is of paramount importance in designing all nanowire-based devices and provides the opportunity to study many interesting physical phenomenon. Owing to the importance of developing mechanisms with which to control nanowire morphology many distinct methods have been and continue to be explored for manipulating nanowire geometries during growth. The simplest example involves selecting catalyst nanoparticles with various diameters to grow nanowires by the vapor-liquid-solid (VLS) mechanism at corresponding diameters. Additionally, temperature and molar flow rates have been varied to control morphology in a number of beautiful vapor phase growth experiments. In the current work we investigate the strong morphological effect of varying co-supplied dopant precursors during the growth of silicon nanowires. The nanowires are grown by a plasma-assisted chemical vapor deposition (PACVD) process utilizing the VLS mechanism with disilane as the source of silicon. A plasma is cracked upstream of the growth site which offers many of the advantages of plasma enhanced chemical vapor deposition for which the substrate is in or near the plasma but avoids some of the disadvantages such as excessive plasma damage during growth. This technique can result in large growth rates and offers another method, when combined with varying co-supplied precursors, to control the nanowire morphology. Varying dopant precursor supply while holding all other variables constant results in nanowires with very different morphology including varied diameters from approximately 30 nm to greater than 300 nm and the ability to vary the diameter along a nanowires length. In addition to the diameter dependence, straightness and degree of orientation are related to precursor flows. The discussion will highlight the PACVD system and TEM analysis in providing possible mechanisms to explain the observed effects. This additional method of control will help to enable a framework from which to fabricate nanowire-based devices that rely on widely varying the morphology or on large growth rates of the constituent nanowires.
6:00 AM - AA3.26
Shape-controlled Synthesis of Silver Nanostructures for High-thermal Conductivity Nanofluids
Glorimar Garcia 1 Oscar Perales Perez 1 Majid Ahmadi 2 Maxime J-F Guinel 2
1UPR-Mayaguez Mayaguez Puerto Rico2UPR-Rio Piedras Rio Piedras Puerto Rico
Show AbstractA nanofluid is a new class of solid-liquid composite materials consisting of a stable suspension of nanometric particles in a conventional refrigerant expected to enhance the corresponding heat transfer properties. Silver was selected in this research because of its high electrical and thermal conductivity that are expected to be dependent on the crystal size and shape at the nanoscale. Accordingly, we have synthesized highly monodisperse silver nanowires by reducing silver nitrate solutions with ethylene glycol in presence of polyvinylpyrrolidone, (PVP). The shape-control in the silver nanostructures was achieved by a proper selection of the type and level of chloride salts, e.g. potassium chloride, and specific PVP/Ag mole ratios in starting solutions. The development of the metal phase was confirmed by X-ray diffractometry. Transmission electron microscopy analyses evidenced the formation of silver nanowires exhibiting a very uniform thickness that could be tuned in the 40-130nm range by a precise of the experimental parameters and synthesis protocol. UV-vis measurements evidenced the plasmon peak at ~387nm and clear shoulders at ~357nm that are indicative of the formation of elongated nanostructures. The results of the thermal conductivity measurements of a silver -ethylene glycol nanofluid will be presented and discussed as a function of particles shape -nanoparticle versus nanowires- and volumetric loads.
6:00 AM - AA3.27
Growth of Aligned Nanowires via Phase Segregation during Physical Vapor Deposition
Yuan Tian 1 Tanjore Jayaraman 1 Jeff Shield 1
1University of Nebraska Lincoln USA
Show AbstractThis talk will present the physical vapor deposition of immiscible systems, which has produced nanowire arrays with wire diameters ranging from ~5 to 50 nm and extending across the thickness of the film, which to date is up to a half a micron. Nanowire growth is the result of growth conditions that promote lateral diffusion rather than longitudinal diffusion, while the scale is dependent on the surface diffusivity and inversely dependent on growth rate. Processing parameters such as substrate temperature and power strongly influence the surface diffusion characteristics and growth rates, allowing nanowire growth. Al-Si, Co-Si and Al-Co systems have all effectively produced nanowire arrays. X-ray diffraction results indicate that the nanowires are extremely well aligned crystallographically, while transmission and scanning and electron microscopy revealed excellent size uniformity and self-arrangement in a reasonable hexagonal array. For the Co nanowires, magnetic measurements indicate strong shape anisotropy. Distinct advantages of this process over nanowire arrays using templates are that these nanowires are single-crystalline, and can achieve smaller diameters. The nanostructure of nanowire arrays imbedded in a matrix can further produce free-standing nanowire arrays by selective etching of the matrix, or nanoporous structures with selective etching of the nanowires. Potential uses include as sensors or microwave filters. This talk will discuss processing parameters that effectively produce phase segregation during film growth that results in nanowire arrays.
6:00 AM - AA3.3
Direct Syntehsis and Morphological Control of Metal-free Graphene Shells on Dielectric Silicon Oxide Nanowires
Byung-Sung Kim 1 2 Ki Seok Son 1 2 Jeong-Mi Lee 1 2 Chunju Xu 1 2 Sung Woo Hwang 2 3 Byoung Lyong Choi 4 Eun Kyung Lee 4 Dongmok Whang 1 2
1Sungkyunkwan University Suwon Republic of Korea2Korea University Seoul Republic of Korea3Korea University Seoul Republic of Korea4Samsung Advanced Institute of Technology Yongin Republic of Korea
Show AbstractGraphene, two-dimensional (2D) crystal layer of sp2-hybridized carbon atoms, has recently attracted much interest as a novel material with promising properties. It has developed various growth methods using transition metal catalyst, such as nickel (Ni) or copper (Cu), for high quality of graphene. However, the conventional approach based on metal catalytic deposition can cause critical obstacles for practical graphene applications. Especially, indirect transfer process with chemical etching solution inevitably involves the physical defects or wrinkles on graphene layer. Herein, we directly synthesized high quality of metal-free graphene shell on the dielectric silicon oxide nanowires (SiO2 NWs) and demonstrated that the morphologies of graphene shell could be controlled by our optimized growth conditions. The unique properties of controllable graphene morphology on a NW were investigated by high resolution transmission electron microscopy (HR-TEM) and Raman analysis. In addition, the high surface areas with good electric conductivity of dielectric NWs showed the potential for diverse applications such as supercapacitors or bio-sensors.
6:00 AM - AA3.30
Tuning Electrodeposition of Gold Nanowires Made from Non-cyanide Solution
Nima Moghimian 1 Jesse Coelho 1 Stephen D Warwick 1 Mahshid Sam 1 Ryan Key 1 2 Li Chengzhao 3 Rustom B Bhiladvala 1
1University of Victoria Victoria Canada2University of Victoria Victoria Canada3Tsinghua University Beijing China
Show AbstractAlthough many studies have shown chemical and electrochemical growth of separable gold nanowires (AuNWs) from cyanide solutions, there are few published reports of the use of non-cyanide solutions for controllable AuNW growth. The best reported attempt we could find [Electrochemistry Communications, 11, p2019,(2009)] has shown that a non-cyanide aqueous solution of 5 g/L HAuCl4.3H2O, 2 g/L EDTA, 65 g/L Na2SO3, 12.5 g/L K2HPO4 can be used for electrodeposition of gold in a nanoporous template. In this case, gold nanotubes are first formed along the nanopores, and can eventually transform into NWs. The drawbacks of this growth sequence are poor length control and NWs with voids and inclusions. These drawbacks are a significant loss for many applications, but particularly so for nanomechanical systems such as nanoresonators. Here we illustrate that by using a trace of suitable organic additive, the nature of the growth mechanism can be altered so that pore-filling occurs in layers normal to the wire axis, providing excellent control over the length of AuNWs. Electrodeposition was done in potentiostatic mode using nanoporous anodized aluminum oxide as a template. Field emission scanning electron microscopy (FESEM) was carried out along with energy dispersive X-ray spectroscopy (EDX) showing high-yield NWs 250 nm in diameter whose length can be precisely controlled to over 10 µm. Lack of oxygen and high purity of the NWs was confirmed by EDX results. AuNW formation process was studied by comparing FESEM images of template cross-section at different deposition times. Cyclic voltammetry curves for various concentrations of additive revealed the shift in reduction potential of gold in the solution due to additive effect. Chronoamperometry curves were also obtained to identify the nucleation and growth mechanism. It was found that addition of polyethylenimine (PEI) as a brightener reduces the rate of deposition. Deposition rate is found to be very sensitive to PEI concentration and decreases significantly at relatively higher concentrations.
6:00 AM - AA3.31
Nucleation and Formation of 1-D Pt Nanowires by Atomic Layer Deposition on Highly Oriented Pyrolitic Graphite
Han-Bo-Ram Lee 1 Stacey F Bent 1
1Stanford University Stanford USA
Show AbstractNanostructuring Pt into zero-dimensional (0-D) nanoparticles (NPs) and one-dimensional (1-D) nanowires (NWs) is a key strategy for increasing catalytic activity for fuel cell applications. The higher surface-to-volume ratio of nanostructures allow for reduction of Pt usage, which may translate to reduced material costs. Thin and conformal films can be obtained by atomic layer deposition (ALD), a technique which uses surface reactions induced by alternating the exposure of various vaporized precursors in a cyclic manner to deposit materials with atomic level control. In addition to continuous thin films, ALD has also been used to fabricate discrete 0-D and 1-D nanostructures by controlling the substrate properties. In the current study, we investigated growth characteristics of Pt ALD on highly oriented pyrolitic graphite (HOPG) surfaces. We observed the formation of laterally aligned Pt NWs on HOPG, and we controlled the diameter of the Pt NWs by increasing the number of ALD cycles. ALD Pt forms only on the terrace edges where defects exist, not at the basal planes which are chemically inert. Transmission electron microscopy and scanning electron microscopy results show that the ALD Pt nucleated on the terrace edges as NPs and transformed into NWs when the NPs coalesced with each other. Each Pt NW is comprised of a line of single crystal grains, with the number of grains remaining constant with increasing ALD cycles. The nucleation and formation of Pt NWs will be discussed in terms of 1-D nucleation occurring at 1-D defect sites on HOPG as a model system. In addition, the basic catalytic properties of Pt NW were tested and the results will be compared with Pt bulk.
6:00 AM - AA3.32
Impact of Controlling Transport Pathway in VLS Grown Oxide Nanowires
Masaki Kanai 1 Annop Klamchuen 1 Takeshi Yanagida 1 Kazuki Nagashima 2 Keisuke Oka 1 Meng Gang 1 Sakon Rahong 1 Mati Horprathum 1 3 Bo Xu 1 Fuwei Zhuge 1 He Yong 1 Masaru Suzuki 4 Yoshiki Hidaka 4 Shoichi Kai 4 Tomoji Kawai 1 5
1Institute of Science and Industrial Research, Osaka University Osaka Japan2PRESTO, Japan Science and Technology Agency, 4-1-8 Honchu, Kawaguchi, Saitama 332-0012, Japan Kawaguchi Japan3Optical Thin-Film Laboratory, National Electronics and Computer Technology Center, Pathumthani 12120, Thailand Klong luang Thailand4Department of Applied Quantum Physics and Nuclear Engineering, Faculty of Engineering, Kyushu University Nishi-ku Japan5Division of Quantum Phases amp; Devices, Department of Physics, Konkuk University, Seoul 143-701, Korea Seoul Republic of Korea
Show AbstractMetal oxide nanowires are promising building blocks for nanodevice applications due to their fascinating physical properties such as transparent conductivity, ferromagnetism, ferroelectricity, power-generation and nonvolatile memory effect, which are hardly attainable with conventional semiconductor materials. In particular, vapor-liquid-solid (VLS) grown oxide nanowires have attracted much attention due to the controllability of the size and the spatial location. However, the intrinsic complexity of material transport pathway across three phases in VLS process has held back the precise control and formation of well-defined oxide nanowires. In fact, such controllability is strongly required to realize highly integrated nanodevices using nanowires. Most previous works as to VLS grown oxide nanowires have utilized the metal catalysts on the substrate surface to grow oxide nanowires. One of reasons why it had been difficult to extract the material transport pathway during VLS is the use of random distribution of metal catalysts on the substrate surface. Use of the spacing-controlled regular array allows us to extract the material transport pathways for each catalyst, which is clearly difficult to obtain for random array systems. In this study, we investigate the material transport pathway in VLS grown MgO nanowires by utilizing such spacing-controlled regular array. We found the significant contribution of vapor phase transport pathway on VLS grown oxide nanowires, which differs from some previous implications based on the presence of long surface diffusion phenomena. This result highlights the critical role of re-evaporation process on VLS oxide nanowires.
6:00 AM - AA3.33
Bi-metallic Alloy Enhanced Complex ZnO Nanostructures Using a Polymer Template and Applications
Yang Liu 1 Jose Flores 1 Jennifer Lu 1
1UC Merced Merced USA
Show AbstractPoly-4-vinylpyridine can absorb metal species and form nanoparticles. By selecting proper metal precursors and solvents, we have been able to incorporate different metal species simultaneously, forming bi-metallic alloy with controlled stoichiometry. We have successfully prepared Zn based nanocatalyst alloys for the growth of ZnO nanowire. We have shown that size and morphology control can be achieved by adjusting nanocatalyst composition. Longer and thinner nanowires have be grown by adding Sn while branched structures have been achieved by adding Ga. Nanowires with fine control can be used for various applications such as solar cells, water splitting and field emission.
6:00 AM - AA3.34
Enhanced ZnO Nanowire Growth Using a Highly Engineered Sn-Zn Catalyst System
Jose Fernando Flores 1 Jennifer Q Lu 1 Yang Liu 1
1University of California, Merced Merced USA
Show AbstractThere is a driving force to synthesize, small-diameter and ordered ZnO nanowires for the maximum surface area while maintaining clear electron transfer paths for a broad range of applications, which include water splitting, gas sensing, mechanical to electrical energy conversion (piezoelectric) and solar energy conversion (photovoltaic). We have developed a polymer template method to synthesize a bi-metallic catalyst system with tunable composition. ZnO has been selected to promote epitaxial growth whereas Sn, a co-catalyst has been incorporated to reduce the growth temperature and simultaneously to enhance the growth kinetics. We have been able to grow well-aligned, high aspect ratio and small-diameter ZnO nanowires by introducing Sn as a precursor co-catalyst. ZnO nanowires grown using these catalysts are 30 nm or less in diameter with greater than 2 um in length at high yield. We will present a systematic investigation to elucidate the effects of catalyst composition on growth in terms of diameter, length and yield. The role of catalyst properties on the growth temperature will be also be discussed.
6:00 AM - AA3.36
Fabrication, Characterization, and Mechanism of Vertically Aligned Untapered Titanium Nitride Nanowires
Mainul . Faruque 1 Talisha Haywood 1 Kwadwo M-Darkwa 1 Christa Watson 1 Ram Gupta 1 Cynthia Waters 1 Jenora Waterman 1 Dhananjay Kumar 1
1North Carolina A amp; T Greensboro USA
Show AbstractTitanium nitride (TiN) nanowire were grown using a bottom-up pulsed laser deposition method where Ti-N based gaseous reactants in the laser plume supersaturate the catalytic gold (Au) liquid located on the substrate surfaces. Growth of TiN continues as long as the dissolution rate of material into the catalyst matches the extrusion of solid material at the liquid/solid interface. This bottom-up approach gives rise to a one-dimensional TiN nanowire structure (length: 200-300 nm and diameter: 20-30 nm) capped with a catalytic Au seed. The ascent of Au nanodots to the top of TiN nanowires can be explained based on breaking of weaker bonds and formation of stronger bonds. The TiN nanowires were provided vertical alignment by selecting a plane of the substrate that provides the least lattice mismatching to the (111) plane of TiN which has lower surface energy than its other planes: (100) or (110). After the successful formation and structural characterization, a lactate dehydrogenase (LDH) release assay has been used to confirm the biocompatibility and cytotoxicity of these nanowires. The results have in-deed indicated that TiN has significant promise for use in biomedical and biological fields due to their attractive properties such as biocompatibility, negligible toxicity, adhesiveness to cells, hardness etc.
6:00 AM - AA3.37
Spatially Controlled Uniform Oxide Nanowire Arrays by Ultra-thin AAO Membrane Mask
Gang Meng 1 Takeshi Yanagida 1 2 Masaki Kanai 1 Kazuki Nagashima 1 Annop Klamchuen 1 Keisuke Oka 1 Sakon Rahong 1 Mati Horprathum 1 3 Takashi Yanagishita 4 Hideki Masuda 4 Tomoji Kawai 1
1Osaka University Osaka Japan2Japan Science and Technology Agency Saitama Japan3National Electronics and Computer Technology Center Pathumthani Thailand4Tokyo Metropolitan University Tokyo Japan
Show AbstractSearching a novel lithographic technology beyond the current top-down technique is one of the crucial issues to maintain the development of nanotechnology. Due to the fascinating features such as large surface, small size scale and high crystallinity, a self-assembled one-dimensional nanowire has the potentials as the building blocks for high performance future nanodevices. Conventionally, the self-assembled nanowires have a wide distribution in their sizes. Since the nanowire diameter sizes would be quite sensitive to the physical properties as it scales down to nanoscale, the size distribution had limited the applicability of nanowire to practical applications. Vapor-liquid-solid (VLS) growth offers an opportunity to control the diameter and the position of nanowires by metal catalyst. Although various lithographic techniques such as photolithography, laser interference lithography and nanoimprint lithography have been attempted for uniform VLS nanowire growth, it is still a great challenge to fabricate a uniform metal catalyst array at smaller than 30 nm by low cost technique. Here we demonstrate the VLS grown oxide nanowire array at 30 nm scale by using an ultra-thin self-organized anodic alumina oxide (AAO) membrane. Well-defined AAO membrane with 100 nm thickness was synthesized, which enabled to make a uniform metal catalyst array on conventional insulative substrate, such as MgO, SrTiO3, LSAT and LaAlO3. In addition, we successfully controlled the nanowire density by varying the pitches of pore ranged from 45 to 100 nm. Thus our results highlighted that the AAO membrane is promising technique for the uniform nanowire growth at 30 nm scale. This methodology is rather versatile for various kinds of VLS grown nanowires.
6:00 AM - AA3.38
General Strategy for Impurity Doping in VLS Growth of Semiconductor Metal Oxide Nanowires
Annop Klamchuen 1 Takeshi Yanagida 1 2 Masaki Kanai 1 Kazuki Nagashima 1 Keisuke Oka 1 Meng Gang 1 Sakon Rahong 1 Mati Horprathum 1 3 Bo Xu 1 Fuwei Zhuge 1 He Yong 1 Masaru Suzuki 4 Yoshiki Hidaka 4 Shoichi Kai 4 Tomoji Kawai 1 5
1Institute of Science and Industrial Research Ibaraki Japan2PRESTO, Japan Science and Technology Agency Kawaguchi Japan3Optical Thin-Film Laboratory, National Electronics and Computer Technology Center Klong luang Thailand4Faculty of Engineering, Kyushu University Nishi-ku Japan5Division of Quantum Phases amp; Devices, Department of Physics, Konkuk University Seoul Republic of Korea
Show AbstractSemiconductor nanowires grown via the vapor-liquid-solid (VLS) mechanism have shown the great promises in the fields of optics, electronics, bio-sensors and etc. To design the electrical properties of nanowires, the precise control of impurity doping is strongly required via understanding the doping mechanism. Metal oxides exhibit many interesting physical properties such as ferromagnetism, ferroelectricity and superconductivity, therefore incorporating such functionalities of oxides into nanowires would expand drastically the range of nanowire applications. Unfortunately, the current knowledge as to the impurity doping on VLS grown oxide nanowires is far from comprehensive. Here we report the general strategy for impurity doping on VLS grown semiconductor oxide nanowires. In this study, we focus on the impurity doping on transparent conductive SnO2 nanowires. Sb and Ta, which have lower and/or higher vapor pressure compared to Sn, were chosen as the dopant. The Sb doping, the high vapor pressure species, showed the upper limit of doping due to the re-evaporation of dopant before incorporating into nanowire. On the contrary, the Ta doping, the low vapor pressure species, exhibited the less-effective dopant activation even though the sufficient amount of dopant was incorporated. The nanoscale-composition analysis demonstrated the presence of the inhomogeneous dopant distribution within nanowires, which is induced by VS thin film growth at the nanowire surface. Thus understanding the dopant incorporation dynamics is important to control precisely the transport properties of semiconductor oxide nanowire. Choosing the dopant species whose vapor pressure is comparable to that of host material is essential for the effective and homogeneous impurity doping, which must be rather universal design strategy for impurity doping on various VLS grown semiconductor oxide nanowires.
6:00 AM - AA3.39
Synthesis and Self-assembly of Manganese Based Nanowires from Template Directed Chemical Solution Deposition
Adriaacute;n Carretero-Genevrier 1 2 Jaume Gazquez 1 3 Narcis Mestres 1 Judith Oroacute; 1 Jordi Arbiol 1 Maria Varela 3 Etienne Ferain 4 Teresa Puig 1 Xavier Obradors 1 Juan Rodriguez-Carvajal 5
1Consejo Superior de Investigaciones Cientiacute;ficas, CSIC Bellaterra Spain2Laboratoire de Chimie de la Matiegrave;re Condenseacute;e de Paris, UMR7574 Paris France3Oak Ridge National Laboratory Oak Ridge USA4it4ip s.a. Louvain la Neuve Belgium5Institut Laue-Langevin Grenoble France
Show AbstractWe report on a new synthesis route for MnO2 and LaSr-doped molecular sieve single crystalline nanowires based on a solution chemistry methodology combined with the use of nanoporous polymer templates supported on top of single crystalline substrates. Due to the confined nucleation in high aspect ratio nanopores and to the high temperatures attained, new structures with novel physical properties have been produced. During the calcination process, the nucleation and crystallization of ?-MnO2 nanoparticles with a new hexagonal structure is promoted. These nanoparticles generated up to 30 ?m long and flexible hexagonal nanowires at mild growth temperatures (Tg= 700 oC) as a consequence of the large crystallographic anisotropy of ?-MnO2. The nanocrystallites of MnO2 formed at low temperatures serve as seeds for the growth of La0.7Sr0.3MnO3 nanowires at growth temperatures above 800 oC, through the diffusion of La and Sr into the empty 1D-channels of ?-MnO2, and with ordered arrangement of La3+ and Sr2+ cations inside the 1D-channels. These nanowires exhibit ferromagnetic ordering with strongly enhanced Curie temperature (Tc > 500 K) that probably results from the new crystallographic order and from the mixed valence of manganese [1]. In addition, self-assembled epitaxial LSMO monoclinic nanowires are obtained when the same methodology is used on fluorite (Gd doped-CeO2) buffered substrates. [1] A. Carretero-Genevrier et al., Journal of the American Chemical Society 133, 453 (2011)
6:00 AM - AA3.4
Synthesis of Metastable Ge1-xCx Alloy Nanowires: Substitutional Carbon Incorporation into Crystalline Ge Lattice
Byung-Sung Kim 1 2 Ki Seok Son 1 2 Jae-Hyun Lee 1 2 Sung Woo Hwang 2 3 Byoung Lyong Choi 4 Eun Kyung Lee 4 Dongmok Whang 1 2
1Sungkyunkwan University Suwon Republic of Korea2Korea University Seoul Republic of Korea3Korea University Seoul Republic of Korea4Samsung Advanced Institute of Technology Yongin Republic of Korea
Show AbstractCarbon-containing alloy materials such as Ge1-xCx are attractive candidates for replacing silicon (Si) in the semiconductor industry. The addition of carbon to diamond lattice not only allows control over the lattice dimensions, but also enhances the electrical properties by enabling variations in strain and compositions. However, extremely low carbon solubility in bulk germanium (Ge) and thermodynamically unfavourable Ge-C bond have hampered the production of crystalline Ge1-xCx alloy materials in an equilibrium growth system. Here we successfully synthesized high-quality Ge1-xCx alloy nanowires (NWs) by a non-equilibrium vapour-liquid-solid (VLS) method. The carbon incorporation was controlled by NW growth conditions and the position of carbon atoms in the Ge matrix (at substitutional or interstitial sites) was determined by the carbon concentration. Furthermore, the shrinking of lattice spacing caused by substitutional carbon offered the promising possibility of band gap engineering for photovoltaic and optoelectronic applications.
6:00 AM - AA3.41
Morphology and Microstructure Aspects of alpha;-Al2O3 Nanostructures via Changes of Temperatures and Pressures
Si Jung Park 1 Yoo Youl Choi 1 Jun Gyu Kim 1 Doo Jin Choi 1
1Yonsei University Seoul Republic of Korea
Show Abstract?-Al2O3 nanostructures have been synthesized by using chemical vapor deposition(CVD) with changes of temperatures and pressures. Hot wall, horizontal low pressure CVD system with an Al2O3 tube was used with mixture pure Al powder and Al2O3 powder. We observed growth morphology aspects can be separated into two regimes of nanowires and nanotubes by temperature changes. As the temperature and pressure were increased, the nanostructure grew thicker and in particular, increasing pressure resulted in agglomerated particles and sheet structures. The growth of ?-Al2O3 nanowires and nanotubes is controlled by the vapor-solid(VS) mechanism. Analysis of the nanostructure morphologies and microstructures of the nanostructures was conducted using HR-TEM.
6:00 AM - AA3.42
Fabrication and Characterization of Tio2 and Tio2minus;Ceo2 Nanofibers
Elivet Aguilar-Campuzano 1 Maria Ilya Espitia-Cabrera 2 Selene Sepuacute;lveda-Guzmaacute;n 3 Rodolfo Cruz-Silva 1 4
1Universidad Autoacute;noma del Estado de Morelos (UAEM) Cuernavaca Mexico2Universidad Michoacana de San Nicolaacute;s de Hidalgo (UMSNH) Morelia Mexico3Universidad Autoacute;noma de Nuevo Leoacute;n (UANL) Apodaca Mexico4Shinshu University Wakasato Japan
Show AbstractHeterogeneous photocatalysis based on semiconductor oxides, particularly titanium oxide (TiO2), is a promising technology for degradation of toxic organic contaminants in air and water. Although several noble metals, transition metals ions, and non-metals have been successfully used to dope TiO2, many studies have shown that ceria (CeO2) doping enhance the photocatalytic activity of bulk TiO2 dramatically. For this reason, we aimed to prepare TiO2 nanofibers doped with ceria that might have further application as visible light photocatalyst. Electrospinning is a simple technique used to produce fine fibers from viscous solutions. In this technique, a solution is connected to a high voltage power source, so the resulting electric force helps to overcome the surface tension of solution, resulting in a liquid jet ejected towards a collector where fibers are deposited. Ceria doped titania nanofibers were prepared by electrospinning a sol-gel solution that contained a mixture of poly (vinyl pyrrolidone) (PVP, Mw 1 300 000), titanium tetraisopropoxide (Ti (OiPr)4) and cerium(III) nitrate hexahydrate (Ce(NO3)3 6H2O). The sintering process was carried out afterward to promote the crystallization and phase transformation to anatase, via calcinations at high temperature. The resulting diameters of the nanofibers are around of 30 nm to 200 nm. The addition of cerium to the titania reduce the band gap of the semiconductor. The fibers were characterized using scanning electron microscopy (SEM), X-ray energy dispersive spectroscopy (XEDS), transmission electron microscopy (TEM), infrared (IR), X-ray diffraction (XRD), Raman spectroscopy, ultraviolet-visible spectrophotometry (UV-Vis ) and X-ray photoelectron spectroscopy (XPS). [1] C. Tekmen , A. Suslu, U. Cocen "Titania nanofibers prepared by electrospinning" Materials Letters 62 (2008) 4470-4472 [2] Jiun-Yu Chen, Hung-Cheng Chen, Jiun-Nan Lin, Changshu Kuo, "Effects of polymer media on electrospun mesoporous titania nanofibers" Materials Chemistry and Physics 107 (2008) 480-487. [3] Ilaria Cacciotti, Alessandra Bianco, Giuseppe Pezzotti, Gualtiero Gusmano, "Synthesis, thermal behaviour and luminescence properties of rare earth-doped titania nanofibers" Chemical Engineering Journal xxx (2010) xxx-xxx. [4] This research was supported by Conacyt (Mexico). Special aknowledgements to Dr. Rene Guardían Tapia for his technical support in SEM and Dr. Daniel Bahena Uribe for his technical support in AFM. "R.Cruz-Silva acknowledges support from the Exotic Nanocarbon Project, Japan Regional Innovation Strategy Program by the Excellence, JST".
6:00 AM - AA3.43
Synthesis of One-dimensional Tin (IV) Oxide Nanowires and Nanobelts Using a Catalyst-assisted Pulsed Laser Deposition Method at Relatively Low Temperatures
Samad Bazargan 1 2 Kam T Leung 1 2
1University of Waterloo Waterloo Canada2University of Waterloo Waterloo Canada
Show AbstractCatalyst-assisted pulsed laser deposition (PLD) method is successfully employed for the synthesis of one-dimensional (1D) nanostructures of SnO2, including nanowires and nanobelts with square and rectangular cross-sections, respectively. The use of gold nanoislands (GNIs) with controllable sizes (10-50 nm) on oxidized-Si (ox-Si) substrates enables vapor-liquid-solid (VLS) growth of these nanostructures at relatively low temperatures of 500-600°C. These GNIs also provide effective control of the areal density and cross-sectional size of the nanobelts (5-30 nm thick with a width-to-thickness ratio of 2-9). Deposition temperature causes the observed variation in the geometry of these 1D nanostructures by promoting the growth of particular surface planes with higher energies at higher temperature, while an inert Ar gas atmosphere at 400 mTorr is essential to induce the VLS growth. Transmission electron microscopy (TEM) results show that nanowires grow along the [100] direction, while nanobelts exhibit two different growth axes of [100] and [101], each with different side surface planes. Using a mask-induced growth gradient, growth evolution of the nanobelts is studied by helium ion microscopy. It is found that GNI's are dislodged from the ox-Si surface upon precipitation of SnO2 in the GNI/ox-Si interface, which leads to horizontal nanorod growth on the surface of the substrate. Upward deflection of these nanorods by obstacles on the surface leads to the initiation of the nanobelt growth with their typical rectangular cross-section. TEM results however show that the nanobelts retain their single-crystalline structure upon these deflections and kinks during growth by changing the growth axis. Due to single-crystalline nature of the nanobelts and in collaboration with X-ray diffraction results, various templates, including HF-etched Si template or Al2O3 template, can be used to provide control of the preferred growth axis of the nanobelts. These results illustrate some of the great advantages of this catalyst-assisted PLD method in the controlled synthesis of 1D SnO2 nanostructures. Together with the inherent merits of this method in easy doping and homo- and hetero-structure growth, we strongly believe that this versatile method can be easily applied to other oxide materials, especially transparent conducting oxides.
6:00 AM - AA3.44
ZnO Nanowire Arrays with Higher Surface Area and Reversible Wetting Properties Manufactured by Combined Nanosphere Lithography and Hydrothermal Growth
Pierre Colson 1 Audrey Schrijnemakers 1 Benedicte Vertruyen 1 Catherine Henrist 1 2 Rudi Cloots 1 2
1University of Liege Liege Belgium2University of Liege Liege Belgium
Show AbstractDue to their large surface-area-to-volume ratio as well as their interesting intrinsic optical and electronic properties, ZnO 1D nanostructures are part of the few dominant materials for nanotechnology. In our study, we evaluated the potentiality of using the nanosphere lithography for the manufacturing of well-aligned, density-controlled ZnO nanowires by low-temperature hydrothermal growth. Two different routes were envisaged. The first route uses the colloidal mask as a physical guide for the patterned growth of the nanowires, while in the second route, the nanospheres act as a mask to pattern the seed layer. SEM and XRD characterizations were performed on samples manufactured by both routes. We evidenced patterned well-aligned nanowires with high c-axis texturing in the first synthetic route. The dye-loading measurements revealed that the amount of N-719 adsorbed is higher than on non-patterned ZnO nanowires films, highlighting an increased interface area. Oriented growth is less pronounced in the second route, as well as the ability to adsorb dye. This new synthetic route opens exciting perspectives for the production of ZnO nanowire arrays with tunable density and enhanced adsorption properties. In the last few years, there has also been an increasing interest in controlling the reversible wetting properties of solids, which depend on the free energy and the geometry of the studied surface. This leads to a wide range of potential applications, including intelligent microfluidic devices or self-cleaning surfaces. Therefore, we performed contact angle measurements on the previously manufactured unpatterned and patterned samples and both revealed a Wenzel-state, hydrophobic behavior. However, the patterned samples displayed a significant higher water contact angle, which we attributed to a higher roughness. Reversible superhydrophylicity to hydrophobicity was observed and controlled by successive UV illumination and O2 annealing or dark storage. The wetting transition, from hydrophilic to hydrophobic state was fully reversible when the samples were annealed during 20h at 50°C under O2 atmosphere, whereas only 80 % of the hydrophobic behavior was recovered after a one-week dark storage.
6:00 AM - AA3.45
Substrate Temperature-dependent Formation of Aligned, Uniformly Sized ZnO Nanowires and ZnO Nanocrystalline Thin Films
Joachim Dale Pedersen 1 Heather J Esposito 1 Mark Brunson 1 Andrew Ichimura 2 Kwok-Siong Teh 1
1San Francisco State University San Francisco USA2San Francisco State University San Francisco USA
Show AbstractA high pressure thermal plasma CVD method to produce aligned, uniformly-sized ZnO nanowires and nanocrystalline ZnO thin films on quartz and silicon (001) is presented. The process is a catalyst free, high pressure process that is largely substrate independent. Control of preferred crystallographic orientation is achieved through substrate deposition temperature ranging from 300C-520C. Higher temperatures lead to (002) and (103) predominately orientated films, while low deposition temperatures result in only slight (002) preferred orientation as observed through grazing angle x-ray diffraction. At substrate temperatures greater than 450C, we observe the growth of aligned nanowires from a conformal ZnO nanocrystalline film. Grain size varies from 50nm to 500nm depending on deposition temperature from scanning electron microscope studies. Nanowires are approximately 100nm in diameter and several microns long. Synthesized films are substantially conductive with resistivities ranging from 200ohm-cm to 2000ohm-cm for thin films. We observe greater than 70% optical transmission above a wavelength of 400nm for films approximately 500nm thick and greater than 85% for films less than 100nm thick. A band gap of 3.37eV results in 375nm photo absorption at near 100% for all films greater than 100nm thick. The films appear to be highly stable, both optically and electrically, under 20C partial nitrogen atmosphere. No change in electrical performance or optical performance is observed over a time of approximately one month. Experiments as to the effects of post-growth annealing on film properties are currently in progress. A perforated nickel chamber is used as a point source of zinc and an argon/oxygen mixture as the carrier/reactant gases. The substrate is located at a distance from the zinc source. Deposition temperature is controlled via placement of the substrate holder/susceptor at a fixed position within the inductor. The nickel chamber is inductively heated by a 13.56 MHz RF-generated magnetic flux in the presence of an inductively coupled plasma. Ionized zinc reacts with oxygen to form ZnO on the surface of the substrate. Nominal power is approximately 100WRF. A custom closed loop temperature controller maintains the source temperature. Process pressure is maintained at 60 torr.
6:00 AM - AA3.47
Growth of Metal Oxide Nanostructures on Cellulosic Substrates
Ruya R Ozer 1
1University of Tulsa Tulsa USA
Show AbstractThe focus of our research is the growth of metal-oxide nanostructures on cellulosic substrates, characterization and study of the structure-activity relationship and the properties of the functionalized surfaces. In particular, ZnO nanorods and nanoneedles have been grown and characterized successfully on cellulosic textiles and electrospun nonwoven mats. ZnO, an n-type semiconductor with a band gap of 3.37 eV, obtains much attention in making multifunctional materials because of its promising application in solar cells, sensors, displays, gas sensors, piezoelectric devices, electro-acoustic transducers, photodiodes and UV light emitting devices. ZnO nanoshapes functionalized surfaces that are generated in our labs exhibit superhydrophobicity, high UV-blocking capacity, and conductivity. Compared to woven counterparts, electrospun fibers show higher amount of ZnO nanoshape loading which translates into better performance. In this talk, the synthesis method of ZnO nanoshapes, Deposition technique on the solid substrates, and the characterization and study of the generated functional materials will be explained in detail.
6:00 AM - AA3.5
Size, Shape, Orientation, Doping, and Array Control of Silicon Nanowires via Electron Beam Templating and Metal-Assisted Catalytic Etching
Dagny Fleischman 1 Kathryn F Murphy 1 Daniel S Gianola 1
1The University of Pennsylvania Philadelphia USA
Show AbstractSi nanostructures have shown promise for applications in photovoltaic and thermoelectric functional devices due to their altered electrical and thermal properties relative to those of bulk Si. Device performance hinges on the transport properties of these materials, which can be finely controlled through the tailoring of nanostructure size, shape, orientation and dopant concentration. However, current synthesis methods, such as vapor-liquid-solid growth, do not possess the flexibility to control these properties simultaneously. We have developed a Si nanowire synthesis procedure that can yield nanowires with finely controlled lengths, sizes, orientations and cross-sectional areas from commercially available Si wafers. Our fabrication scheme utilizes a pattern generated by electron beam lithography that defines the size and cross-sectional shape of each nanowire and the periodicity of the generated nanowire arrays. Subsequent deposition of a thin Au film provides the catalyst responsible for the rapid etch of Si at the Si-Au interface when the substrate is placed in a solution of aqueous H2O2 and HF. The arrays of nanowires yielded by the wet etching of the templated Si wafers have numerous degrees of freedom: a wide range of dopant concentrations spanning both n-type and p-type, a spread of in-plane and axial orientations, and highly controllable sizes and lengths. Furthermore, these wires have shown the ability to possess cross-sectional areas ranging from circles and ovals to shapes with corners such as squares, diamonds and pentagrams. Tensile testing of individual Si nanowires is employed using a MEMS-based device to investigate the effect of size and shape on nanoscale electromechanical behavior. Measurements of both the elastic moduli and resistivity of these nanowires are found to be consistent with bulk quantities, indicating this fabrication process generates fully dense Si structures. Finally, we suggest nanoscale geometries for increasing the efficacy of functional devices that rely on heat and charge carrier scattering from free surfaces or interfaces.
6:00 AM - AA3.6
Rough to Smooth Transition in the Morphology of Metal Assisted Etched Silicon Nanowires
Olan Lotty 1 2 Nikolay Petkov 2 Justin D Holmes 1 2 3
1University College Cork Cork Ireland2Tyndall National Institute Cork Ireland3Centre for Research on Adaptive Nanostructures and Nanodevices (CRANN) Dublin Ireland
Show AbstractSilicon nanowires have been successfully exploited as components in nanoelectronic [1], energy storage and conversion [2] and photovoltaic [3] devices. Metal assisted etching (MAE) techniques have been widely used by researchers to synthesize vertical arrays of Si nanowires, the most common strategy employed being a two-step synthetic approach; firstly a catalytic metal is deposited on a Si substrate which is subsequently etched using a solution containing HF and an oxidant. In this presentation, we describe a single step electroless metal-deposition process, utilizing metal ion-containing HF solutions in the absence of an oxidant, to generate porous p-type Si nanowires and show that a boundary condition exists which separates the formation of rough (isotropic etch) versus smooth (anisotropic etch) nanowires. References: 1. Cui, Y.; Lieber, C. M., Science. 2001, 291 (5505), 851-853. 2. Hagedorn, K.; Forgacs, C.; Collins, S.; Maldonado, S., J. Phys. Chem. C. 2010, 114 (27), 12010-12017. 3. Chern, W.; Hsu, K.; Chun, I. S.; de Azeredo, B. P.; Ahmed, N.; Kim, K. H.; Zuo, J. M.; Fang, N.; Ferreira, P.; Li, X. L., Nano Lett. 2010, 10 (5), 1582-1588.
6:00 AM - AA3.8
Diameter-controlled Germanium Nanowires Grown from Au/Ge Bilayer Films
Colm O'Regan 1 2 Nikolay Petkov 1 Justin D Holmes 1 2
1Materials Chemistry and Analysis Group, Department of Chemistry and the Tyndall National Institute, University College Cork Cork Ireland2Centre for Research on Adaptive Nanostructures and Nanodevices (CRANN), Trinity College Dublin Dublin Ireland
Show AbstractIf germanium nanowires are going to meet the technological expectations identified by the ITRS roadmap, a detailed understanding of their bulk and surface structure, growth mechanisms and processing is essential. In this presentation, we discuss the synthesis of Ge nanowires, using continuous-flow supercritical fluid deposition methods [1], from bilayer Au/Ge films deposited on Si substrates [2]; consisting of a 5 nm Au film on one half of the substrate surface and 5 nm Au/1 nm Ge bilayer film on the other half of the substrate. Initial SEM studies have revealed a higher density of nanowire growth on the Au/Ge side, suggesting earlier nucleation and growth of nanowires when compared with the Au side. Structural characterization using TEM shows single crystalline wires, with few extended defects, along with typical growth directions of <111> for larger diameter wires and <110> orientations for smaller diameters. Additonally, narrower diameters and diameter distributions were found for nanowires grown on the Au/Ge substrate side, signifying the potential of Au/Ge bilayer films to control semiconductor nanowire diameters. References [1]. Barth, S.; Boland, J. J.; Holmes, J. D. 'Defect transfer from nanoparticles to nanowires' Nano Lett. 2011, 11, 1550. [2]. Jung, S. J.; Lutz, T.; Boese, M.; Holmes, J. D.; Boland, J. J. 'Surface energy driven agglomeration and growth of single crystal metal nanowires' Nano Lett. 2011, 11, 1294-1299.
6:00 AM - AA3.9
Organization of Nanowires into Complex 3D Assemblies by Template Electrodeposition
Markus Rauber 1 2 Wolfgang Ensinger 1
1Technische Universitauml;t Darmstadt Darmstadt Germany2GSI Helmholtzzentrum fuuml;r Schwerionenforschung GmbH Darmstadt Germany
Show AbstractTo realize applications based on nanowires, the development of methods that allow the organization of nanostructures into integrated arrangements is crucial. While many different methods exist, the direct synthesis of complex nanowire structures is one of the most suitable approaches to translate a large quantity of nanostructures into micro-/macroscale dimensions.1 In particular, 3D nanowire assemblies with high integration level and adjustable connectivity are highly desirable for applications including energy harvesting, (electro-)catalysis, and sensor systems. Here, we demonstrate the fabrication and characterization of 3D nanowire networks (NWNs) and well-defined nanowire arrays (NWAs) by combining specifically designed template materials with electrochemical deposition.2 Our approach is based on the ion-track template method that has been used to grow parallel aligned arrays of nanowires for several decades. We extended the method to organize nanowires into more complex structures by modifying the template production step. In contrast to current synthesis routes, it is possible to independently control many of the parameters defining both (i) characteristics of individual nanowires (including dimensions and composition) and (ii) the arrangement of the nanoscale building blocks into nanowire assemblies determined by nanowire orientation and integration level. Using this general method, various stable 3D nanowire superstructures can be created. It is of fundamental importance to fabricate and investigate these different nanowire architectures to understand how increasingly complex assemblies behave and to design superstructures with optimized structure-function relationships on multiple length scales. We present results that highlight the benefits arising from the design of advanced 3D nanowire architectures using the examples of electrocatalyst materials and sensing devices. In particular, 3D NWNs proved to exhibit a remarkably high activity as electrocatalyst for methanol oxidation arising from the unique 3D architecture that shows excellent transport properties and efficient access of reactants to catalytic sites. Furthermore, the possibility to adopt structuring techniques, reported for unconnected nanowires, is investigated.3 Recent results demonstrate that the mass activity can be further improved by various methods including the fabrication of assemblies consisting of porous and alloy nanostructures. References: 1. Wang, D. H.; Jakobson, H. P.; Kou, R.; Tang, J.; Fineman, R. Z.; Yu, D. H.; Lu, Y. F. Chem. Mater.2006, 18, 4231-4237. 2. Rauber, M.; Alber, I.; Müller, S.; Neumann, R.; Picht, O.; Roth, C.; Schökel, A.; Toimil-Molares, M. E.; Ensinger, W. Nano Lett.2011, 11, 2304-2310. 3. Rauber, M.; Brötz, J.; Duan, J.; Liu, J.; Müller, S.; Neumann, R.; Picht, O.; Toimil-Molares, M. E.; Ensinger, W. J. Phys. Chem. C2010, 114, 22502-22507.
AA1: Nanowire and Nanotube Growth I
Session Chairs
Tuesday AM, April 10, 2012
Moscone West, Level 3, Room 3004
9:30 AM - *AA1.1
Growth and Characterization of Aluminum-catalyzed Silicon Nanowires
Yue Ke 1 Dongjin Won 1 Xin Wang 3 Chad Eichfeld 2 Xiaojun Weng 2 Sarah Eichfeld 1 Chito Kendrick 1 Suzanne Mohney 1 2 Theresa Mayer 3 2 Joan Redwing 1 2
1Penn State University University Park USA2Penn State University University Park USA3Penn State University University Park USA
Show AbstractAlternative metal catalysts are of interest for the vapor-liquid-solid (VLS) growth of silicon nanowires (Si NWs) both from a fundamental growth perspective and as a pathway to control the electrical properties. Gold is the most commonly used metal catalyst, however, gold forms deep level electronic states within the bandgap of Si that are undesirable for photovoltaic devices that are dependent on minority carrier transport. Aluminum is of particular interest since it forms a liquid eutectic phase with Si at ~577oC and can serve as both a catalyst for nanowire growth and a source for p-type doping. However, Si NWs synthesis using Al is challenging due to the reactivity of Al with ambient O2 and H2O, which results in the formation of a surface oxide layer that impedes nanowire growth. We previously demonstrated that the use of high H2 and SiH¬4 partial pressures in low pressure chemical vapor deposition (LPCVD) was effective at reducing problems associated with Al oxidation and nanowire nucleation. This approach enabled the epitaxial growth of Si NWs using an Al catalyst at growth rates on the order of 1 ?m/min at temperatures ranging from 500oC to 600oC. Under these conditions, however, amorphous Si was observed to deposit on the nanowire sidewalls during growth by a vapor-solid mechanism. Single wire micro-Raman spectroscopy was used to study the extent of amorphous Si deposition as a function of nanowire length and growth conditions. For nanowires grown at temperatures of 550oC and higher, a Raman peak at 480 cm-1 associated with the transverse optic mode of amorphous Si was observed along with the optical phonon peak for crystalline Si at 520 cm-1. The intensity of the amorphous Si peak decreased in moving from the base to the tip of the nanowires. At a growth temperature of 520oC, negligible amorphous Si was detected and the crystalline Si optical phonon peak displayed asymmetry associated with Fano broadening caused by a coupling between discrete optical phonons and the continuum of interband hole excitations in degenerately doped p-type Si. The micro-Raman data is consistent with prior results from four-point resistance measurements and local electrode atom probe analysis which indicated that Al was incorporated into the material at concentrations greater than 1x1019 cm-3. This work demonstrates that Al can serve as both an effective catalyst and p-type dopant for the fabrication of Si nanowires.
10:00 AM - AA1.2
Size Effects in Vapor-solid-solid Ge Nanowire Growth with a Ni-based Catalyst
Shruti Vivek Thombare 1 Ann F Marshall 2 Paul C McIntyre 1 2
1Stanford University Stanford USA2Stanford University Stanford USA
Show AbstractThe great majority of literature studies of Ge nanowire growth have used Au as a catalyst. In most cases, growth is reported to have occurred by the VLS mechanism. Gold has been a popular choice as a catalyst in part because of its ability to form a eutectic with Ge, allowing nanowire growth at temperatures below 400 °C. However, Au induces trap levels deep in the Si and Ge bandgaps. In order to make deposited Ge nanowires compatible with silicon-based electronics and useful for photovoltaic applications, an electronically benign metal catalyst may be required. We report an investigation of low temperature Ge nanowire growth using Ni, which is electronically more benign than Au. Ni nanoparticles in colloidal solution were drop-cast on Ge (111) substrates. Ge nanowires were grown at temperatures as low as 375 °C in a cold-wall CVD reactor with hydrogen diluted germane as reactive precursor. Nanowire growth is expected to occur by the vapor-solid-solid (VSS) mechanism because the growth temperature is depressed by greater than 300° C relative to the lowest eutectic temperature in the Ni-Ge binary system. The catalyst phase was identified as orthorhombic NiGe. We observed that the length, morphology, and preferred crystallographic orientation of the nanowires were strongly dependent on their diameter. Nanowires with diameter greater than 25-35 nm have a high density of grown-in defects such as twins and stacking faults, and exhibit frequent kinking, giving rise to a tortuous morphology. Transmission electron microscopy showed that nanowires with diameter smaller than 25-35 nm, which grow preferentially in the <110> direction, appear to have no kinks despite having a substantial density of crystal defects such as (111) twins. The observed size-dependence of Ge wire morphology will be discussed in terms of wire surface energies and the structure of the catalyst/nanowire growth facet interface. The <110> oriented nanowires exhibit a preferred orientation relationship with the catalyst particle, whereas the catalyst/nanowire interface of the large diameter wires appears faceted without any preferred orientation relationship. TEM studies of initial stage growth will help understand the size-dependence of the catalyst/nanowire interface morphology and its influence on the nanowire structure. Growth parameters such as temperature and precursor partial pressure were varied systematically to determine the rate-limiting step for the VSS growth of NiGe-catalyzed Ge nanowires.
10:15 AM - AA1.4
Formation and Stabilization of Single-crystalline Metastable AuGe Phases in Ge Nanowires
Eli Sutter 1 Peter Sutter 1
1Brookhaven National Laboratory Upton USA
Show AbstractSemiconductor nanowires synthesized by the vapor-liquid-solid (VLS) process - the most widely used nanowire growth method - usually crystallize in the stable phase of the semiconductor material, largely due to the fact that VLS growth occurs very close to equilibrium. Controlled formation of metastable phases is important as they provide access to a much wider range of materials properties than those of the limited set of equilibrium phases. Metastable solids, however, usually form in non-equilibrium processes. Here we demonstrate that it is possible to form and stabilize metastable phases in nanowires in processes close to equilibrium, if the size-dependent phase diagram of nanoscale alloy drops, which we established recently [1, 2], is used to guide thermal processing. In-situ transmission electron microscopy on individual AuGe alloy seed drops at the tips of Ge nanowiress was used to establish conditions in which the drops can become trapped in a metastable liquid state, which then causes the crystallization of a metastable solid segment at the nanowire tip. The near-equilibrium process, which operates only at the nanoscale, involves a size-dependent depression of the liquidus that leads to extremely Ge-rich AuGe melts at low temperatures [2, 3]. We demonstrate the formation of epitaxial, single crystalline metastable AuGe segments in Ge nanowires. In splat-cooled bulk samples, such metastable AuGe phases have attracted significant interest due to their unique properties, e.g., superconductivity. Our findings demonstrate a general avenue for synthesizing nanowire heterostructures involving stable and metastable solid phases, applicable to the wide range of materials of which nanowires are grown by the VLS method. Work performed under the auspices of the U.S. Department of Energy under contract No. DE-AC02 98CH10886. [1] E. Sutter and P. Sutter, Nano Lett. 8, 411 (2008). [2] E. Sutter, P. Sutter, ACS Nano 4, 4943 (2010). [3] E. Sutter and P. Sutter, Nanotechnology 22, 295605 (2011).
10:30 AM - AA1.5
Gold Catalyzed Ni Disilicide Formation in Si Nanowires: A New Solid-Liquid-Solid (SLS) Phase Growth Mechanism
Wei Tang 1 2 Shadi Dayeh 2 Tom Picraux 2 Xiaohua Liu 3 Jianyu Huang 3 King-Ning Tu 1
1University of California at Los Angeles Albuquerque USA2Los Alamos National Laboratory Los Alamos USA3Sandia National Laboratory Albuquerque USA
Show AbstractThe vapor-liquid-solid (VLS) mechanism is the prominent growth process for semiconductor nanowires (NWs), for example in Si NW growth. Supply atoms of Si are provided by vapor precursors to a supersaturated Au-Si liquid eutectic alloy that mediates the solid nanowire growth. We report here a new solid-liquid-solid (SLS) silicide phase growth mechanism in Si NWs that is analogous to the VLS mechanism in being a liquid-mediated growth, but is fundamentally different in terms of nucleation and mass transport. To observe the growth, we dispersed VLS grown <111> Si NWs on 30 nm TEM silicon nitride membranes with pre-evaporated Ni dots. In-situ TEM heating observations, including real-time video recording, of the interaction between the Au-terminated Si NW and the Ni nanodots were conducted at 700C as a function of time. Initially, the Au-Si tip forms a liquid alloy at the elevated temperature. Then, Ni atoms dissolve from the Ni nanodots into the Si NWs and diffuse interstitially to and further dissolve in the liquid alloy. Upon super-saturation of Ni and Si inside the Au-Ni-Si alloy, a single {111} terminated octahedral NiSi2 nucleus forms in the middle of the liquid alloy and continues to grow until it is large enough to be pinned at the inner surface of the oxidized Si NW. Meanwhile, the Au-Ni-Si liquid alloy starts to move from the tip towards the other end of the Si NW, and catalytically transforms Si to NiSi2 along the moving path. Here, two concurrent processes mediated by the moving Au-Ni-Si liquid layer occur: (1) progressive dissolution of the Si NW at the liquid alloy/Si (111) interface, and (2) continuing supply of Ni so that the precipitation of NiSi2 phase occurs at the liquid alloy/NiSi2 interface, which is closer to the tip of the NW. In a similar fashion to the VLS growth of Si, the NiSi2 nucleates at {110} type facets and propagates on its (111) planes. This constitutes a SLS growth process for the NiSi2 phase. With SLS growth, the NiSi2 phase occurs at lower temperatures when mediated by the liquid alloy, compared with the temperatures used in thin film reactions. In contrast NiSi2 is found to nucleate homogeneously in Si NWs without Au mediation only when the temperature is above 800C.
11:15 AM - *AA1.6
In situ TEM Characterization of the Structural Modification of Nanotubes, Nanowires and Nanocatalysts
Seiji Takeda 1 Y. Kohigashi 2 Hideto Yoshida 1 Hideo Kohno 2
1Osaka University Ibaraki Japan2Osaka University Toyonaka Japan
Show AbstractRecently, TEM has been used to extend research to the in situ characterization of nanostructures under various environments at the atomic scale. Environmental TEM has also advanced greatly with both aberration correctors and improved ETEM operation systems. Using the recently developed ETEMs, we performed operand studies of catalysts by changing partial pressures of gases and temperatures systematically at atomistic resolution. To improve the precision of the characterization of various processes by TEM, in situ structural analysis of catalysts combined with image simulations has been performed [1]. In addition, applying an electric current to silicon nanowires with designed impurities in vacuum in a TEM, unusual structural changes were induced [2]. We show several recent in-situ TEM and ETEM studies toward the controlled growth of nanotubes and others and the deep understanding of gas-solid reactons. [1] H. Yoshida, H. Kohno and S. Takeda, Submitted (2011). [2] H. Kohno, Y. Mori, S. Takeda, Y. Ohno, I. Yonenaga, and S. Ichikawa, Appl. Phys. Express 3, 055001, (2010).
11:45 AM - AA1.7
Misfit-strain Guided Growth of Coherent Germanium Quantum Dot Arrays on Si-Ge Core-Shell Nanowires
Soonshin Kwon 1 Jie Xiang 1
1UC San Diego San Diego USA
Show AbstractMisfit-strain guided growth of coherent germanium quantum dot arrays on Si-Ge core-shell nanowires Soonshin Kwon and Jie Xiang In single-crystal pseudomorphic heterostructure semiconductors, large misfit-strain due to lattice mismatch will unavoidably lead to rough surface, formation of quantum dots (QDs) or islands and with thicker growth, the introduction of defects, dislocations and faults due to strain relaxation. These defects are undesirable in electrical and optical device applications since they act as scattering sites, recombination centers and leakage path. Therefore considerable efforts are spent minimizing strain relaxation in heterostructure growth.1,2 It has been widely demonstrated that a core/shell nanowire heterostructures3,4 can allow much higher strain before relaxation, where the high internal strain of the small diameter core can alleviate the shell strain, allowing thicker critical thickness for a wide range of coherent shell material growth. Compared to planar substrate, a small diameter nanowire core has another important feature - the core itself is flexible allowing an axial and radial strain distribution. Recently it was proposed that misfit strain in nanowires can propagate along the length of the wire and self-organize into a periodic pattern to minimize total strain energy. The initial instability of the shell layer deposition would lead to formation of periodic quantum dots/islands in one of several growth modes. Most previous core/shell growth study have focused on homogeneous shells, while few has reported the observation of such features.5,6 Herein we report the first systematic study of misfit-guided quantum dot growth using gas phase CVD growth of Si/Ge core/shell nanowires with different core diameters. Regular periodic arrays of coherent Ge quantum dots are formed and interestingly, an anti-correlated zig-zag QD formation is only observed on ~20 nm core nanowires, consistent with theory. The QD wavelength is inversely proportional to core diameter while insensitive to growth time due to pinning of QDs with the periodic strain field. Such strain-guided growth opens up a new avenue towards precise fabrication of nanowire heterostructures with novel mechano-electrical properties. Lastly we found that the same QD formation can be achieved ex-situ by annealing homogeneous Ge shell NWs at higher temperature. (1) Zubia, D. J. Appl. Phys. 1999, 85, 6492. (2) Bourret, A. et.al. Physical Review B 2001, 63. (3) Li, Y. et.al. Nano Letters 2006, 6, 1468. (4) Xiang, J. et.al. Nature 2006, 441, 489. (5) Pan, L. et.al. Nano Lett. 2005, 5, 1081. (6) Minghuang H. et.al. ACS Nano 2009.
12:00 PM - AA1.8
Growing Silicon Nanowires into Regular Zigzag Springs for Stretchable Electronics
Linwei Yu 1 Sophie Bouchoule 2 Gilgueng Hwang 2 Gilles Patriarche 2 Pere Roca i Cabarrocas 1
1Laboratoire de Physique des Interfaces et Couches Minces, LPICM, Ecole Polytechnique Palaiseau France2LPN Marcoussis France
Show AbstractOne dimensional (1D) silicon nanowires (SiNWs) have particular application potential in flexible and stretchable electronics, where the morphology of SiNW channels can be engineered or grown into flexible zigzag line-shapes. In most polymer-based flexible electronics, flexibility is always a trading factor against the carrier mobility or device stability. If the crystalline SiNWs can be grown naturally into regular bending line-shapes, they could serve as high performance stretchable conductive channels, while preserving the high mobility and stability of crystalline SiNWs. SiNWs can be grown in several cost-effective bottom-up approaches, usually mediated by nanoscale metal catalyst drops that determined the size and position of the produced SiNWs. We propose here a new growth and control paradigm to dictate the morphology and line-shape of SiNWs, by controlling nanoscale interface-interplay dynamics of the moving catalyst drops. The SiNWs are grown in an in-plane solid-liquid-solid (IPSLS) mode, where solid amorphous Si precursor matrix was absorbed by liquid indium catalyst drop to produce crystalline SiNWs during their in-plane movement. [1-2] The morphology of the in-plane SiNWs can be effectively controlled by tuning the relative moving speeds of the front absorption interface and the rear growth interface, which is related to the ratio between the catalyst size and the a-Si:H layer thickness. This ability allows for the growth of crystalline SiNWs channels with regular zigzag bending morphology, being ideal for various flexible and electronics applications. Prototype devices based on the in-plane SiNWs transistors will be presented. Moreover, the whole fabrication process can be accomplished in a standard plasma enhanced chemical vapor deposition (PECVD) system at a relatively low temperature budget (<350 oC), enjoying intrinsic compatibility to the mainstream planar electronics. More importantly, the growth path of the in-plane SiNWs can also be precisely controlled in this IPSLS growth mode by using a conveniently defined guiding edge. [3-4] This opens up exciting opportunities to up-scale the SiNW-based functionalities. [1] L. Yu et al., Phys. Rev. Lett. 102, 125501 (2009). [2] L. Yu, and P. Roca i Cabarrocas, Phys. Rev. B 81, 085323 (2010). [3] L. Yu et al., Appl. Phys. Lett., 95, 113106 (2009) [4] L. Yu et al., Appl. Phys. Lett., accepted for Vol 99 (2011)
12:15 PM - AA1.9
Tailoring Radial Si Nanowire Growth for Single Crystal Photovoltaic Arrays
Jinkyoung Yoo 1 Shadi A Dayeh 1 Wei Tang 2 Ian H Campbell 3 S. T Picraux 1 Paul Schuele 4 David Evans 4
1Los Alamos National Laboratory Los Alamos USA2University of California Los Angeles Los Angeles USA3Los Alamos National Laboratory Los Alamos USA4Sharp Laboratories of America Camas USA
Show AbstractSi radial p-n junction nanowires (NWs) provide new opportunities as photovoltaic (PV) components with high photoconversion efficiency due to orthogonalization of light absorption direction and carrier separation directions. However realization of high-performance Si radial NW photovoltaic cells and elucidation of their carrier transport has been demanding. Previous methods of forming radial p-n junctions have emphasized Si NW growth followed by diffusion doping or amorphous Si layer deposition, limiting the tuning of the p-n junction interfaces and achievement of high photovoltaic device performance. Here we present controlled low temperature homoepitaxial growth of single crystalline Si radial p-n junction NWs followed by analysis of NW arrays and single NWs to directly determine the orthogonalized contributions of enhanced optical absorption and carrier collection in their photovoltaic performance. Single crystalline Si radial p-n junctions consisted of core p-type Si NWs and n-type Si shells. Dimensions and electrical doping profiles of core Si NWs and epitaxial Si shells were precisely controlled by e-beam lithography and Si deep reactive ion etching followed by low-pressure chemical vapor deposition growth. Vertical NW array with pitch in the range of 1 to 2 micrometers were studied and the diameters and lengths were varied from 100 to 700 nm and 5 to 10 micrometers, respectively. Atomically smooth Si NW surfaces for radial homoepitaxy were prepared by multi-step thermal oxidation and wet chemical oxide stripping. The radial phosphorous doped n-type Si shells were grown epitaxially on the surfaces of p-type Si nanowires in the range of 720 to 810oC by chemical vapor deposition with silane and phosphine as the precursors. In the nanoscale radial growth process we found single crystalline shell growth with a progressive increase in surface roughness and then a breakdown in single crystal epitaxy followed by rapid polycrystalline shell growth at a critical thickness. The critical thickness increases with increasing temperature such that well-faceted and fully crystalline shells in the 100's of nanometer range are obtained at the higher temperatures. Using these processes vertical single crystalline Si radial p-n junction NW arrays and single NWs structures were grown and their optical, electrical and PV response were characterized. By comparison of the PV response of single NWs and NW arrays we separate the contributions of enhanced optical absorption due to the NW light scattering and the carrier separation in the radial Si p-n junctions to the PV response as a function of NW spacing. Using this approach, we decouple the wavelength-dependent enhanced light absorption effect of Si NW from the carrier collection in Si radial p-n junction in order to better assess their potential for solar cell applications.
12:30 PM - AA1.10
Electrochemical Liquid-liquid-solid Growth of Crystalline Semiconductors
Stephen Maldonado 1
1University of Michigan Ann Arbor USA
Show AbstractThis presentation describes an electrochemical liquid-liquid-solid (ec-LLS) process that produces large amounts of crystalline semiconductors with tunable nanostructured shapes without any physical or chemical templating agent. Electrodeposition of Ge from aqueous GeO2 solutions onto a liquid metal electrode, followed by dissolution into the liquid metal electrode, saturation of Ge in the alloy, and precipitation of Ge, results in polycrystalline Ge(s) under ambient conditions. Control of the saturation and precipitation of Ge through the applied bias affords a variety of material morphologies, including dense films of oriented nanostructured filaments with large aspect ratios. Data illustrating electrodeposition in several liquid metal electrodes will also be discussed to better describe the ec-LLS process. Electrodeposition involving a liquid electrolyte, a liquid electrode, and a solid deposit under ambient conditions represents a conceptually unexplored direct wet-chemical route for the preparation of bulk quantities of crystalline group-IV semiconductors. The presented strategy offers the possibility of optoelectronic material synthesis without the time- and energy-intensive processing steps required in traditional thermochemical syntheses of semiconductor materials.
12:45 PM - AA1.11
Flame Synthesis of Complex Metal Oxide Nanowires
Lili Cai 1 Pratap M Rao 1 Yunzhe Feng 2 Xiaolin Zheng 1
1Stanford University Stanford USA2Stanford University Stanford USA
Show AbstractComplex metal oxides, such as ternary oxides, doped oxides, and heterostructures containing several oxides, offer exciting opportunities to design materials with desired functionalities by tuning their chemical compositions and tailoring their sizes and morphologies. Moreover, complex metal oxide nanowires have the additional benefits of large aspect ratios, enhanced electrical transport and optical properties, and are promising building blocks for Li ion batteries, electroluminescent devices, catalysts, thermoelectric devices, transparent conductive oxides and superconductors. To date, complex metal oxide nanowires can be synthesized by only a few methods, such as the sol-gel method, hydrothermal method, and chemical vapor deposition (CVD), but these methods typically have small growth rates and low yields of products. Here, we present a rapid, scalable, economical and atmospheric flame synthesis method for the growth of complex metal oxide nanowires with well-defined compositions and morphologies. The flame synthesis method utilizes the high temperature and oxidizing environment of the flame, and has two modes to synthesize complex oxide nanowires, i.e., vapor-vapor phase and vapor-solid phase. In the vapor-vapor phase mode, two different metals are oxidized and co-evaporated by the flame, and the mixture of metal oxide vapors condenses onto a growth substrate, forming the complex metal oxide nanowires. With this method, various W-Mo-O complex nanowires, nanoflakes, nanoplates and nanoflowers were grown on Si or W substrates by co-evaporating WOx and MoOx vapors from W and Mo metals. In the vapor-solid phase mode, one precursor is again provided by oxidizing and evaporating metal oxide from a metal, while the other precursor diffuses out from the growth substrate. With this method, Cu3Mo2O9 nanowires were grown on a Cu substrate by incorporating MoOx vapor from Mo metal into CuO nanowires that grew simultaneously from the Cu substrate by solid phase diffusion. Moreover, for the vapor-solid growth, nanowire heterostructures, such as CuO/MoO3 core/shell nanowires and MoO3-branched CuO nanowires, were achieved via a sequential growth process, where CuO nanowires were grown first, followed by the deposition of MoO3 vapor to form either the MoO3 shell or branches. For both modes of flame synthesis, the morphology and composition of the complex oxide nanowires can be tuned by varying the gaseous O2 concentration, the metal oxide precursor vapor concentrations and the growth temperature. We believe that the flame synthesis method will provide a general platform to synthesize complex metal oxide nanowires with tailored properties.
Symposium Organizers
Junichi Motohisa, Hokkaido University Graduate School of Information Science and Technology
Lincoln J. Lauhon, Northwestern University
Deli Wang, University of California, San Diego
Takeshi Yanagida, Osaka University Institute of Scientific and Industrial Research
Symposium Support
Japan Society of Applied Physics
National Science Foundation
Office of Naval Research
AA5: Functionalized Nanowires and Nanotubes
Session Chairs
Wednesday PM, April 11, 2012
Moscone West, Level 3, Room 3004
2:30 AM - *AA5.1
Giant Modulation of Propagating Surface Plasmons in Silver Nanowires
Hong Wei 1 Hongxing Xu 1
1Institute of Physics, Chinese Academy of Sciences Beijing China
Show AbstractThe coupling of light to surface plasmons (SPs)- the collective oscillations of electrons in metallic structures- makes it possible to reduce the dimensions of light-based devices to the nanometer scale. Chemically synthesized Ag nanowires (NWs) with smooth surfaces are excellent waveguides that support propagating SPs. With the electromagnetic field strongly confined in the radial direction, light emission from a nanowire occurs only at the ends of the structure. The presence of a symmetry-breaking element, such as an adjacent nanoparticle, a structural anomaly, even the tip of a scanning near-field optical microscope, can redirect the emission to other locations. Recently, we have shown the remote excitation of Raman scattering and quantum dot fluorescence by using the propagating SPs in Ag NWs. Here we show that the local electric field distribution of propagating SPs along Ag NWs can be imaged by coating the NWs with a layer of quantum dots. This provides a valuable tool for the study of plasmons propagating along single or branched NWs. The superposition of different plasmon modes excited in the NWs makes the near field distribution modulated and show quasi-periodic beats. In Ag NW networks of simple geometries, interference between SPs launched at different positions along a primary NW makes it possible to turn on or off emission paths, resulting in combinations of optical signals that execute specific interferometric Boolean logic operations. We also demonstrate that a plasmonic binary NOR gate, one of the so-called "universal logic gates", can be realized through cascaded OR and NOT gates in four-terminal plasmonic NW networks. In addition, the modulation dependence on NW diameters and dielectric environments will be discussed. These results shed new light onto the fundamental understanding of propagating plasmons in complex networks, and provide useful information for the design of plasmonic devices.
3:00 AM - AA5.2
Nanoparticle-enhanced Detection of Molecular Displacements Using the near-field of Subwavelength Optical Waveguides
Donald J Sirbuly 1 Ilsun Yoon 1 Sarah Baker 3 Daniel Heineck 2 Sadik C Esener 1 2
1UC San Diego La Jolla USA2UC San Diego La Jolla USA3Lawrence Livermore National Laboratory Livermore USA
Show AbstractThe ability to observe, measure and manipulate individual molecular interactions is central to our understanding of complex chemical pathways and biological processes. Fine single molecule analyses of conformational changes, intramolecular distances, and/or adhesion forces are typically carried out by methods such as optical treezers, Förster energy transfer schemes and other molecular ruler platforms. As system designs and device architectures continue to shrink in size, it will be crucial to continue developing novel platforms that can not only intricately monitor molecular interactions, but also have the capability of being inserted into systems for advanced in situ diagnostics or be engineered to rapidly measure molecular interactions. In this talk, we demonstrate that the decaying optical field (i.e., evanescent field) of subwavelength optical waveguides is extremely sensitive to distances normal to the propagation of light. We utilize fluorescently-tagged self-assembled polymer coatings and plasmonic nanoparticles to map out the near-field of the waveguides which shows sub-nm sensitivity to objects moving in the evanescent field. We discuss the dielectric-plasmonic effects which enhances the distance sensitivity of the nanofibers, pushing detection limits to the angstrom level. To demonstrate real-time monitoring of single molecular displacement we link molecules between the plasmonic nanoparticle and waveguide surface and measure the scattering intensity as a function of fluidic force. The simple design and ease of obtaining optical feedback on molecular displacements makes this platform ideal for new force sensing devices, imaging technologies, and high-throughput molecular analysis.
3:15 AM - AA5.3
Sol-flame Synthesis: A General Strategy to Decorate Nanowires with Nanoparticles
Yunzhe Feng 1 In S Cho 2 Pratap M Rao 2 Lili Cai 2 Xiaolin Zheng 2
1Stanford University Stanford USA2Stanford University Stanford USA
Show AbstractNanoparticle-decorated nanowires (NP@NW) form a composite material in which the independent control of the chemical compositions and morphologies of the NPs and NWs offers exciting opportunities to engineer the electrical, optical and chemical properties of the material. Such multifunctional materials have potential applications in a wide range of areas such as lithium ion batteries, supercapacitors, photoelectrochemical devices and heterogeneous catalysts. Currently, hybrid NP@NW structures can be synthesized by various methods including photodeposition, electrochemical deposition, physical/chemical vapor deposition, and hydrothermal methods. However, none of these methods can synthesize the NP@NW structures with simultaneous high coverage density of NPs, minimal aggregation of NPs, good contact between NPs and NWs, flexible choices of materials and morphology control, and high yield in a scalable and controllable manner. Herein, we report a general sol-flame method , which combines the merits of the sol-gel method, and of flame spray pyrolysis, to decorate arrays of NWs with NPs. The sol-gel method is used to prepare the precursors of NPs, which are subsequently coated onto NW arrays by dip-coating. After drying, the coated NWs are heated by flame for a few seconds, and the NWs are consequently decorated with a high density of NP chains fanning radially outward from the NW axes. With this sol-flame method, we have demonstrated three different types of NP@NW structures: 1) NWs coated with binary metal oxide NPs , including ZnO@CuO, Co3O4@CuO, TiO2@CuO, Fe2O3@CuO, SnO2@CuO, ZnO@WO3, and Co3O4@WO3; 2) NWs coated with ternary metal oxides, including CuxCo3-xO4@CuO, FexCo3-xO4@CuO and ZnxFe3-xO4@CuO; and 3) NWs coated with noble metal NPs, such as Au@CuO. Notably, both the size and the coverage density of the NPs can be controlled by varying the concentration of the initial precursor solution and the number of dip-coated layers. The unique aspects of the sol-flame method come from the ultrafast heating rate and the high temperature of flame, which enable rapid solvent evaporation and combustion, and nucleation of NPs in the vicinity of the NWs without significant NP agglomeration. Furthermore, the NWs are only briefly exposed to the high temperature flame during the synthesis, so even delicate substrates such as fluorine-doped tin oxides can be used to host NWs without the risk of damage. Given the generality and versatility of the sol-flame method, we believe it will enable the synthesis of many interesting NP@NW heterostructures, and thereby impact diverse technologies such as lithium ion batteries, catalysts and photoelectrochemical cells.
3:30 AM - AA5.4
Functionalization of Silicon Nanowire Surfaces with Metal-organic Frameworks
Nian Liu 1 Yan Yao 2 Judy J Cha 2 Matthew T McDowell 2 Yu Han 3 Yi Cui 2 4
1Stanford University Stanford USA2Stanford University Stanford USA3King Abdullah University of Science and Technology Thuwal Saudi Arabia4SLAC National Accelerator Laboratory Menlo Park USA
Show AbstractMetal-organic frameworks (MOFs) and silicon nanowires (SiNWs) have been extensively studied due to their unique properties; MOFs have high porosity and specific surface area with well-defined nanoporous structure, while SiNWs have valuable 1D electronic properties. Integration of the two materials into one composite could synergistically combine the advantages of both materials and lead to new applications. We report the first example of a MOF synthesized on surface-modified SiNWs. The synthesis of polycrystalline MOF-199 (also known as HKUST-1) on SiNWs was performed at room temperature using a step-by-step (SBS) approach, and XPS, XRD, SEM, TEM, and EDS elemental mapping were used to characterize the material. Matching of the SiNW surface functional groups with the MOF organic linker coordinating groups was found to be critical for the growth. Additionally, the MOF morphology can by tuned by changing the soaking time, synthesis temperature and precursor solution concentration. This SiNW/MOF hybrid structure opens new avenues for rational design of materials with novel functionalities.
3:45 AM - AA5.5
Size-dependent Structural Coloring in Large Scale Core-shell Nanowires
Tural Khudiyev 1 2 Erol Ozgur 1 2 Mecit Yaman 1 2 Mehmet Bayindir 1 2 3
1Bilkent University Ankara Turkey2Bilkent University Ankara Turkey3Bilkent University Ankara Turkey
Show AbstractSomething is of a particular hue usually because it is intrinsically that color, like the leaves of green plants. But equally likely the color of an object, small like a soap bubble or a bird feather, or immense like the firmament, appear to be of a particular color because it is result of different optical phenonema (not due to quantum optical interactions as in dye pigments) such as, thin film, multilayer interference, resonant light scattering from small particles, photonic crystals. First of all, the structures to obtain structural coloring must be smaller or of the order of the visible light wavelength, that is submicron region, the reign of nanotechnology. We regularly find such finely layered structures in nature in large sizes to be the result of self assembly and biological formation. Similar nanoscale materials has not been out of reach of humans for many decades by now, but these painstakingly made structures has almost never been large scale. Therefore the challenge is not only to make these structures, but also to produce them as large scale objects with internal nanostructures and with such ease and economy that nature is unrivaled. The core-shell nanostructures are mass produced by a new top-to-bottom fabrication approach [1] based on thermal size reduction are unique in their coloration features [2]. They exhibit and are suited for two complementary size-dependent structural coloring mechanisms, interference and small particle scattering. These core-shell nanowires have two characteristic radial size scales that give rise to respective coloration mechanisms. Nanostructures with diameters from 30 to 200 nm result in coloration that spans the whole visible spectrum via resonant Mie scattering. Nanoshell coloration based on thin film interference is dominant for nanowires having shell thicknesses of 170-280 nm. Controlled color generation in any part of visible and infrared spectral regions can be achieved by the simple scaling down procedure. The nanowires are produced for extended lengths, typically hundreds of meters, and embedded in a flexible polymer fiber. Spectral color generation in mass-produced uniform core-shell nanowire arrays paves the way for applications such as spectral authentication at nanoscale, light-scattering ingredients in paints and cosmetics, large-area devices, and infrared shielding. [1] M. Yaman, Mehmet Bayindir, et al., Nature Materials 10, 494 (2011). [2] T. Khudiyev, Mehmet Bayindir, et al., Nano Letters, DOI: 10.1021/nl2022114 (2011).
4:30 AM - *AA5.6
Nanowire Based Chemical Sensors and Analytical Systems: Increasing the Functionality of the Sensing Elements
Andrei Kolmakov 1
1SIUC Carbondale USA
Show AbstractTo satisfy the industrial expectations, the nanowire-based sensors have to overperform significantly the traditional sensing platforms in size, sensitivity, stability, selectivity, power efficiency and cost-effectiveness. While the fabrication of nanowire-based chemiresistors having the dimensions and sensitivity comparable or even smaller/better with respect to traditional thin film sensors, the addressing the rest of the "wish list" is not that straightforward. Here we discuss few approaches, which are capable to advance stability, power efficiency and selectivity of NW sensors. We demonstrate that generic single crystallinity of metal oxide nanowire produced via VS (VLS) methods results in improvement of their stability of as sensing elements [1]. The coupling of the self-heating powering scheme and detection channel principally solves the power consumption limitations in conductometric nanowire sensors[2]. Alternatively, the realization of the nanowire pellistor scheme resolves the power issue. Different to the approaches based on analyte specific nanowire surface functionalizaton, the development of the nanowire based artificial olfactory system (E-nose) [3] seems to be the alternative effective approach to tackle the selectivity challenge. The latter approach can be easily coupled with cost-effective protocols for fabrication of nanowire sensors based on nanowire networks[4]. In such a device the required diversity of the sensing elements relies on stochastic variations of the amount and quality of the conducting channels in the network. To summarize, the rational bottom-up fabrication of the nanowire sensing elements coupled with new receptor/transduction principles and powering schemes can lead to alternative novel platforms for chemical sensing. REFERENCES [1] V. V. Sysoev, T. Schneider, J. Goschnick, I. Kiselev, W. Habicht, H. Hahn, E. Strelcov, and A. Kolmakov, "Percolating SnO2 nanowire network as a stable gas sensor: Direct comparison of long-term performance versus SnO2 nanoparticle films," Sensors and Actuators B-Chemical, vol. 139, pp. 699-703, 2009. [2] E. Strelcov, S. Dmitriev, B. Button, J. Cothren, V. Sysoev, and A. Kolmakov, "Evidence of the self-heating effect on surface reactivity and gas sensing of metal oxide nanowire chemiresistors," Nanotechnology, vol. 19, 2008. [3] V. V. Sysoev, E. Strelcov, M. Sommer, M. Bruns, I. Kiselev, W. Habicht, S. Kar, L. Gregoratti, M. Kiskinova, and A. Kolmakov, "Single-Nanobelt Electronic Nose: Engineering and Tests of the Simplest Analytical Element," Acs Nano, vol. 4, pp. 4487-4494, 2010. [4] V. V. Sysoev, J. Goschnick, T. Schneider, E. Strelcov, and A. Kolmakov, "A gradient microarray electronic nose based on percolating SnO2 nanowire sensing elements," Nano Letters, vol. 7, pp. 3182-3188, 2007.
5:00 AM - AA5.7
Silicon Nanowire Integrated Electrolyte-insulator-semiconductor Sensor with an above-Nernstian Sensitivity for Bio-sensing Applications
Jinyong Oh 1 Saif Islam 1 Hyun-June Jang 2 Won-Ju Cho 2
1UC DAVIS Davis USA2Kwangwoon University Seoul Republic of Korea
Show AbstractSignificant roadblock to wide-scale applications of solid state bio-chemical sensors are their low sensitivity and poor immunity against hysteresis. Additionally, field-effect based bio-chemical sensors such as electrolyte-insulator-semiconductor (EIS) and ion-sensitive-FETs (ISFET) need high capacitance in order to improve the signal-to-noise ratio. We demonstrated the successful integration of an ensemble of nanowires into EIS sensors to overcome the aforementioned drawbacks of the conventional planar bio-chemical sensors. An EIS sensor with a large capacitance and near-Nernst-limit pH sensitivity has been fabricated by integrating Si nanowires (NWs) using the electroless wet etching technique. Al2O3/SiO2 coating was employed as a sensing membrane. The EIS sensors with 3.8 ?m long NWs exhibited about eight times larger capacitance than the planar type EIS sensors that were fabricated using the same scheme without employing NWs The pH sensitivity of the EIS sensor at room temperature was 60.2 mV/pH, which is higher than the theoretical Nernstian of 59 mV/pH. Although the sensors with longer NWs exhibited discernable hysteresis due to the pH loop excursion and drift, their properties are measured to be comparable or better than those of the planar counterparts designed using high-k dielectric membranes. The increases in pH sensitivity, hysteresis and drift are attributable to the extended surface area of the EIS sensors enabled by the NWs. By integrating NWs in dual gate ISFET sensors, of which sensitivity is amplified by capacitances coupling between the top and the bottom gates, ultra-sensitive miniaturized bio-chemical sensors can be realized.
5:15 AM - AA5.8
One-by-One H2 Bubble Counting during Water Electrolysis with a Chemical Electrometer
Nicolas Clement 1 Katsuhiko Nishiguchi 2 Jean-Francois Dufreche 3 David Guerin 1 Akira Fujiwara 2 Dominique Vuillaume 1
1IEMN - CNRS Villeneuve d'Ascq France2NTT Basic Research Labs Atsugi Japan3CEA Marcoules France
Show AbstractIn industrial electrochemical processing, the size of gaz bubbles in liquid respective to their size distribution plays a major role in the effectiveness of the production process. Several techniques exist to characterize bubble sizes and/or emission frequency such as optical and acoustical studies. Here we show that a silicon chemical electrometer (CHEMETER) buried under a µ-bath filed with water (+NaCl) can detect electrically the emission of bubbles one by one. Such electrometer with elementary charge sensitivity1-3 can be considered as a punctual sensor of Nernst potential due to its nanometric dimensions (diameter ~ 10 nm, length ~ 50 nm). When an electrolytic current passes through the electrolyte, Nernst potential becomes out of equilibrium due to ions migration. This induces extra-noise that should be prohibited for most sensors applications. If the electrolytic current is enough to generate bubbles, such noise becomes clear enough to be an electrical signal for bubble sensor applications4. When two µ-electrodes are inserted in the µ-bath and electrolysis is generated, H2 bubbles emitted are detected one by one by the electrometer. At bubble emission, an abrupt current decrease is observed on the drain current followed by a recovery period with time constant ranging between 0.1 and 2s depending on ionic strength. This method provides easy statistics on bubble emission time and evaluation of bubble size histogram is possible with consideration electrolytic current (2 e-/H2 molecule). An underlying mechanism based on Nernst potential change induced by bubbles emission is proposed and reasonable fit of the measured signal is obtained considering an equivalent circuit with a capacitive coupling of the electrometer to the two electrodes. 1 K. Nishiguchi, H. Inokawa, Y. Ono, A. Fujiwara, and Y. Takahashi, "Multilevel memory using an electrically formed single-electron box," Appl.Phys. Letters, 85, 1277(2004) 2 N. Clement, K. Nishiguchi, A. Fujiwara and D. Vuillaume, "One-by-one trap activation with a silicon nanowire transistor" Nature Com. 1, 92 (2010) 3 N. Clement, K. Nishiguchi, J.-F. Dufreche, D. Guerin, A. Fujiwara, and D.Vuillaume, "Asilicon nanowire ion-sensitive field-effect transistor with elementary charge sensitivity," Appl. Phys. Letters, 98, 014104 (2011) 4 Patent "Procédé de detection de bulles" (2011) N/Ref. CHN/im - F0644CAS330
5:30 AM - *AA5.9
Controlled Deposition of Silicon Nanowires on Chemically Patterned Substrate by Capillary Force Using a Blade-coating Method
Tohru Nakagawa 1 Hidekazu Arase 1
1Panasonic Corporation Kyoto Japan
Show AbstractSemiconductor nanowires (NWs) and carbon nanotubes (CNTs) have been intensively studied in the field of pure science and technical applications because of their unique electrical properties and diverse device behaviors. They are also promising candidates for components of electronic devices fabricated on flexible substrates, since they can be dispersed in a solution and deposited onto the substrates by solution processes at ambient temperature, at which the substrates do not degenerate. It is necessary to develop techniques for placing these materials in specific areas with desired configurations to be able to build useful devices using these materials. Many methods for depositing NWs or CNTs have been developed, including aligning NWs with the direction of an applied electric field, aligning NWs using fluidic flow, depositing CNTs on chemically patterned areas by electrostatic forces or van der Waals interaction, and aligning NWs using the Langmuir-Blodgett method. In this paper, we present a noble method for depositing silicon NWs on specific areas with a high deposition yield, applicable to depositing NWs or CNTs on large-scale substrates and useful for the mass production of devices. The method is based on blade-coating, which takes advantage of capillary force. Firstly, water is blade-coated onto the chemically patterned substrate surface on which hydrophilic areas are surrounded by a hydrophobic self-assembled monolayer, selectively depositing water onto those areas. Secondly, before the water evaporates, a silicon NW dispersion is blade-coated onto the same surface, and in this step, the dispersion come into contact with the water. An NW dispersion consists of water-insoluble solvent and NWs that have been chemically modified such that they tend to be adsorbed at the water/solvent interface due to capillary force or to minimize the free energy. Thus, in the second step, NWs position themselves on the hydrophilic areas after the water and dispersion solvent have evaporated. Using this method, NWs were selectively deposited on 2 x 15 µm2 hydrophilic areas on a substrate with an area of 20 cm2 with a probability over 0.9. We investigated the mechanism of NWs deposition on the hydrophilic areas by the free energy calculation with the interfacial tension between NW and water, between NW and the solvent of the NW dispersion, between NW and the solvent. The energy calculation showed that the NWs are stabilized in the state where roughly half of the NWs sink into the water on the hydrophilic areas in the blade coating process. Using our method, the microstructures ranging from 50 to 100µm in length could be also selectively placed on hydrophilic areas. These results indicate that our method can be applicable to place micro-seized electronic devices, such as transistors, optical devices etc.
AA4: Nanowire and Nanotube Growth III
Session Chairs
Wednesday AM, April 11, 2012
Moscone West, Level 3, Room 3004
9:00 AM - *AA4.1
Crystal Structure Engineering in Nanowires
Erick P. A. M Bakkers 1 2 Moira Hocevar 1 2 Simone Assali 1 Tilman Zehender 1 George Immink 3 Marcel A Verheijen 3
1Eindhoven University of Technology Eindhoven Netherlands2Delft University of Technology Delft Netherlands3Philips Research Laboratories Eindhoven Netherlands
Show AbstractNanowires can be grown in other crystal structures than known in the bulk, offering new routes to tailor the optical and electronic properties. Here, we exploit these possibilities and discuss control of the crystal structure of indium phosphide (InP) and gallium phosphide (GaP) nanowires. We first focus on the fabrication of pure, defect-free, wurtzite and zinc blende wires. The next step is to induce on-demand switches of the crystal structure, i.e. homostructures, in a wire to enhance functionality. We finally show unexpected optical properties of these structure-engineered wires.
AA6: Poster Session: Characterization I
Session Chairs
Wednesday PM, April 11, 2012
Marriott, Yerba Buena, Salons 8-9
9:00 AM - AA6.1
Evaporation-induced Self-alignment of Semiconductor Nanowires on Surface Wrinkled Templates for Nanowire Field-effect Transistors
Seung Goo Lee 1 Haena Kim 1 Hyunho Choi 1 Kilwon Cho 1
1Pohang University of Science and Technology Pohang Republic of Korea
Show AbstractWe report a new and simple method for aligning semiconductor nanowires by an evaporation-induced self-alignment on surface wrinkles. In this strategy, nanowire solutions were first dropped on wrinkled surfaces with special wettability. Under appropriate conditions, the liquid invades the grooves and forms elongated filaments. Furthermore, the three-phase contact line of liquids was externally driven to recede quickly along the ridges of the wrinkled patterns as the evaporation proceeds, which results in the ordered and aligned nanowire deposition. Through tuning the transfer conditions of the nanowire-deposited-templates with different orientations and frequency, nanowires were controllably aligned and patterned. This approach is only based on the surface wettability on a wrinkled template without surface modification of the nanowire, and it can be applicable to a variety of low-cost and large-area printed electronics systems, such as nanowire field-effect transistors.
9:00 AM - AA6.10
Probing Surface-independent Minority Carrier Transport in Semiconductor Nanowires Using Kelvin Probe Microscopy
Afsoon Soudi 1 Yi Gu 1
1Washington State University Pullman USA
Show AbstractIn advancing semiconductor nanowire-based device technologies, a quantitative knowledge of carrier transport properties is required for a rational design of devices with controlled performance. The one-dimensional confinement of charge carriers and phonons can lead to novel transport properties, and thus represents an advantage of semiconductor nanowires in electronic and opto-electronic applications. However, due to the high surface-to-volume ratio, surface effects are prevalent in nanowires, and the measured carrier transport properties are usually dominated by surface-related processes, such as carrier trapping and recombination processes facilitated by surface states. To evaluate the intrinsic transport properties, especially those related to the confinement effects, the capability to probe surface-independent carrier transport properties is desired. Here we present studies of surface-independent minority carrier transport properties in semiconductor nanowires using the scanning Kelvin probe microscopy technique. Specifically, by measuring and modeling the spatial variations of the nanowire surface potential close to a nanowire-metal Schottky junction, both under an above-bandgap illumination and in the dark, the surface-independent minority carrier diffusion length was obtained. In addition to providing direct insight into the intrinsic transport properties, this approach allows for a direct comparison of the surface-independent and -dependent transport properties, which enables a quantitative evaluation of the significance of surface effects.
9:00 AM - AA6.12
Deep Levels Responsible by Visible Emission on ZnO Nanowires
Andre Luis Fernandes Cauduro 1 2 Paulo L Franzen 1 2 Caroline I Lisevski 1 Joatilde;o W Oliveira 1 2 Henri I Boudinov 1 2 Daniel L Baptista 1 2
1Universidade Federal do Rio Grande do SUl Porto Alegre Brazil2Universidade Federal do Rio Grande do SUl Porto Alegre Brazil
Show AbstractSingle crystalline zinc oxide nanowires (NWs) have attracted a lot of interest in the last years due to the possibility of fabricating special nanoelectronic and nanophotonic devices. ZnO is a II-VI direct wide band gap (3.37 eV) semiconductor with large exciton energy binding which is stable even at room temperature and responsible by the emission in UV. In addition, ZnO is a n-type semiconductor due to defect levels such as oxygen vacancies (VO), zinc interstitials (ZnI), oxygen interstitials (OI) and zinc vacancies (VZn), but all these levels is still far from full understanding. Those defects are responsible by visible emission (450-700 nm) at room temperature and for short infrared (750-900 nm) at low temperature. Also, 1D nanostructures would be promised material for gas and chemical sensors as well for photodetectors in UV and visible regions. In the present work, we report on the synthesis and the electrical and optical characterization of ZnO nanowires grown on sapphire substrates by the vapor-phase method. The growth mechanism is still controversial until today and it plays a crucial role on the concentration level and type of defects in the ZnO nanowire structure. Thus, we investigated samples grown in an atmosphere with absence of oxygen (100% Ar) and with 10% of O2 (90%Ar). Photoluminescence (PL) and photoconductive experiments were performed to probe the defect level states of the nanowires. Our PL results indicate that differences on the oxygen concentration during the nanowire growth may lead to an intensity change in the 2.3 eV emission defect (oxygen vacancies) band at room temperature. It strong suggests that an optimal Zn/O2 ratio is necessary to balance the defect concentration of the grown structure. Post-annealing treatments in O2 and Ar atmospheres were performed at the same growth conditions, confirming the PL results of the as-grown samples. At low temperature, an emission band centered at 1.7 eV (short infrared) also appears. This band starts to rise at 100K and we believe that this deep donor state (probably oxygen interstitial) is enhanced by the freezeout regime. Furthermore, a shift in the Fermi level is responsible to empty the level closes to the middle of the band gap. The UV emission, as aforementioned, is related to free and bound excitons in the NBE (near band edge) as well as DAP (donor acceptor pairs) and other recombinations by LO (longitudinal optical) phonon. At 10K, at least 9 transitions were identified. Photoconductivity measurements on the ZnO nanowires were performed under air and different vacuum pressures. The results show a change in photoresponse and photodecay under different adsorbed species on the nanowire surface. The concentration of the deep levels is also important to decrease the recovery time of the photocurrent on the ZnO nanowires. The surface defects plays a fundamental role for photodetection application and for chemical and gas sensing mechanisms.
9:00 AM - AA6.13
Exact, Simple Optical Determination of Semiconductor Nanowire Diameters and Shapes
Gerald Broenstrup 1 2 Vladimir Sivakov 2 Florian Talkenberg 2 Bjoern Hoffmann 2 Wolfgang Fritzsche 2 Andrea Csaki 2 Christian Leiterer 2 Norbert Jahr 2 Christoph Gutsche 3 Andrey Lysov 3 Ingo Regolin 3 Werner Prost 3 Franz-Josef Tegude 3 Bela Pecz 4 Gyorgy Zoltan Radnoczi 4 Silke Christiansen 1 2
1Max Planck Institute for the Science of Light Erlangen Germany2Institute of Photonic Technology Jena Germany3University of Duisburg-Essen Duisburg Germany4Research Institute for Technical Physics and Materials Science Budapest Hungary
Show AbstractOptical and electrical properties of semiconducting nanowires (NWs) are strongly dependent on their geometries, given e.g. by their diameters, lengths and shapes. Hence, for different applications of these NWs an accurate determination of their diameter at the nano-scale is required. This accuracy is even hard to achieve with a scanning electron microscope (SEM) which also has the disadvantage that time consuming measurements in a high vacuum piece of equipment are needed. Here we present an easy, simply optical method that can be carried out in air to determine the diameters of NWs with an accuracy of a few (<10) nanometers. The optical method is based on optical resonances that are highly sensitive on the shape of the NWs. The error of the proposed optical method is as low as about one per cent of the wavelength of the illuminating visible light. It will be shown by our optical microscopy technique that silicon (Si) and gallium arsenide (GaAs) NW diameters can accurately be determined and tapered NWs can be distinguished from straight ones. Moreover, diameter changes within a NW as they occur at positions of axial p-n junctions, due to varied growth conditions, can be determined. Furthermore, it will be shown, that this technique can be extended to study core-shell NWs. Core-shell structures occur when wrapped transparent conductive oxide (TCO) layers are used. For these core-shell NWs it is challenging to measure the diameter of the semiconductor NW core. However, using the proposed optical method this is at reach with the same error of a few nanometers. We chose for demonstration of the technique Si and GaAs NWs to serve as examples for indirect and direct semiconductors, respectively. All experiments are supported by theoretical Mie calculations and especially the impact of the direct and the indirect band gap on the resonant absorption and scattering of light as well as the role of the TCO layers will be determined.
9:00 AM - AA6.14
Element Mapping of Semiconductor Nanorods and Metal Oxide Coated Multiwall C-nanotubes
Meiken Falke 1 Andi Kaeppel 1 Ralf Terborg 1 Daniele Ercolani 2 Lucia Sorba 2 Sascha Hermann 3 Thomas Waechtler 3 Stefan Schulz 3
1Bruker Nano GmbH Berlin Germany2NEST, Instituto Nanoscienze-CNR and Scuola Normale Superiore Pisa Italy3Chemnitz University of Technology Chemnitz Germany
Show AbstractChemical analysis by energy dispersive X-ray spectroscopy (EDS / EDX) using Peltier cooled silicon drift detectors (SDD) is the state of the art in scanning electron microscopy (SEM). Now SDDs are accepted as advantageous for EDS in transmission electron microscopy (TEM) as well. Composition analysis of various semiconductor nanorods and of copper oxide coated carbon nanotubes using SDD-technology will be demonstrated. One advantage of SDDs is their improved low energy performance as compared to liquid nitrogen cooled and early SD detectors. This enables chemical analysis of nanostructures by EDS in SEM, where low excitation voltages have to be used to distinguish nanoscale features. Furthermore the detection and quantification of a few atomic percent of light elements such as Boron is now possible. Nanostructures are often composed of many different elements and it is ambiguous to conclude the element distribution just from z-contrast in SEM or in high angle annular dark field imaging in STEM. Electron energy loss spectroscopy in TEM can be difficult as well due to unfortunate peak overlaps. Therefore, particularly III-V semiconductor structures and magnetic materials are predestined for EDS, which allows distinguishing many elements at once relatively easily and straightforward. An example to be presented is an InAs/InP nanorod heterostructure about 50nm in diameter and grown by Au-assisted chemical beam epitaxy. It was studied comparatively in conventional TEM using a 0.12sr solid angle for EDS and in SEM using 0.02 sr and a 1sr SDD multi-detector system. The thinner P-rich regions of various thicknesses down to nominally 1.5 nm are clearly distinguishable after a few minutes of element mapping. Line scans allow the quantification of the element distribution; clearly showing, that As and P substitute each other whereas the In concentration stays at 50 at%. In summary, fast chemical analysis of nanowire heterostructures is possible using modern SDD-technology in SEM and TEM.
9:00 AM - AA6.15
Photoluminescence Response of GaN Nanowires to pH Variations in Electrolytes - Influence of Si-doping
Jens Wallys 1 Florian Furtmayr 1 2 Joerg Teubert 1 Martin Eickhoff 1
1Justus-Liebig-Universitauml;t Giebeta;en Giebeta;en Germany2Technische Universitauml;t Muuml;nchen Garching Germany
Show AbstractQuasi-one-dimensional semiconductor nanowires (NWs) have become an intensely studied field of research. GaN NWs, grown on Si (111) substrates by plasma assisted molecular beam epitaxy, are characterized by a low density of structural defects and a high surface to volume ratio. Due to these properties and in combination with a high electrochemical stability of GaN surfaces they promise applicability as electrodes for photoelectrochemical water splitting or in the field of bio-chemical sensing. In this contribution we present a systematic study of the effect photoluminescence (PL) response of GaN NWs to pH variations in electrolyte solutions and the influence of Si-doping on the obtained sensitivity. For the experiments the NW ensembles were in contact with a phosphate buffered electrolyte allowing the application of external electric fields across the NW surface via a standard three electrode setup. Si-doped NWs show a strong response of the PL intensity towards pH variations with the signal amplitude correlating with the Si-doping concentration and the average NW diameter. We demonstrate that by application of an external bias the pH-induced variation of the optical response can be enhanced by up to a factor of 10, i.e. the NWs can be operated at an electrochemically defined working point of maximum sensitivity. The underlying mechanisms of the response will be discussed in terms of the effect of Si-doping on the surface band bending and non-radiative surface recombination.
9:00 AM - AA6.18
Optical Properties and Electronic Structure of GaAs/AlGaAs Core/Multi-shell Nanowires
Teng Shi 1 Melodie Fickenscher 1 Leigh Morris Smith 1 Howard E Jackson 1 Jan Yarrison-Rice 2 Qiang Gao 3 Hark H Tan 3 Chennupati Jagadish 3 Yanan Guo 4 Jin Zou 4 Joanne Etheridge 5
1University of Cincinnati Cincinnati USA2Miami University Oxford USA3Australian National University Canberra Australia4University of Queensland St Lucia Australia5Monash University Victoria Australia
Show AbstractWe use photoluminescence (PL) spectroscopy and cross-section high resolution transmission electron microscopy (XTEM) to study the electronic structure of GaAs/AlxGa1-xAs core multi-shell nanowires which contain radial GaAs quantum wells. Using Au-catalyst assisted MOCVD, a GaAs quantum well tube (QWT) of either 4nm or 7nm with AlGaAs barriers on both sides is formed surrounding a central ~50 nm GaAs core. XTEM confirms these QWT structures, and shows good interfaces between the QWT and the AlGaAs barriers. Plan-view TEM EDX measurements give the Al concentration at approximately 24%, which provides a confinement barrier of ~200 meV for the electrons and ~100 meV for the holes. Photoluminescence (PL) shows broad multi-peak QWT emissions centered at ~1.71eV for the 4nm structure and a single intense line at ~1.59 eV for the 7nm QWT. For the 7nm QWT, low-temperature time-resolved PL displays a lifetime ~300 ps at 1.59eV which indicates a direct transition between confined electron and hole states in the QWT. At 1.51eV, we observe longer lifetimes of >500ps suggesting the existence of indirect transitions. Preliminary PLE measurements exhibit possible excited state structures of this novel quantum-confined nanostructure. We use the structural and alloy concentration information from the HRTEM results to carry out simple theoretical modeling using a cylindrical quantum well. The results of these calculations show good agreement with both direct and indirect transitions observed in our PL and PLE data. We acknowledge the financial support of the National Science Foundation through Grants DMR-0806700, 0806572, 1105362, 1105121, and ECCS-1100489, and the Australian Research Council. The Australian National Fabrication Facility is acknowledged for access to the growth facilities used in this research.
9:00 AM - AA6.19
Raman Measurements of Carrier Density in n-type GaN Nanowires: Bulk and Surface Optical Phonon Modes
Lawrence H Robins 1 Norman A Sanford 2 Kris A Bertness 2 John B Schlager 2
1NIST Boulder USA2NIST Boulder USA
Show AbstractRaman spectroscopy was used to quantify carrier density in dense ensembles of MBE-grown GaN nanowires (NWs), and thus provide input data for modeling of electrical transport properties of single NW devices obtained from the ensembles. In samples with low carrier density of order 1015 cm?3, estimated from current-voltage and photocurrent-voltage measurements, a longitudinal optical (LO) phonon peak was observed at 739 cm?1. The LO phonon peak is ascribed to a mixture of A1(LO) (735 cm?1) and E1(LO) (741 cm?1) modes, due to polarization randomization of the incident and scattered light by multiple scattering in the NW ensemble. The randomized polarization picture is supported by the lack of incident polarization dependence of the first-order Raman peaks (A1(TO), E1(TO), and E2, as well as the A1(LO)+E1(LO) peak). The LO phonon peak shifted up in frequency and broadened in samples with higher carrier density. A longitudinal phonon - plasmon coupling model was used to fit the frequency shift and line broadening of the LO phonon peak and calculate the carrier density for each sample. For NW ensembles that also provided single NW devices, the carrier density determined by the Raman data analysis ranged from (7.0 ± 1.2)×1016 cm?3, for growth run B738, to (2.5 ± 0.3)×1017 cm?3, for growth run C236. In addition to the first-order Raman modes of bulk wurtzite GaN, a well-defined peak at 709 cm?1 was observed in low carrier density samples. The 709 cm?1 peak is ascribed to a surface optical (SO) phonon mode, based on the good fit of the observed frequency to a literature model [1] for the SO mode at polar and non-polar surfaces of polar semiconductors. The SO peak shifted up and broadened with increasing carrier density in a manner qualitatively similar to the bulk LO phonon peak. This result suggests that plasmons couple strongly to SO phonons in the NWs. Additional peaks in the 660 cm?1 to 700 cm?1 range, observed in some samples, are tentatively attributed to modification of the SO frequency by quantum size effects, based on literature reports of Raman peaks in this range for GaN nanomaterials.
9:00 AM - AA6.20
Transport Studies of Si-doped GaN Nanowires in Vacuum
Norman Sanford 1 Paul Blanchard 1 Lawrence Robins 1 Kevin Soria 2 Benjamin Klein 2 Kristine Bertness 1 Aric Sanders 1 John Schlager 1
1NIST Boulder USA2Georgia Institute of Technology Savannah USA
Show AbstractMeasurements of transport properties of nanowire (NW) structures are often quite challenging due to the complications associated with the wire morphology, metal contacts, and the effects of depletion and surface adsorbates. The n-type Si-doped GaN NWs examined in this study were grown by MBE as described elsewhere [1]. The NWs were typically 8-10 ?m in length, c-axis oriented, and exhibited negligible taper. This growth methodology is known to produce wires that are essentially free of defects and strain. Diameters of individual NWs ranged from roughly 80 nm up to 300 nm depending upon the growth batch. Raman scattering was used measure the free carrier concentration Nf of the dense as-grown NW ensembles. Back-gated FET structures were fabricated by dispersing wires onto oxidized degenerately-doped Si substrates and subsequently fabricating source (S) and drain (D) ohmic contacts. The S-D contact gap was typically 3 ?m and the gate contact was made directly to the Si substrate. FET gating data were recorded under vacuum to minimize device drift. These data were used to calculate the surface charge density ?s on the NWs that is responsible for the depletion layer present at zero gate bias (Vsg = 0). These calculations employed a 3-D finite-element Poisson solver that considered the hexagonal cross section of the NWs, the thickness of the oxide layer, and used the Raman-derived values of Nf as input. As an example for a NW batch with Nf = 2.5±0.3 E17 cm-3, the solution procedure returned self-consistent values for Nf with ?s in the range of 1.7-3.5 E11 cm-2 for a set of 10 NWs that spanned in diameter from roughly 75 nm to 127 nm. Assuming that Nf and ?s are common for NWs from the same batch, we found that fitting the (dark) S-D current under constant Vsd (with Vsg = 0) yielded a trend in the NW diameter Dw and drift mobility ? as follows: Dw ~180 nm, ? ~875-1800 cm-2/V-s; Dw ~157 nm, ? ~470-1070 cm-2/V-s; Dw ~127 nm, ? ~122-340 cm-2V-s; Dw ~94 nm, ? ~22-120 cm-2/V-s. NWs of intermediate diameters obeyed the same trend and similar results were obtained for other growth batches. Difficulties were encountered with obtaining self-consistent fits of Nf and ?s from FETs composed of NWs with Dw > ~130 nm, but steps are being taken to refine the solution procedures. Progress with transport measurements performed at temperatures between 77 K and 300 K will be discussed at the meeting. [1]. Bertness, et al, IEEE Journal of Selected Topics in Quantum Electronics 17, 847 (2011)
9:00 AM - AA6.22
Electrostatic Alignment of II - VI Nanowires
Nattasamon Petchsang 1 Masaru K Kuno 1
1University of Notre Dame Notre Dame USA
Show AbstractThe electrostatic alignment of II-VI nanowires (CdSe, ZnSe and CdTe) was demonstrated and studied by dispersing high aspect ratio nanowires in low dielectric solvents. The high-quality straight nanowires were synthesized by using a solution-liquid-solid (SLS) growth technique with bismuth salt as a catalyst and trioctylphosphine oxide (TOPO) as a surfactant. The alignment of nanowires was observed in non-polar and low dielectric solvents such as cyclohexane, hexane and toluene. Initial observations revealed that II-VI nanowires can be aligned by inducing electrostatic charges in the vicinity of nanowires surface by way of rubbing two different materials, such as nitrile gloves and polypropylene tubes, which cause triboelectric effect. The alignment was then studied in more detail with solvents of low dielectric constant utilizing a home-made Van de Graaff generator (4.8 kV). We observe that, nanowires in solution can be aligned in bundles up to centimeters in length. Additionally, aligned nanowire films were produced on different substrates (glass, polypropylene, and teflon) by allowing the solvent to evaporate from a suspension of aligned nanowires. In addition to centimeter-scaled alignment, micro-scaled alignment of II-VI nanowire films was confirmed by scanning electron microscopy (SEM). Currently, investigation of the alignment mechanism and the optical properties of the aligned nanowires are being performed, as well as development of potential applications in functional devices.
9:00 AM - AA6.23
Determination of the Bending Modulus of Individual Multiwall Boron Nitride Nanotubes via Atomic Force Microscopy
Adrienne Elizabeth Tanur 1 Daniel N Lamont 2 A. Leela M Reddy 2 Jiesheng Wang 3 Yoke Khin Yap 3 Gilbert C Walker 1 2
1University of Toronto Toronto Canada2University of Pittsburgh Pittsburgh USA3Michigan Technological University Houghton USA
Show AbstractIn recent years, boron nitride nanotubes (BNNTs) have attracted increasing attention due to their unique set of properties. Optical transparency, excellent oxidation resistance, high thermal conductivity, and high electrical resistance make BNNTs useful for many applications, from biomaterials [1] to optoelectronics [2]. In addition, BNNTs are predicted to possess superior mechanical properties, similar to that of carbon nanotubes [3, 4]. The mechanical properties of multiwall boron nitride nanotubes (MWBNNTs) synthesized by a growth-vapour-trapping chemical vapour deposition method are investigated via nanoscale three-point bending tests using atomic force microscopy. A force-mapping technique is used to probe multiple locations along the suspended tubes in order to determine the boundary conditions of the supported tube ends. The bending moduli calculated for 11 tubes of with diameters ranging from 18 to 55 nm confirms the exceptional mechanical properties of MWBNNTs for diameters40 nm, with an average Eb of 966 ± 58 GPa. For larger diameter tubes, the modulus drops significantly. Possible reasons for this diameter dependence are considered, including the influence of shearing and structural defects. References: [1] D. Lahiri et al., Nanotech. 22, 205601 (2011). [2] L. H. Li et al., Appl. Phys. Lett. 97, 141104 (2010). [3]E. Hernandez et al., Appl. Phys. A - Mat. Sci.&Process. 68, 287 (1999). [4] V. Verma, et al., Nanotech. 18, 435711 (2007).
9:00 AM - AA6.24
In-situ TEM Observation of Epitaxial Growth of Copper Silicide/Silicon Nanoheterostructures and Their Electron Transport Properties
Chung Hua Chiu 1 Chun Wei Huang 1 Jui Yuan Chen 1 Yu Ting Huang 1 Wen Wei Wu 1
1National Chiao Tung University Hsinchu City Taiwan
Show AbstractIn this work, we have successfully fabricated copper silicide/silicon nano-heterostructured nanowires (NWs) with atomically sharp interfaces by using in-situ transmission electron microscopy (TEM). The single crystal with high aspect ratio (more than 200) silicon NWs were synthesized on Au film covered Si substrates by the vapor transport and condensation process via vapor-liquid-solid (VLS) mechanism. The solid state reactions through the line contacts between silicon NWs and lithographically defined copper pads were carried out, and then the copper silicide/silicon nanowire heterostructures were formed. We also characterized the dynamic diffusion of copper atoms in the growth process and the formation mechanism. The effect of temperature on the growth rates and the formation of various copper silicide phases were systematically studied. Furthermore, based on this copper silicide/silicon nanowire heterostructures, the electrical transport properties and field effect transistors (FETs) have been investigated.
9:00 AM - AA6.26
Effect of Nickel Doping on Structural Evolution of Zinc Oxide Nanostructures
Navendu Goswami 1 Anshuman Sahai 1
1Jaypee Institute of Information Technology Noida India
Show AbstractMetal oxides nanostructures, in general and ZnO nanostructures, in particular have been an active field of research due to their potential applications in optoelectronics, sensors, photovoltaic and spintronic devices [1-3]. Of late, doping of ZnO nanostructures with transition metals attracted worldwide attention due to significant influence of doping on various vital properties of ZnO nanostructures. In this paper we provide a systematic study on structural evolution of Ni doped ZnO nanostructures (say Ni:ZnO NS) synthesized adopting a chemical precipitation method. The structural, optical, electronic and magnetic properties of prepared nanostructures were extensively investigated. The formation of hexagonal phase of ZnO for all the Ni doped ZnO nanostructures is evident through their characteristic X-ray diffraction patterns [1]. The average crystallite size for 1-10% Ni:ZnO was estimated to be 9.3-27.9nm. An XRD reflection from NiO (200) plane was observed only for 7% and 10% of Ni:ZnO NS [2]. The occurrence of a prominent Raman line at 115cm-1 for all the Ni:ZnO NS further confirmed single phase formation [3]. No additional vibrational modes due to NiO/Ni were detected in the Raman spectra. In accordance with XRD results, HR-TEM images of 1-7% of Ni:ZnO NS vividly exhibit 20nm size particles. Interestingly, formation of nanorods initiates with 7% Ni doping and for 10% Ni:ZnO complete structural transformation takes place resulting in the formation of nanorods (diameter: 21-50nm, length: 215-375nm). FTIR analysis explicates that incorporation of Ni in Zn lattice and formation of Zn-O-Ni linkages paves the way for anisotropic growth of nanorods [3]. References: [1] N. Goswami and D.K. Sharma, Physica E, 42, 1675-1682 (2010)and references therein. [2] N.M. Ulmane, A. Kuzmin, I. Steins, J. Grabis, I. Sildos and M. Pars, J. of Phys.: Conf. Series, 93, 012039-012043 (2007). [3] R. Elilarassi, G. Chandrasekaran, Mats. Chem. and Phys, 123, 450-455 (2010).
9:00 AM - AA6.27
Activation of Highly Concentrated Bi Donors in Wire-delta;-doped Si by Laser Annealing
Koichi Murata 1 2 Yuhsuke Yasutake 3 Koh-ichi Nittoh 1 Kunihiro Sakamoto 4 Susumu Fukatsu 3 Kazushi Miki 1 2
1National Institute for Materials Science Tsukuba Japan2University of Tsukuba Tsukuba Japan3The University of Tokyo Meguro Japan4National Institute of Advanced Industrial Science and Technology Tsukuba Japan
Show AbstractQuantum information processing (QIP) is a quantum leap dreamed of in the field of computing technology. A scalable platform is predicted by creating qubits with ionized donor states in Si [1, 2]. Since deeper donors are capable of working at higher temperature, large (heavy) impurities, e.g., Bi, are preferable to small (light) ones, e.g., P. To implement Stoneham's QIP protocol [2], the neighboring Bi atoms need to be located within 7 - 10 nm. To this end, we have developed a doping technique that uses Bi nanolines in Si(001) substrate as the controlled, local doping source [3, 4]. However, as-prepared Bi atoms in a wire-?-doped Si are largely inactive optically and/or electrically. Previously we demonstrated that only high power laser annealing can efficiently activate such Bi atoms [5]. Specifically, our laser anneal system features a high-speed turntable (up to 1,500 rpm) in ambient nitrogen and a 18-W cw 532-nm diode-pumped solid-state laser. Here we report concentration dependence of activation of Bi donors. We focus on photoluminescence (PL) because it is a highly sensitive, unique tool to characterize Bi donor states in Si. We monitored the signature of Bi, i.e., no-phonon emission (NP; 1080 meV) and its TO phonon replica (TO; 1022 meV) due to a free hole in Si with an electron in the Bi donor band developing near the conduction band-edge of Si (Ed ? 75 meV). Bi nanolines were completely buried in the Si crystal. The nominal peak Bi concentration, > 1020 cm-3[6], was controllable by changing the substrate temperature (Ts = 350 ? 450 °C). The surfactant technique was used during the growth of the Si capping layer after Bi nanoline formation [4]. The peak Bi concentration was varied in the range of 1016 to 1020 cm-3. Laser annealing was performed under the same conditions. The PL intensity increased, with little peak shift, with increasing Bi concentration in as-prepared samples. Meanwhile, a series of luminescence peaks occurring in groups were observed after annealing, which were identifiable as originating from Bi donors. The rather broad, lower-lying peaks that were only visible in a highly concentrated Bi donors layer (> 1018 cm-3) indicated the developing impurity bands. On the other hand, electrical measurement showed that resistivity dropped as n-type behavior began to be observed after annealing. This suggests that Bi atoms indeed substituted Si and thereby acting as a donor. A more quantitative estimate of the fraction of activated Bi donors is now underway. [1] B. E. Kane: Nature393 (1998) 133. [2] A. M. Stoneham et al.: J. Phys.: Condens. Matter15 (2003) L447. [3] K. Miki et al.: Surf. Sci.421 (1999) 397. [4] K. Miki et al.: Ins. Phys. Conf. Seri.164 (1999) 167. [5] K. Murata et al.: Appl. Phys. Express3 (2010) 061302. [6] O. Sakata et al.: Phys. Rev. B72 (2005) 121407.
9:00 AM - AA6.28
Electrochemical Properties of Nanowires and Amorphous Thin Film Tungsten Oxide
Lamartine Meda 1 Christian M White 1
1Xavier University of Louisiana New Orleans USA
Show AbstractThis work investigated the effect of cycling (1D) nanowires and amorphous tungsten oxide (WO3-x) at low potentials below 2.0 V versus Li/Li+. Crystalline nanowires ranging from 20 to 100 nm and amorphous WO3-x has been successfully fabricated by the vapor-solid method without a catalyst and carrier gas. The electrochemical properties showed reversible discharge capacity of WO3-x/Li cells. The current and charge during cycling down below 2 V versus Li/Li+ for both amorphous and crystalline nanowires electrodes will be discussed. Scanning electron microscopy will be used to investigate the morphology of the electrode before and after cycling. The mechanism of Li reactivity will be discussed in the context of interfacial lithium storage mechanism.
9:00 AM - AA6.29
Light Absorption in Semiconductor Nanowire Arrays
Junichi Motohisa 1 Kenji Hiruma 2 1
1Hokkaido University Sapporo Japan2Hokkaido University Sapporo Japan
Show AbstractNanowire (NW)-based solar cells are attracting interest because their high light-collection efficiency and capability of heterostructure growth, going beyond the limit of constraints in lattice matching issues in conventional planar thin-film growth. For light-collection, so-called light trapping effect is theoretically and experimental investigated by many groups, and shown to be effective by designing the pitch and size of the NWs. The studies are mainly on Si NWs and there are very limited works on theoretical investigation on III-V NWs, which have much larger absorption coefficients. In addition, if we think of NW tandem solar cells, the wavelength range of sunlight to harvest is different in different cells, thus the optimum size and pitch of NWs might not coincides in each cell. Here we report on the calculation of light-absorption efficiency in InP, GaAs, and Ge NW arrays. In addition, we also describe the results of GaAs/Ge tandem cells in various configurations. In our model, we assume periodic array of NWs with hexagonal cross sections on a hypothetical transparent substrate, and absorbance A was evaluated by using A=1-R-T, where R and T are reflectivity and transmittance calculated using scattering matrix formalism [1], where electromagnetic fields of each layers are calculated based on plane-wave expansion method, and continuity of incoming and outgoing waves were formulated using scattering matrix. Tabulated complex dielectric constants [2] for each material were used in NWs, and their imaginary part was set zero in substrates to have no absorption. The height h of nanowires was set 1?m for InP and GaAs, and 3?m for Ge. General tendency of the calculation results for vertical light incidence shows that the average absorbance is much lager in nanowire arrays than in thin films with the same thickness (NW height). If the ratio r=d/a, where d is the size of NWs and a the pitch of NW array, decreases, reflectivity reduces but transmittance increases. Thus, there is optimum r in terms of light absorption. It was found that the optimum d and a were slightly different between InP and GaAs. This is because of the difference of the absorption edge and refractive index. It is noted, however, both the wavelength in materials and wavelength of absorption is longer in InP. Thus, a simple scaling rule may be valid. We calculated absorbance of structures of GaAs NW/Ge thin film/Ge substrate, and GaAs NW/Ge NW/Ge substrate, and regardless of the difference of absorption edge, reasonably high absorbance was obtained for both structures in wide wavelength range. Care must be taken, however, one has to consider actual absorption in each layer, thus, the distribution of electromagnetic field must be considered for solar cell application. The results of field distribution will be discussed. [1] D. M. Whittaker and I. S. Culshaw, Phys. Rev. B 60, 2610 (1999). [2] Handbook of Optical Constants of Soilds, edited by E. D. Palik (Academic Press, 1998).
9:00 AM - AA6.3
Atomistic Analysis of Strain in Ge/Si/Ge Trilayer Using VFF Model
Mahesh Raj Neupane 1 Roger K Lake 1 Rajib Rahman 2
1University of California Riverside USA2Sandia National Laboratories Albuquerque USA
Show AbstractStrained heterostructures are ubiquitous in microelectronic and optoelectronic applications. Recent progress in the growth of nanostructures on patterned substrates has brought to the forefront issues related to atomic-level surface and subsurface stress and strain field variations, as these govern the process of formation of such nanostructures and strongly affect their physical and electronic properties. In 2D strained-Si channels, biaxial tensile strain enhances electron mobility by modifying the band structure and consequently, reducing the electron effective mass. Similarly, the strained trilayer Si/Ge/Si heterostructures are good candidates for a high mobility channel material for p-MOSFETs because of the high hole mobility and inhomogeneous in-plane strain. In addition, the underlying Si layer in the Si/Ge/Si trilayer heterostructure improves the thermal budget i.e. the total amount of thermal energy transferred to the wafer during elevated temperature operation. In order to understand the effect of Ge-layer width and height variations on strain, strain energy, electrical properties and optical properties of Si/Ge/Si trilayers, we performed atomistic, tight-binding, quantum-mechanical modeling as implemented in NEMO-3D. Optimization of geometries and strain distribution was found in terms of atomic positions, using the Valence Force Field (VFF) model with a Keating interatomic potential. The effects of strain were included in the Hamiltonian matrix elements when calculating the electronic states. The Si layers (top and bottom) were fixed at 1 nm and the width and the height of the Ge inner layer varied from 1 nm to 5 nm. In all cases, the unit cell had periodic boundary conditions along the z-direction resulting in a [001] oriented trilayer. The number of unit cells in the x (vertical) and y (transverse) directions are varied to study the role of width and height on strain relaxation. The atomic positions of the bottom Si layer are kept fixed. The energy gap at the gamma point changes from 1.688 eV to 1.38 eV as the Ge height varies from 1 nm to 5 nm, due to decreasing quantum confinement. The observed atomistic strain profiles of Si/Ge/Si trilayer are compared with experimental and molecular dynamics results. Hydrostatic strain varies dramatically at the Ge/Si interface, rapidly changing from tensile in the interior of Si layer to compressive in the Ge inner layer. The in-depth analysis of the strain and electronic properties of Si/Ge/Si trilayer as a function of Ge layer height and width is necessary for device design and optimization. This work is supported by the National Science Foundation (NSF) under Award No. DMR-807232. We thank Dr. G. Klimeck and NCN/nanohub.org for providing NEMO3D. Sandia is a multiprogram laboratory operated by Sandia Corporation, a Lockheed Martin Corporation, for the United States Department of Energy under Contract No. DEAC04- 94AL85000.
9:00 AM - AA6.30
Raman Spectroscopy on GaAs/GaP Heterostructure Nanowires
Yuda D Wang 1 Mohammad Montazeri 1 Leigh Morris Smith 1 Howard E Jackson 1 Jan Yarrison-Rice 2 Jung H Kang 3 Qiang Gao 3 Hark H Tan 3 Chennupati Jagadish 3
1University of Cincinnati Cincinnati USA2Miami University Oxford USA3Australian National University Canberra Australia
Show AbstractWe use Raman scattering to study the spatially-resolved strain and stress properties of axial GaAs/GaP heterostructured nanowires at room temperature. The nanowires are grown by MOCVD (Metal-Organic Chemical Vapour Deposition) in the [111]B direction with Au nano particles as catalysts. A GaP wire was grown first, and then the growth of GaP stopped and the GaAs part of the wire was grown on the top of GaP. During this latter growth, a thin shell of GaAs layer was formed around the bottom GaP section. From TEM images, we can clearly see the sharp interface in the wires of typical diameter of 100 nm and 10 micron length. HRTEM micrographs and SAED (Selected Area Electron Diffraction) patterns show a zinc blende structure with occasional twin defects. The lattice mismatch between GaAs and GaP is ~3.54% with GaAs having larger lattice constant. Thus at those positions in the nanowire close to the interface, GaAs is under compression while GaP is under tension. For the GaAs thin shell around GaP, SAED data shows that the lattice mismatch between GaAs and GaP in the growth direction [111] is 0.75%, which means the GaAs is compressed in this direction. From SAED data, for directions perpendicular to [111], the lattice mismatch is 3.4%, which means the stress in GaAs shell perpendicular to the growth direction is not fully relaxed. Since Raman spectroscopy reflects the phonon energies that are in turn related to the stress, we use various polarization setups to acquire the LO (Longitudinal Optical) and TO (Transverse Optical) modes of GaAs and GaP. Two different excitation sources (Nd:YAG 532 nm and He-Ne 632 nm) are used to maximize the signals from either GaAs or GaP. From the spatially scanned data along the nanowires, one observes that the stress is relieved as one goes further from the interface. The GaP TO mode phonon energy increases when the Raman data is obtained further from the interface, which means the tensile stress in the GaP wire is decreasing. Also, the stress change across the interface and stress gradient along the GaP/GaAs core/shell section or bare GaAs section of the nanowire can be quantitatively analyzed and the corresponding tuning of the electronic structure can be understood. We acknowledge the financial support of the National Science Foundation through Grants DMR-0806700, 0806572, 1105362, 1105121, and ECCS-1100489, and the Australian Research Council. The Australian National Fabrication Facility is acknowledged for access to the growth facilities used in this research.
9:00 AM - AA6.31
Investigation of Electronic Band Structure in Wurtzite InP Nanowires
Saranga Perera 1 Kuranananda Pemasiri 1 Melodie Fickenscher 1 Howard E Jackson 1 Leigh Morris Smith 1 Jan Yarrison-Rice 2 Suriati Paiman 3 Qiang Gao 3 Hark H Tan 3 Chennupati Jagadish 3
1University of Cincinnati Cincinnati USA2Miami University Oxford USA3Australian National University Canberra Australia
Show AbstractWe use photoluminescence excitation (PLE) spectroscopy to investigate the electronic band structure of wurtzite InP nanowires (NWs) at low temperature (10 K) with nominal diameters of 50 and 100nm, along with time-resolved photoluminescence (TRPL) and CW photoluminescence (PL). The NWs were prepared by Au catalyst-assisted MOCVD growth with a 420C growth temperature and a precursor flow rate of PH3 to TMIn ratio (V/III ratio) of 700. A tunable Titanium-Sapphire laser was used to excite single nanowires. PL from all NWs show a dominant defect line around 835~840nm (1.484~1.475eV) so that the free exciton line cannot be observed at the expected energy of 824nm (1.504eV). Power dependent and time-resolved PL measurements confirm that the observed PL does not come from type-II ZB/WZ InP transitions, but originates from possible phosphorous antisite defects resulting from the high V/III ratio. The TRPL measurement on the 100nm diameter NW exhibits short-lived band edge emission at 1.504 eV which rapidly relaxes to the defect emission within 50 ps. PLE measurements are implemented by monitoring the defect line as a function of laser energy. A low-pass filter (830nm) is used to insure that only the defect emission line is detected by removing the laser line. PLE spectra show three main peaks for band-to-band transitions between the A, B and C hole bands to conduction band at energies of 1.504, 1.534 and 1.665eV in the 100nm diameter NW sample. Polarized PLE measurements probe the optical selection rules for these band-to-band transitions which are expected not to be isotropic in contrast to zinc blende InP. We will extend these PLE measurements to probe transitions between the A, B and C valence bands to attempt to observe the recently predicted higher lying conduction band. We acknowledge the financial support of the National Science Foundation through Grants DMR-0806700, 0806572, 1105362, 1105121, and ECCS-1100489, and the Australian Research Council. The Australian National Fabrication Facility is acknowledged for access to the growth facilities used in this research.
9:00 AM - AA6.32
Low Temperature Photocurrent Spectroscopy of a Single Zinc Blende GaAs Nanowire
Bekele B Badada 1 Howard E Jackson 1 Leigh Morris Smith 1 Jan Yarrison-Rice 2 Jung H Kang 3 Qiang Gao 3 Hark H Tan 3 Chennupati Jagadish 3
1University of Cincinnati Cincinnati USA2Miami University Oxford USA3Australian National University Canberra Australia
Show AbstractPhotocurrent spectroscopy on single nanowires can provide insight into the absorption and density of states in the nanostructure. Here we investigate the band structure of GaAs nanowires using photocurrent spectroscopy. The GaAs nanowires used in this study were grown by the MOCVD method on a (111-B)-oriented substrate using a two temperature growth procedure that results in twin free cubic zinc blend nanowires with diameter of 100nm. Single nanowires devices were fabricated using photolithography followed by deposition of a Ti (20nm)/Al (200nm) metal film to make source and drain contacts across the nanowire. I-V measurements were taken both under dark and white light suggest that the contacts for these devices were nearly homic. The photocurrent measurement is performed using a tunable CW Ti-Sapphire laser with wavelength between 775-850nm for both parallel and perpendicular polarization at 12K. From the measurement we observed polarization anisotropy of ~0.4 resulting from the dielectric contrast between the nanowire and its surrounding environment. For each wavelength I-V measurements were taken for source-drain bias from -10V to 10V. At room temperature, we observe an exponential tail near the absorption edge ~1.42 eV that corresponds to the Urbach tail. At low temperatures, a peak was observed near the band edge ?1.515-1.52eV in a plot of photocurrent vs. excitation energy suggesting an excitonic resonant enhancement to the absorption. From measurements of the photocurrent one can make estimates of the absorption strength. We acknowledge the financial support of the National Science Foundation through Grants DMR-0806700, 0806572, 1105362, 1105121, and ECCS-1100489, and the Australian Research Council. The Australian National Fabrication Facility is acknowledged for access to the growth facilities used in this research.
9:00 AM - AA6.34
Magnetotransport Properties and Kondo Effect Observed in a Ferromagnetic Single Crystalline Fe1-xCoxSi Nanowire
Sunghun Lee 1 2 Juneho In 1 Jinhee Kim 2 Bongsoo Kim 1
1KAIST Daejeon Republic of Korea2KRISS Daejeon Republic of Korea
Show AbstractWe report unconventional magneto-transport properties of an individual Fe1-xCoxSi nanowire. Below the Curie temperature (TC), we have studied dependence of the resistivity on the angle between the directions of the magnetization and electrical current. Observed anisotropic magnetoresistance (MR) ratio is negative, indicating that the conduction electrons in a minority spin band of the Fe1-xCoxSi nanowire dominantly contribute to the transport. Unlike typical ferromagnets, positive MR is observed in the overall temperature range. MR curves are linear below TC, and show a quadratic form above TC, which can be explained by the change of density of states arising from the band structures of Fe1-xCoxSi nanowire shift under a magnetic field. The temperature dependence of the resistivity curve is well explained by the Kondo effect. The Kondo temperature of a Fe1-xCoxSi nanowire is lower than that of the bulk state due to suppression of Kondo effect. High single-crystallinity of Fe1-xCoxSi nanowires allowed us to observe and interpret quite subtle variation of prominent intrinsic transport properties.
9:00 AM - AA6.35
Properties of ZnO and PbS Nanowires Grown by Sonochemical Methods
Syamanta Goswami 1 Byung Woo Lee 1 Eunsoon Oh 1
1Chungnam Natl Univ Daejeon Republic of Korea
Show AbstractZnO and PbS nanowires were synthesized on Si substrates under various growth conditions by sonochemical method, and the effects of these growth conditions were systematically studied. Both transmission electron microscopy (TEM) and selected area electron diffraction indicate the ZnO nanowires are single crystalline. In the low-temperature photoluminescence spectra of ZnO, we often observed a PL peak at 3.331 eV in addition to a donor-bound exciton peak. The PL peak at 3.331 eV appeared for samples with diameters of ~50 nm, and disappeared for samples with larger diameters of ~100 nm. This suggests that the peak is associated with the larger surface, consistent with an exciton localized at the surface. We discuss the effects of the mole concentrations of the precursors on the average lengths and diameters of the nanorods. PbS nanowires were grown by hydrothermal process as well as by sonochemical method and their structural properties were studied by x-ray diffraction (XRD) and scanning electron microscopy (SEM). PbS grown by sonochemical method typically contained micro-crystals as well as nanowires, whereas PbS by hydrothermal process using polymers was predominantly nanowires with an average diameter of 20 nm.
9:00 AM - AA6.36
Thermoelectric Power Measurement of Catalyst-free Si-doped GaAs Nanowires
Masahito Yamaguchi 1 JiHyun Paek 1 Hiroshi Amano 1 2
1Nagoya University Nagoya Japan2Nagoya University Nagoya Japan
Show AbstractCompound semiconductor nanowires (NWs) have a great potential for electronic and/or optical devices. Furthermore, NWs have been extensively studied by thermoelectric power measurement owing to their higher thermoelectric figure of merit: ZT, than the bulk [1]. The carrier density of NWs could be estimated from their Seebeck coefficient. In our previous work, we succeeded in the growth of Ga-assisted catalyst-free GaAs NWs on (111)Si substrate by MBE-VLS method [2]. For the applying these GaAs NWs to the devices, we attempted to grow Si-doped GaAs NWs. However, it is difficult to estimate the carrier density in the NWs. Therefore, we employed the thermoelectric power measurement for the estimation of carrier density. The thermoelectric power of catalyst-free GaAs NWs has not been reported yet. In this study, we determined the thermoelectric power of catalyst-free Si-doped GaAs NWs grown on a Si substrate by MBE-VLS method. The Si-doped GaAs NWs were grown at 580oC on (111)Si substrate for 90 min. The average length and diameter of the NWs from scanning electron microscopy images were about 35 ?m and 60-200 nm, respectively. The carrier density of the Si-doped (001) GaAs layer was n=7.9×1018 cm-3, determined by Hall measurement. Photolithography and electron beam lithography (EBL) were used to fabricate the contact pads and electrodes, respectively. The sample was dipped in isopropyl alcohol where the GaAs NWs were dispersed by ultrasonic cleaning. After EBL for the electrode pattern, a Ni/Pd/Au (80 nm/120 nm/200 nm) metal layer was deposited. The sample was also annealed at 300oC for 1 min. The electrical characteristics of the GaAs NWs were measured by semiconductor parameter analyzer. A joule heater is arranged near the tip of NW for making the gradient of substrate temperature. Two electrodes showed ohmic contact. The obtained Seebeck coefficient of the GaAs NW increases linearly with a rise in temperature. Therefore, the thermoelectric power of the Si doped GaAs NW is ruled by the hole diffusion. It was estimated that the hole density in the Si-doped GaAs NW at room temperature was 5.9×1018 cm-3 from the slope of the temperature dependence of the Seebeck coefficient in the Si-doped GaAs NW [3]. At the room temperature, the Seebeck coefficient, thermoelectric power factor, and ZT were 429 ?V/K, 271?W/mK2, and 1.5×10-3, respectively. This work was partly supported by the Grant-in-aid for Scientific Research (KAKENHI) No. 23510148 of Japan society for the Promotion of Science. [1] N. Mingo, Appl. Phys. Lett., 84, 2652 (2004). [2] J.H. Paek, et al., phys. Stat. sol. (c) 6, 1436 (2009). [3] C.-H. Lee, et al., Appl. Phys. Lett., 94, 022106 (2009).
9:00 AM - AA6.37
Enhanced Photodetection of Large-scale SiNWs Array with Crystalline CdS Quantum Dot by Ion Exchange
JeeHee Lee 1 3 Kyung-Sang Cho 2 Eun Kyung Lee 2 Byoung Lyong Choi 2 Jong Min Kim 2 Sung Woo Hwang 3 4 Dong Mok Whang 1 3
1Sungkyunkwan University Suwon Republic of Korea2Samsung Advanced Institute of Technology, Samsung Electronics Yougin Republic of Korea3Korea University Seoul Republic of Korea4Korea University Seoul Republic of Korea
Show AbstractRecently there has been significant research for photodetectors, which are made of silicon nanowires (SiNWs). Silicon (Si) is the most important material in nanoscale optoelectronics because of their many advantages like simple fabrication, large reserves and low cost. But this material has fatal limit as photodetectors. That's just Si can rarely absorb UV and visible region owing to indirect 1.1eV band gap.[1][2] On the other hand, SiNWs have higher sensitivity to visible light. They exhibit behavior of wide band gap materials due to unique properties of them. Large-scale vertical SiNWs array with crystalline CdS QD can be more attractive photodetectors in UV and visible light because of optical properties of CdS QD. Large-scale vertical SiNWs array is fabricated by Metal-assisted Chemical Etching Method.[1][3] Ag particles embedded in surface of SiNWs are substituted for crystalline CdS quantum dots(QDs) by ion exchange.[4][5] There are no current losses caused by kinetic barrier and polymer shell of normal CdS QD deposit method through ion exchange. Our research suggest that large-scale vertical SiNWs array with crystalline CdS QD by ion exchange can show the great promise in photodetectors of high sensitivity. Reference [1] Hui Fang et al. Nanotech. 17, 3768, 2006 [2] O. M. Nayfeh et al., IEEE. 16, 1927, 2004 [3] Ming-Liang Zhang et al. J. Phys. Chem. C, 112, 4444, 2008 [4] Jiatao Zhang et al., Science, 327, 1634, 2010 [5] Jiatao Zhang et al., Nanoletters, 9, 4061, 2009
9:00 AM - AA6.38
Effects of Geometrical Shapes of Electrodes on the Electric Contact Resistance of ZnO Nanowire Transistor
Jae-Sung Kim 1 Yong-Hee Choi 1 Junhong Na 1 Minju Shin 1 Man-Joong Han 1 Gyu Tae Kim 1
1Korea University Seoul Republic of Korea
Show AbstractZnO (zinc oxide) has many advantages as electronic devices such as large direct band gap, large exciton binding energy, high electron mobility and good transparency, which will be beneficial for transistors and light-emitting diodes. The main advantages of nanowires fabricated by top-down processes instead of bottom-up ways are high level of precision, good reproducibility, high throughput and controllable shape. Our main idea was to elucidate the origin of the high resistance in synthesized nanowires by comparing the contact resistance of various shapes of contacts on the top-down fabricated ZnO nanowires. Herein, the ZnO nanowire transistors with different channel lengths and different angles of triangular contact areas were fabricated by selectively etching of ZnO thin film synthesized by the CVD (Chemical Vapor Deposition) process. By measuring the resistance with the channel length and comparing with the 4-probe method, the contact resistances were extracted, showing the significant influence of the shapes of the contacts such as spreading resistance. With similar geometric lengths of the channel but with different contact shapes, the optimized geometric shapes of the ZnO nanowire FETs could be optimized.
9:00 AM - AA6.4
Alpha Phase Samarium Sesquisulfide Nanowires: Synthesis, Thermal, and Electrical Properties
Christopher Mark Marin 1 Hsin-Yu Liu 1 Michael S Thompson 1 Chin Li Cheung 1
1University of Nebraska-Lincoln Lincoln USA
Show AbstractNanostructuring of semiconducting materials has been reported as a means to dramatically improve the thermoelectric efficiency of a material as compared to its bulk counterpart by increasing the scattering of phonons. However, such morphological adaptation may increase the surface-to-volume ratios of the materials and thus reduce their melting points. This undesirable consequence limits the effectiveness of these materials for high temperature thermoelectric applications such as in the thermopiles used for powering deep space probes. Samarium sulfides are a class of materials of interest due to their high bulk melting points (>1500°C) and excellent high temperature bulk thermoelectric efficiencies. Here, we report the synthesis of highly-crystalline ?-phase samarium sesquisulfide (?-Sm2S3) nanowires by chemical vapor deposition. Electron microscopy and x-ray diffraction study of the as-synthesized nanowire product verifies the crystal structure of these materials and reveals bundle-like organization of the nanowires with lengths of up to 15 micrometers and diameters of ca. 20 nm. Selected area electron diffraction study indicates that these nanowires have a [010] growth direction. The band gap of the as-synthesized product was measured by ultra violet-visible-mid infrared spectroscopy to be 1.7 eV, which matches closely with that of bulk ?-Sm2S3. The melting point, thermal, and electrical properties of the as synthesized samples were characterized and related back to the observed nanostructural morphology.
9:00 AM - AA6.40
Characterization of the Interface between GaAs Nanowires and a Si-111 Substrate
V. T. Fauske 1 A. M Munshi 2 D. L Dheeraj 2 D. C Kim 2 B. O Fimland 2 H. Weman 2 A. T van Helvoort 1
1Norwegian University of Science and Technology Trondheim Norway2Norwegian University of Science and Technology Trondheim Norway
Show AbstractIn recent years there has been a lot of activity on the synthesis of III-V semiconductor nanowires (NWs). To enable device applications in this field, there has recently been a successful move to grow different III-V semiconductor NWs on Si. However, due to the lattice mismatch between most III-V semiconductors and Si, defects may be formed in the interfacial area. It is thus essential to verify the atomic structure at the interface as well as to understand both the causes and consequences of possible defects, as these could become the limiting factor for achieving device implementations. Here, the interface between zinc blende (ZB) GaAs nanowires (NWs) and a Si-111 substrate was studied. NWs were grown by self-catalysis by molecular beam epitaxy on both bare Si and patterned Si/SiO2 substrates. The purpose of the work was to determine if any defects (stacking fault, twins, or misfit dislocations), different crystal phases, or other irregularities were present at the interface. Cross-sectional transmission electron microscopy specimens were prepared using focused ion beam (FIB), ultra-microtomy and micron scale mechanical cleavage. By comparing results from different sample preparation techniques, artifacts of the preparation could be identified and ruled out. High quality specimen preparation by FIB gave site specific cross-sections essential for the detailed study of the interface of positioned NWs grown on patterned substrates. The NWs were found to grow epitaxially normal to the Si surface, with {1-10} side facets and hexagonal cross-sections. Adjacent (5 - 15 nm) to the substrate in the NWs, a region was observed where it is thought that most of the mismatch strain is relaxed, mainly through the introduction of twinning planes. In some NWs an amorphous layer was observed on one side of the interfacial area between the substrate and the NW. For these NWs the epitaxial contact area with the substrate is smaller than the NW diameter. Parasitic ZB GaAs crystal growth on the substrates was also studied. Twinning planes within the crystals and localized epitaxial contact points could be identified. Further work will focus on adapting the growth parameters in order to reduce the extent of defects and their effect on the opto-electrical properties of NW-based devices.
9:00 AM - AA6.41
Trap-assisted Tunneling in Si-InAs Nanowire Tunnel Diodes
Cedric Bessire 1 2 Mikael T Bjouml;rk 1 Heinz Schmid 1 Andreas Schenk 2 Kirsten E Moselund 1 Hesham Ghoneim 1 Heike Riel 1
1IBM Research Zurich Rueschlikon Switzerland2ETH Zuuml;rich Switzerland
Show AbstractWe report on the electrical characterization of one-sided p+-Si/n-InAs nanowire heterojunction tunnel diodes to provide insight into the tunnel process occurring in this highly lattice mismatched material system. This lattice mismatch gives rise to dislocations at the interface as confirmed by electron microscopy. In spite of this, a negative differential resistance with peak-to-valley ratios of up to 2.4 at room temperature and with large current densities is observed, attesting to the very abrupt and high quality interface. The presence of the dislocations and other defects that increase the excess currents is evident in the first and second derivative of the I-V characteristics as distinct peaks arising from trap-and phonon-assisted tunneling via the corresponding defect levels. We observe this tunneling mainly in the forward direction and at low reverse bias, but not at higher reverse biases because the band-to-band generation rates are peaked in the InAs, which we also confirm by modeling. This indicates that most of the peaks are due to dislocations and defects in the immediate vicinity of the interface. Finally, we also demonstrate that these devices are very sensitive to electrical stress, in particular at room temperature, because of the extremely high electrical fields obtained at the abrupt junction even at low bias. The electrical stress induces additional defect levels in the band gap, which reduce the peak-to-valley ratios.
9:00 AM - AA6.42
Measuring Intrinsic Dipole of Individual ZnO Nanowires
Wen Fan 1 2 Heng Pan 1 Jie Ji 2 Jinbo Cao 1 Joanne Yim 1 Junqiao Wu 1
1UC Berkeley Berkeley USA2University of Science and Technology of China Hefei China
Show AbstractThis paper presented a new and effective technique for investigating the intrinsic dipole of pristine ZnO nanowires via electrostatic experiments. An intrinsic dipole induced by surface reconstruction in individual pristine ZnO nanowires was determined by measuring and analyzing their bending asymmetry behaviors in an electrostatic field, together with the intrinsic polar dipole induced by Zn-layer/O-layer arrangement.The intrinsic dipole was extracted by analyzing the different bending angles of ZnO nanowire cantilevers under experiment conditions of both positive and negative bias using COMSOL. It was found that the intrinsic dipole scales proportionally with both the length and diameter (sidewall-area) of the nanowires, which indicates the existence of surface reconstruction dipole effect. This effect improves the understanding of electrical/magnetic properties of ZnO nanowires, and the proposed experiment technique is useful in studying the intrinsic dipoles of other wurtzite nanomaterials as well.
9:00 AM - AA6.43
Using Field-flow Fraction to Characterize Gold and Silver Nanorods and Nanowires
Soheyl Tadjiki 1 Trevor Havard 1
1Postnova Analytics Salt Lake City USA
Show AbstractNanoparticles cover a variety of materials with a wide size range. Therefore their characterization using the conventional methods such as light scattering or electron microscopy proves to be a very difficult task. The size reported for nanoparticles is often an average value and does not represent the heterogeneity of the sample. Field-Flow Fractionation (FFF) is a chromatography-like technique, which uses an open, thin channel for separation of colloids and particles ranging from 1 nm to 40 ?m in diameter. In FFF an external physical force is applied for separation. The particles interact with the applied force at different extents and hence are separated by size, mass, charge etc. based on the type of the applied force. The FFF theory is well developed and the size distribution of particles can be calculated from first principles using elution time. The separation can easily be verified by examining fractions using other analytical techniques. In this presentation some of the advantages of FFF for characterization of nanoparticles will be discussed. Some commercial Gold nanorods with different aspect ratios were separated and characterized using Sedimentation FFF. The results showed a successful separation for rods having the same lengths but different thicknesses. The Sedimentation FFF was also successfully used to separate and characterize Silver nanowires with respect to their lengths.
9:00 AM - AA6.44
Thermal Conductivity Measurements of Suspended Few-layer Hexagonal Boron Nitride
Insun Jo 1 Michael T Pettes 2 Kenji Watanabe 3 Takashi Taniguchi 3 Zhen Yao 1 Li Shi 2
1The University of Texas at Austin Austin USA2The University of Texas at Austin Austin USA3National Institute for Materials Science Tsukuba Japan
Show AbstractHexagonal boron nitride (h-BN) has attracted much attention recently because of its potential applications in optoelectronics and electronics. In particular, few nanometer thick h-BN layers have been explored as an underlying substrate to realize high-mobility graphene devices. Moreover, because of a similar crystal structure as for graphene, h-BN is expected to possess exceptional thermal and mechanical properties in this large band gap material. Here, we report a combined thermal conductivity and structure characterizations of few layer exfoliated h-BN crystals with the use of sensitive suspended resistance thermometer micro-devices and transmission electron microscopy (TEM). The measurement was made possible by our recent establishment of a highly effective aligned assembly method for suspending individual nanostructures on pre-fabricated micro-devices and making clean electrical contact to the samples. This method has allowed us to characterize thermal transport in suspended exfoliated few layer h-BN crystals of a lateral dimension as large as 7.5 micrometers in the temperature range between 4 and 500 K. The measured thermal conductivity in a few-layer h-BN sample reaches about 900 W/m K at near room temperature, which is about a factor of two higher than the highest reported value for bulk h-BN, making the few-layer h-BN one of the best heat spreading dielectric layers. For this sample, the peak thermal conductivity value was found at around 250K, above and below which the thermal conductivity decreases because of lattice anharmonicity and phonon-boundary scattering, respectively.
9:00 AM - AA6.5
Synthesis of Silver Coated Gallium Oxide Nanowires and Their Application to SERS Sensing
Madhu H Gowda 1 Orest J Glembocki 1 Sharka M Prokes 1 Hua Qi 1
1Naval Research Laboratory Washington DC USA
Show AbstractWe have demonstrated a simple and fast approach for silver coating the gallium oxide nanowires (NWs) using ultra-violet light. In this method, gallium oxide NWs along with the silver nitrate solution was exposed to UV-light for a fraction of time in a small quartz cuvette. By varying the concentration of silver nitrate solution and the exposure time, it was possible to uniformly coat gallium oxide NWs. The advantage of this method compared to E-beam or Electroless method is that in this method it is possible to coat the NWs from all four sides and also form clusters of NWs when deposited on the surface of a substrate. Furthermore, we have also investigated the plasmonic behavior of these NWs using Surface Enhanced Raman Spectroscopy (SERS). By using 785nm laser excitation and benezenthiol as a test molecule, we have presented the plasmonic response of silver coated gallium oxide NWs. Benezenthiol forms a self-assembled manolayer on the silver coated gallium oxide NWs and enhances the SERS signal. We were able to obtain a good SERS response of a laser power of 7.5mW and an integration time of 5s. These results will be compared to the SERS results obtained from silver coated gallium oxide NWs obtained from E-beam and Electroless method.
9:00 AM - AA6.7
Effect of Grain Size and Channel Length on Mobility and Transfer Characteristics of Lithographically Patterned Polycrystalline CdSe Nanowires
Talin Ayvazian 1 Wenbo Yan 2 Reginald M Penner 1 2
1University of California, Irvine Irvine USA2University of California, Irvine Irvine USA
Show AbstractOne-dimensional II-VI semiconductor nanostructures have attracted great interest in novel electronic and optoelectronic devices based on their specific geometries and distinct properties in past decades. Among these nanostructures CdSe nanowires exhibit unique physical and electrical properties and are promising for broad applications in nanoelectronics and optoelectronics including photodetectors, light emitting diodes, field effect transistors and photovoltaic solar cells. However, present fabrication challenges in obtaining high quality nanowires with high reproducibility and reliability in electrical properties have restricted the industrial applications of these nanostructures. We employed a unique fabrication process based on photolithography to pattern highly ordered arrays of CdSe nanowires. This method, which is called LPNE (Lithographically Patterned Nanowire Electrodeposition), enables us to fabricate nanowires with controllable dimensions over large substrate areas. Back gate CdSe nanowire field effect transistors (NW-FET) have been fabricated to investigate the effect of grain size and channel length on transfer characteristics of these polycrystalline nanowires. Electrical Characterization of NW-FET revealed n-type semiconducting behavior of CdSe nanowires. Exposing nanowires to methanolic CdCl2 followed by thermal annealing increased the grain size from 10 nm to 80 nm and caused phase transformation from cubic to wurtzite structures. Transfer characteristic measurements showed an increase of on current (Ion) and field effect mobility (µeff) by an order of magnitude from 1.94×10-4cm2/V.s to 23.4×10-4cm2/V.s due to this grain growth. Correspondingly, the threshold voltage shifted toward lower values from 20V to 5V.We also studied channel length dependency on transfer properties of CdSe NW-FET. On current and mobility reduced as channel length increased which is attributed to formation of less conductive channels by increasing the length. We believe that fundamental understanding of the size and channel length dependent transport properties of CdSe nanowires will advance the applications of these materials in novel electronic and optoelectronic devices.
9:00 AM - AA6.8
Comparison Study between Antibody and Aptamer Immobilized ZnO Nanowire Biosensors toward Real Time Label Free Detection of Liver Cancer
Yeon Ho Im 1 Jintae Kim 1 Rizwan Khan 1 Deepti Sharma 1 Ayeong Gu 1 Junggeun Song 1 Jihye Seo 1
1Dept of Earth and Envron Sci Jenju Republic of Korea
Show AbstractNanoscale biosensors are emerging as one of the most impressive platforms for specific identification of biomolecules in the life sciences, with the nanotechnology revolution up to the scale that concert with the biological system. To date, most of reports for the nanoscale biosensors have been based on antigen-antibody interactions. However, it can be expected that aptamer immobilized biosensors can give us more effective detection characteristics than antibody based biosensors due to their smaller size as compared to that of antibody generally. As a part of efforts to verify it, we have fabricated amino functionalized a-C/ZnO core-shell nanowire field-effect transistors (FETs) as novel biosensor platform for the detection of liver cancer antigen. For the label free detection of alpha-fetoprotein (AFP) as liver cancer marker, two different types of biosensors were demonstrated by immobilizing specific AFP antibody and aptamer on the a-C/ZnO core-shell nanowire surface, respectively. Furthermore, various surface kinetic aspects for antibody-antigen and aptamer-antigen interactions were investigated using the biomolecules immobilized nanowire FETs with electrolyte gate configuration. Finally, total performance of antibody and aptamer immobilized nanowire biosensors will be discussed in this work.
9:00 AM - AA6.9
Electron-phonon Scattering in Silicon Nanowires
Yann-Michel Niquet 1 Christophe Delerue 2 Denis Rideau 3
1INAC/SP2M/L_Sim Grenoble France2IEMN Lille France3ST Microelectronics Grenoble France
Show AbstractWe discuss electron-phonon and hole-phonon scattering in silicon nanowires with diameters in the 1-10 nm range, within a fully atomistic framework: we use a sp3d5s* tight-binding model for the electrons [1] and a valence force field model for the phonons [2]. The low-field mobility of the nanowires is computed with Boltzmann equation, taking all possible couplings between electron bands and phonon modes into account. In bulk silicon, we recover with this approach the experimental electron mobility ? = 1400 cm2/V/s and its temperature dependence. We show that the electron-phonon interaction is a major source of scattering in sub 10 nm silicon nanowires, whatever their orientation: In [001] nanowires for example, the electron mobility is reduced by more than 70% for diameters d5 nm, and by around 20% for d = 10 nm. The best electron mobilities are actually achieved in [110] nanowires with diameters d5 nm, and in [001] nanowires above. At variance with electrons, the hole-phonon interaction is really limiting the mobility in [001] nanowires only ; the hole mobility can even be enhanced (with respect to bulk) in [110] and [111] nanowires, with a peak around d = 3.5 nm. We discuss the physics behind these trends. We also analyze the dependence on the mobility on the carrier density, and the effects of strains on the mobility in silicon nanowires. [1] : Y. M. Niquet, D. Rideau, C. Tavernier, H. Jaouen, and X. Blase, Phys. Rev. B 79, 245201 (2009). [2] : D. Vanderbilt, S. H. Taole, and S. Narasimhan, Phys. Rev. B 40, 5657 (1989).
AA4: Nanowire and Nanotube Growth III
Session Chairs
Wednesday AM, April 11, 2012
Moscone West, Level 3, Room 3004
9:30 AM - AA4.2
Periodic Diameter Modulation and Crystal Phase Changes in Thin InP Nanowires: The Role of Catalyst Instabilities
Douglas S Oliveira 1 Luiz Henrique G Tizei 1 2 Daniel Ugarte 1 Monica Alonso Cotta 1
1UNICAMP Campinas Brazil2Universiteacute; Paris-Sud, CNRS-UMR 8502 Orsay, 91405 France
Show AbstractSemiconductor nanowires (NWs) have gained a lot of attention over the past decade due to their unique geometry, properties and almost unlimited possibilities for more complex configurations. Many authors assume that the growth of nanowires using the metal catalyst particle is initiated by the nucleation at the vapor-liquid-solid (VLS) interface (TPL), with the nanoparticle (NP) kept stable on top of the nanowire. However, recent works have proposed VLS growth with the nanoparticle "wetting" the nanowire sidewalls. In this work we investigate possible instabilities of InP NW grown under large trimethylindium (TMI) flows by Chemical Beam Epitaxy. Previously, we have shown that roughening of the metal-semiconductor interface can take place for InP NWs grown under large TMI flows. Moreover, under these conditions a large increase of polytypic behavior - a mix of both wurtzite (WZ) and zincblend (ZB) structures in alternating segments - was observed. In this case, the transition from WZ to ZB creates stacking faults (SFs) commonly found in III-V NWs grown in the [111] direction by VLS. In this work, we show that NW growth under large TMI flows and using 5-10nm NPs give rise to periodic variations of the diameter along the NW length; a three-fold increase in NW diameter is observed in very short regions, typically 50 nm long. Ex situ electron microscopy clearly indicates changes in metal contact angle and contact area along the nanowires. Moreover, regions where NW diameter varies are associated with the formation of SFs and ZB segments. We attribute this behavior to the instability of the metal catalyst on top of the NW created by kinetically-driven roughening on the TPL and further semiconductor sidewall wetting by the NP. We also show that it is possible to control the spatial frequency of the diameter oscillations by tuning the growth conditions, in particular TMI flow.
9:45 AM - AA4.3
Bi-directional Growth of Zn-doped InP Nanowires by Selective-area MOVPE
Keitaro Ikejiri 1 Katsuhiro Tomioka 1 2 Takashi Fukui 1
1Hokkaido Univ. Sapporo Japan2PRESTO Kawaguchi Japan
Show AbstractIndium phosphide (InP) -based nanowires are promising for high-speed electron, optoelectronic, and photovoltaic devices because of their superior material properties and the possibility of developing various kinds of heterostructures. One of the key issues in realizing such applications is controlling the shapes and crystal structures. We have been studying InP nanowires achieved by catalyst-free growth of selective-area metalorganic vapor phase epitaxy (MOVPE) using InP (111)A substrates. However, by using a vapor-liquid-solid (VLS) method, InP nanowires have been formed on InP (111)B substrates. Therefore, InP nanowire growth has a "bi-directional" property which is not yet clearly understood. Furthermore, no systematic approaches have been available for understanding the effects of doping on nanowire formation until now. In this study, we investigated the dependence of Zn-doping on InP nanowire growth in order to clarify these issues. InP (111)A and (111)B substrates with periodical openings of a SiO2 mask layer were used as a template for the selective-area MOVPE. We used trimethylindium (TMIn) and tertiallbutylphosphine (TBP) as a source material of InP, and diethylzinc (DEZn) as a p-type dopant. We defined a partial pressure ratio of DEZn to TMIn as a II/III ratio. The II/III ratio was varied from 0 to 2.2 in this experiment. Grown structures were analyzed by scanning electron microscopy (SEM) , which revealed that tapered nanowires were obtained at a low II/III ratio on InP (111)A. Tapered InP nanowires have a polytypic superlattice structure of zinc blende (ZB) and wurtzite (WZ), as we reported previously [1]. Moreover, the tapered angle simply reflects the mixing ratio of ZB and WZ. Using this model, we estimated the mixing ratio of the WZ layers at 61% and 75% at a II/III ratio of 0 and 0.3, respectively. These results indicate that the existence of a Zn precursor enhances the transition of the crystal structures from ZB to WZ. The reason for this phenomenon is the decrease in the effective V/III ratio with increasing partial pressure DEZn ([DEZn]), because Zn and In precursors are competitively adsorbed on group III lattice sites. On the InP (111)B substrates, we obtained tilted nanowires that had three-fold symmetric [111]A directions at low II/III ratio (0.5) conditions on (111)B, while hexagonal pillar structures with vertical sidewalls were formed at a high II/III ratio (= 2.2); that is, the growth direction was <111>B, which is similar to VLS growth. These results indicate that the "bi-directional" behavior, in which the hexagonal pillar structures grow toward completely opposite directions, is observed depending on [DEZn]. That means we can obtain InP vertical nanowires in non-doped and heavily-doped conditions on (111)A and (111)B substrates, respectively. The next objective is to propose a new growth mechanism for bi-directional growth of nanowires. [1]K. Ikejiri et al., Nano Lett. 11 (2011) 4314.
10:00 AM - AA4.4
Zinc Blende and Wurtzite GaAs Nanowires Grown by Self-catalyzed Molecular Beam Epitaxy
Abdul Mazid Munshi 1 Dheeraj L Dasa 1 Jelena Todorovic 2 Antonius T. J. van Helvoort 2 Bjoslash;rn-Ove Fimland 1 Helge Weman 1
1Norwegian University of Science and Technology Trondheim Norway2Norwegian University of Science and Technology Trondheim Norway
Show AbstractSelf-catalyzed vapor-liquid-solid growth of GaAs nanowires (NWs) has drawn a significant attention during the last few years [1, 2]. The self-catalyzed GaAs NWs, grown by molecular beam epitaxy (MBE), are found to be zinc blende (ZB) in crystal phase in contrast to the wurtzite (WZ) crystal phase commonly found in GaAs NWs grown by Au-assisted MBE. Up to now, no WZ NWs or WZ/ZB heterostructures have been reported for GaAs NWs grown by the self-catalyzed MBE technique. Here we report the growth of pure ZB and WZ crystal phases in self-catalyzed GaAs NWs grown on Si(111) substrates by MBE. Firstly, to study the growth kinetics, GaAs NWs were grown with axial ZB GaAsSb inserts. Interestingly, the crystal phase of the GaAs NWs with GaAsSb insert have been observed to change from ZB phase before the insert, to pure WZ phase after the insert. The results obtained here indicate that the change in crystal phase is related to the change in Ga-droplet contact angle and the effective V/III flux ratio around the Ga particle. This understanding has enabled us to successfully achieve the WZ crystal phase in MBE grown self-catalyzed GaAs NWs directly on Si substrates, by systematically tuning the growth parameters. This finding has the potential to form crystal phase superlattices in self-catalyzed GaAs NWs grown on Si. References: [1] A. Fontcuberta i Morral, C. Colombo, G. Abstreiter, J. Arbiol, J.R. Morante, Appl. Phys. Lett. 92 (2008) 063112. [2] S. Plissard, K.A. Dick, X. Wallart, P. Caroff, Appl. Phys. Lett. 96 (2010) 121901.
10:15 AM - AA4.5
High Quality GaAs WZ-ZB Nanowire Heterostructures
Daniel Jacobsson 1 Sebastian Lehmann 1 Anders Gustafsson 1 Kimberly Dick 1 2 Knut Deppert 1
1Lund University Lund Sweden2Lund University Lund Sweden
Show AbstractSemiconducting III-V nanowires grown by MOVPE are promising components in future electronic and optoelectronic devices. However, the properties are critically dependent on the crystal structure and stacking defect densities but still nanowires often include structural defects such as stacking faults (SF), twin planes and/or mixed crystal structures. Enormous efforts have recently been made in order to reduce the structural defects and tune the crystal structure using directly accessible growth parameters such as V/III ratio, total precursor flow, temperature and seed particle size and density. The crystal structure dependencies on growth parameters are well-mapped for InAs nanowires and sequences of stacking fault free zinc-blende (ZB), wurtzite (WZ), and WZ-ZB-superlattices have been engineered in a well-controlled manner within individual nanowires1. However, the situation is slightly different for GaAs nanowires. Stacking fault free ZB nanowire growth is reported and, in principle, WZ can be achieved,2 but precise structure control and tuning within single GaAs nanowires has not yet been demonstrated. In this contribution, we present a single temperature approach for crystal structure engineering within single MOVPE-grown GaAs nanowires, resulting in WZ-ZB structural heterostructures. Previous reports use low growth temperature to achieve entirely ZB crystal structure and high growth temperature for pure WZ structure, while same time changing the precursor flow. We use a single temperature for the growth of both crystal structures, so that major effects in temperature dependency of the precursor chemistry and nucleation kinetics might be reconsidered with respect to the origin of the polytypism. Using this approach, WZ segments with less than 10 SFs ?m-1 and twin-free ZB sections with controlled length can be prepared within individual wires. Having tailored both GaAs phases, WZ and ZB, without changing the growth temperature allows for direct comparison of structural and optoelectronic properties. We will present cathodoluminescence (CL) data for GaAs heterostructured nanowires clearly displaying differences in the luminescence, and relate the results to the different crystal structures. In addition, transmission and scanning electron microscopy (TEM and SEM) data will be presented to prove the crystal structure and quality of the different wire segments and to identify the different WZ and ZB side facets formed. 1 Dick, K. A. Bolinsson, J. Messing, M. E. Lehmann, S. Johansson, J.; Caroff, P. J. Vac. Sci. Technol. B2011, 29, 04D103 2 Joyce, H. J. Wong-Leung, J. Gao, Q. Tan, H. H.; Jagadish, C. Nano Letters2010, 10, 908-915
10:30 AM - AA4.6
Growth of InAs/InP Core Shell Nanowires with Various Crystal Structures and Morphologies
Sepideh Gorji Ghalamestani 1 Magnus Heurlin 1 Sebastian Lehmann 1 Kimberly A. Dick 1 2
1Lund University Lund Sweden2Lund University Lund Sweden
Show AbstractInAs nanowires are promising building blocks for future electronic device applications thanks to their superior electrical properties and scalable dimensions. However, the electron mobility of InAs nanowires is considerably lower than its bulk value due to surface scattering [1]. Hence, a surface passivation is desired, which suggests implementing a core/shell geometry to improve their properties and reduce the surface scattering. Although there are some reports on improvement of the electrical properties by using InP as the shell material [1,2], to the authors' best knowledge, the growth process of InAs/InP core shell nanowires has not yet been investigated. Therefore, this study covers the current gap in the understanding of InP shell growth on various InAs core crystal structures including wurtzite (WZ), zinc-blende (ZB), and ZB twin-plane superlattice (TSL). We have investigated the epitaxial growth of InAs/InP core shell nanowires by metal-organic vapor phase epitaxy. The growth was performed on the InAs (111)B substrates decorated with Au aerosol particles with diameters of 20 and 40 nm for WZ, 40 nm for ZB, and 80 and 90 nm for TSL. We have studied InP shell growth on different InAs crystal structures and morphologies by tuning the InP shell growth parameters and controlling the shell thickness. The results indicate that optimized InP shell growth occurred at relatively high temperatures (>500 oC), whereas InAs nanowires decompose under the applied conditions. Therefore, a short segment of InP was grown axially at lower temperatures (420-460 oC) prior to the shell growth, to protect the InAs from decomposition. Afterwards, the temperature was increased to 500 oC and InP was grown radially around the InAs nanowires. Further investigation revealed that stacking faults (SFs) inside the InAs core nanowires act as nucleation sites for lateral growth and result in inhomogeneous shells. Therefore, additional studies were performed to obtain SF free nanowires [3]. After the optimization, high quality InP shells with controllable thickness down to a few nanometer were obtained for the various InAs core crystal structures. Finally, TEM results indicate that InP shell growth rates depend on the InAs crystal structure and the corresponding core side facets. As an example, InP shell growth rate was the lowest on WZ nanowires with {01-10}-type side facets among all the core crystal structures and side facets investigated. [1] - X Jiang, Q Xiong, S Nam, F Qian, Y Li and C M Lieber 2007 Nano Lett.7, 3214-3218. [2]- J W W van Tilburg, R E Algra, W G G Immink, M Verheijen, E P A M Bakkers and L P Kouwenhoven 2010 Semicond. Sci. Technol. 25, 024011. [3]- K Kawaguchi, M Heurlin, D Lindgren, M T Borgström, M Ek and L Samuelson 2011 Appl. Phys. Lett. 99, 131915.
11:15 AM - *AA4.7
Atomic Level Mechanisms of III-V Nanowire Growth
Frances M. Ross 1
1IBM T.J. Watson Research Center Yorktown Heights USA
Show AbstractThe details of the growth mechanisms of III-V nanowires are of key importance in fabricating heterostructures, understanding growth rates, and forming specific polytypes and defect structures. For many III-V materials, the dependence of nanowire structure and growth rate on parameters such as pressure, temperature and diameter are well-known through post-growth observations. Such measurements are extremely important for developing growth mechanisms, but here we show how direct, in situ observations of growth can deepen our understanding further. We have grown GaP nanowires in an ultra high vacuum transmission electron microscope by flowing trimethylgallium and phosphine onto a heated sample containing Au particles or pre-grown GaP nanowires with their catalysts. Observations directly confirm the vapour-liquid-solid growth mode and show that layers are added one at a time at the interface between the nanowire and the catalyst droplet. However, the growth interface is not planar, as usually assumed: instead, there is a "truncated" region at the trijunction whose size oscillates during growth, in synchronization with the addition of each layer. We will discuss the origin of this structure in terms of surface and interface energies, and its consequences for models of layer nucleation and hence our understanding of polytypism. An interesting feature of this growth mode is that we can identify the instant that each layer is added. We can therefore correlate exact growth rates with droplet volume to model the flow of Ga atoms into and out of the droplet. In situ observations also allow us to investigate nanowire nucleation and the formation of interfaces between III-V and group IV segments. Thus, in situ experiments provide a window into the growth mechanisms of III-V nanowires, and insights into controlling the structure of these complex and versatile nanomaterials.
11:45 AM - AA4.8
Growth Phase Diagram and Microstructure-electronic Properties of Non-catalytic InAs NWs Grown by MBE
Simon Hertenberger 1 Stefanie Bolte 1 Dance Spirkoska 1 Daniel Rudolph 1 Markus Doeblinger 2 Max Bichler 1 Jonathan Finley 1 Gerhard Abstreiter 1 3 Gregor Koblmueller 1
1Walter Schottky Institut Garching Germany2Ludwig Maximilian University Munich Munich Germany3Technical University Munich Garching Germany
Show AbstractHigh-performance InAs NW-based devices, such as field effect transistors (FET), photodetectors or heterojunction tunnel diodes, demand pristine material with low defect density and minimized background doping for integration into future Si-CMOS technologies. In this respect, one desires synthesis methods of highest purity, the least extrinsic components as well as full control over growth directionality, morphological homogeneity and the ability for abrupt axial and radial heterojunctions. Addressing these needs, we recently developed an all-catalyst-free concept for growth of vertical well-oriented InAs NWs on Si (111) employing ultrapure solid-source molecular beam epitaxy (MBE) [1]. Based on in situ experiments of the nucleation kinetics we have shown that InAs NW growth on Si is governed by a spontaneous, non-catalytic growth mode, which fulfills several of the requirements stated above [2]. In addition, we established full position control with large flexibility in NW density (10+6 - 10+9 cm-3) and derived the major dependencies of growth kinetics on interwire distance via selective-area growth schemes [3]. In this work, we delineated the entire growth parameter space (As/In ratio, temperature-T) and the resulting microstructure-electronic properties for non-catalytic InAs NWs on Si (111). Surprisingly huge growth temperature ranges were found with maximum T close to ~ 600 °C upon dramatic change of As/In ratio, which exceed by far the typical T-range for catalyst-assisted InAs NWs [4]. Based on quantitative in situ line-of-sight quadrupole mass spectrometry, we determined the rate limiting factors in high-T InAs NW growth by direct monitoring the desorption and thermal decomposition processes of InAs NWs. Both under dynamic (growth) and static (annealing) conditions InAs NWs evidence excellent thermal stability (> 540°C) even under negligible super-saturation. Closer investigations of the In and As flux dependencies on growth rate reveal two universal growth regimes [4], an As-rich and an In-rich regime defined by the effective As/In flux ratio, allowing unique morphological control. Finally, we characterized the microstructure-electrical transport properties based on transmission electron microscopy and 4-point transconductance measurements in undoped InAs NW back-gated FETs. By comparing NWs with similar diameters and channel lengths, but different defect densities (wurtzite-zincblende boundaries) as obtained mainly via variable T, we found markable defect-induced electron scattering. InAs NWs with the lowest defect densities show good state-of-the-art transconductance at room temperature (µ > 2000 cm+2/Vs at n ~ 10+17cm-3), emphasizing the merits of the non-catalytic MBE growth approach. [1] G. Koblmüller et al., Nanotechnology 21, 365602 (2010) [2] S. Hertenberger et al., Appl. Phys. Lett. 98, 123114 (2011). [3] S. Hertenberger et al., J. Appl. Phys. 108, 114316 (2010). [4] S. Hertenberger et al., submitted to Nano Letters (2011).
12:00 PM - AA4.9
Shaped Au Particles for InAs Nanowire Growth
Pin Ann Lin 1 Dong Liang 2 Samantha Reeves 3 Xuan P. A. Gao 2 R. Mohan Sankaran 1
1Case Western Reserve University Cleveland USA2Case Western Reserve University Cleveland USA3Hathaway Brown Upper School Shaker Heights USA4Case Western Reserve University Cleveland USA
Show AbstractSemiconductor nanowires (s-NWs) are considered to be the ideal one-dimensional building blocks for advanced electronic and photonic devices. s-NWs are typically grown via the vapor-liquid-solid (VLS) mechanism which involves the eutectic reaction of a semiconductor vapor source with a metallic seed particle. Recently, new types of seed particles such as metal alloys have been used to modulate the VLS growth mechanism [1]. Here, we present another potential route to controlling nanowire growth: seed particle shape [2]. Shaped Au particles were synthesized in this study by the well known surfactant-assisted growth process. InAs NWs were then grown on Si substrates by the physical vapor transport method. Post-growth characterization of the wires shows that shaped Au particles enhance the initial growth kinetics of InAs NWs in comparison to conventional spherical Au particles. TEM and EDX analysis suggest that while In diffuses into the bulk of the shaped Au particles, in accordance with the vapor liquid-solid (VLS) growth mechanism, the surface faceting is remarkably preserved. A key difference during growth is that the shaped particles are characterized by a thicker In shell on their surfaces. We propose that surface faceting leads to increased adsorption of In on the seed particle surface, resulting in an enhancement of the NW growth rate. HRTEM analysis of the wires also reveals that the growth direction may be preferentially controlled by the shaped particles. In this talk, we will discuss these results in detail and present our picture of the VLS growth mechanism for nanowire growth from shaped seed particles. References 1.C.-Y. Wen et al., Science 326, 1247 (2009). 2.P. A. Lin et al., submitted.
12:15 PM - AA4.10
Effect of Growth Parameters on Stoichiometry and Transport Properties of InSb Nanowires
Gopal Sapkota 1 Usha Philipose 1
1University of North North Texas Denton USA
Show AbstractOne-dimensional InSb nanowires have attracted attention because of their size associated quantum confinement effect (Bohr exciton radius- 60nm), high bulk electron mobility (7.8 × 104 cm2V-1S-1) and very narrow direct band gap (0.17 eV at room temperature). Synthesis of InSb nanowires by VLS mechanism is challenging because of its deviation from stoichiometry, which is critically dependent on growth parameters such as temperature of source and substrate, thickness of the catalyst and growth pressure. An analysis of the effect of the aforementioned parameters on the quality and composition of the growing crystal will be presented. InSb nanowires grow in a very narrow temperature range and its deviation from stoichiometry to form Sb rich or In rich nanowires, as a result of growth conditions, will be presented. Transport measurement on a single In rich, Sb rich and stoichiometric InSb nanowire will enable a study of their carrier dynamics and determination of intrinsic nanowire parameters.
12:30 PM - AA4.11
VLS Growth of III-V Semiconductor Nanowires on Graphene Layers
Kouta Tateno 1 Daisuke Takagi 1 Guoqiang Zhang 1 Hideki Gotoh 1 Hiroki Hibino 1 Tetsuomi Sogawa 1
1NTT Basic Research Laboratories Atsugi-shi Japan
Show AbstractGraphene has attracted considerable interest as a new material for high-speed devices because its carrier mobility exceeds 200,000 cm2V-1s-1, the highest among materials [1]. Recently, the large-scale growth of single-layer and few-layer graphene has been demonstrated, and the advanced technique of transferring graphene sheets to flexible plastic substrates is leading to stretchable, foldable and transparent electronics and optoelectronics [2]. The growth of inorganic semiconductor nanodevices on the graphene sheets will be one of the promising techniques for these applications. There are several reports on semiconductor nanostructures grown on graphene layers [3,4]. However, the details of VLS-grown III-V nanowires on graphene have not yet been reported, and the bonding state between the nanowires and graphene is uncertain. Here, we show GaP, GaAs and InP nanowires grown on graphene/SiC(0001) substrates. Au particles as catalyst were formed by two methods. In one, Au was deposited in a low-energy electron microscopy system by controlling the Au deposition rate and the sample was annealed to 500 degrees centigrade. This is the similar to the method we used for GaP nanowires on Si(111) substrates [5]. In the other, size-controlled Au colloids were dispersed on the substrates. The growth was carried out in a low-pressure metal-organic vapor-phase epitaxy reactor [5]. Trimethyl-indium was the group-III source, and arsine or tertiary-butyl arsine and phosphine or tertiary-butyl phosphine were the group-V sources. The structures were observed by scanning electron microscopy (SEM) and transmission electron microscopy (TEM) and their elemental compositions were evaluated from energy dispersive X-ray spectroscopy. For the Au-deposited samples, we could obtain free-standing nanowires for all three materials. GaP and GaAs nanowires showed similar grown structures in the SEM images. Vertically, diagonally and laterally- grown nanowires were seen in the images. For InP, laterally- grown nanowires were rarely seen. And the yield of the InP nanowires was low compared with that of GaP and GaAs ones. In side-view TEM images, GaP nanowires were seen on the several graphene layers, which suggest that the van der Waals epitaxy proceeded at the initial stage of nanowire growth. We also plan to show InP nanowire growth by using Au colloids and discuss the proper growth conditions. This work was partly supported by KAKENHI (23310097). [1] K. I. Bolotin et al., Solid State Commun. 146 (2008) 351. [2] S. Bae et al., Nat. Nanotechnol. 5 (2010) 574. [3] Y.-J. Kim et al., Appl. Phys. Lett. 95 (2009) 213101. [4] Y. J. Hong and T. Fukui, SSDM 2011, KM-4-2. [5] K. Tateno et al., Appl. Phys. Lett. 89 (2006) 033114.
12:45 PM - AA4.12
Growth Kinetics and Luminescence of (In,Ga)N/GaN Nanowire Heterostructures
Martin Woelz 1 Vladimir M Kaganer 1 Sergio Fernandez-Garrido 1 Jonas Laehnemann 1 Christian Hauswald 1 Oliver Brandt 1 Lutz Geelhaar 1 Henning Riechert 1
1Paul-Drude-Institut Berlin Germany
Show AbstractNovel device concepts based on (In,Ga)N nanowire (NW) heterostructures have emerged as promising candidates for applications ranging from light-emitting diodes (LEDs) to photovoltaics and solar water splitting. The band gap of this alloy can be tuned throughout the entire visible range. The corresponding variation in lattice constant may lead to crystal defects in planar heterostructures, particularly for high In content. This limitation can be overcome by lateral elastic relaxation in axial nanowire heterostructures. In order to employ such NW heterostructures in applications, it is mandatory to fabricate them with a high level of control. To this end, we investigate here the growth kinetics and luminescence properties of axial (In,Ga)N/GaN NW heterostructures. Any optoelectronic application requires material of outstanding crystal quality and purity. We grow GaN nanowires fulfilling these criteria in a self-induced approach by molecular beam epitaxy (MBE) that avoids any foreign material in the form of catalysts or masks. The growth of ternary alloys in the form of NWs is generally very challenging and not well understood. NW growth occurs by direct capture of impinging atoms as well as sidewall diffusion. Different diffusivity of the competing atom species thus adds a kinetic component to the alloy formation. The analysis is complicated by the experimental difficulty in determining the alloy composition of NW ensembles with statistical fluctuations. During the growth of the ternary alloy (In,Ga)N in particular, the composition is largely governed by the substrate temperature. We grew series of NW and planar samples at different substrate temperatures and analyzed the variation in In content by complementary in-situ and ex-situ techniques. First, we monitored the In desorption during growth by line-of-sight quadrupole mass spectrometry. By comparing these data with the calibrated In flux, we deduced the amount of incorporated In. Second, we developed a method for the analysis of x-ray diffraction patterns from NW ensembles and determined the (In,Ga)N composition. Our investigation shows that the (In,Ga)N formation during the growth of NWs can be adequately described by an established model for planar layers which is based on thermally activated desorption in competition with adatom incorporation. Similar activation energies for InN decomposition during the MBE growth of (In,Ga)N in planar layers and NWs were determined. From this, we conclude that even though the kinetic effect of sidewall migration plays a role for the absolute NW growth rate, the ternary alloy composition is governed by the In desorption. To illustrate the impact of (In,Ga)N NW composition change, we present luminescence from NW LED test structures grown at different temperatures. The peak wavelength is seen to shift systematically. The relation between alloy composition and emission color is discussed on the basis of a quantum-mechanical model of the optical transition.
Symposium Organizers
Junichi Motohisa, Hokkaido University Graduate School of Information Science and Technology
Lincoln J. Lauhon, Northwestern University
Deli Wang, University of California, San Diego
Takeshi Yanagida, Osaka University Institute of Scientific and Industrial Research
Symposium Support
Japan Society of Applied Physics
National Science Foundation
Office of Naval Research
AA8: Characterization II
Session Chairs
Thursday PM, April 12, 2012
Moscone West, Level 3, Room 3004
2:30 AM - *AA8.1
Probing Electrical and Thermal Transport in Semiconductor Nanowires Using Scanning Probe Microscopy Techniques
Yi Gu 1
1Washington State University Pullman USA
Show AbstractElectrical and thermal transport processes in semiconductors are of fundamental interests as they reflect carrier and phonon dynamics. From a practical viewpoint, these processes are critical to determining and controlling various device performance. In semiconductor nanowires, the surface effects and confinement of electrons and phonons play a significant role in determining these transport properties. Here we present our recent efforts in using various scanning probe-based techniques to gain direct insight into the electrical and thermal transport processes in semiconductor nanowires. Specifically, minority carrier transport in single nanowires as a function of diameter was studied by near-field scanning photocurrent microscopy and Kelvin probe microscopy techniques. These approaches provide direct information on the surface-dependent and -independent carrier transport properties, the comparison of which enables a quantification of the significance of surface effects. In addition, the scanning thermal microscopy technique, coupled to confocal Raman microscopy, was used to quantify the thermal transport characteristics in individual nanowire devices. The knowledge gained from these investigations provides an importance basis for the further advancement of nanowire-based technologies.
3:00 AM - AA8.2
Direct Measurement of Surface States Density and Energy Distribution in Individual InAs Nanowires
Eliezer Halpern 1 Andrey Kretinin 2 Hadas Shtrikman 2 Yossi Rosenwaks 1
1Tel-Aviv University Tel-Aviv Israel2Weizmann Institute of Science Rehovot Israel
Show AbstractInAs nanowires are candidates for future high-speed electronic and optoelectronic applications due to their high electron mobility and large coherence length. However, InAs surfaces are known to possess a high concentration of donor-type surface states, which results in an electron accumulation layer, and consequently Fermi level pinning. Since the surface to volume ratio in nanowires is very large, the effect of surface states is greatly enhanced. We present a method to directly measure the density and energy distribution of single nanowire surface states using Kelvin probe force microscopy measurements of a nanowire field-effect transistor combined with electrostatic modeling. The method is applied to individual InAs nanowires which similarly to bulk InAs, exhibit a prominent accumulation layer consisting of a large concentration of donor-type surface states. Nevertheless, due to the low diameter of the nanowires, the electron accumulation and Fermi level pinning takes place within the entire nanowire.
3:15 AM - AA8.3
Dielectric Coatings as Raman Concentrators for Ge Nanowires
Jerome K Hyun 1 In Soo Kim 1 Justin G Connell 1 Lincoln J Lauhon 1
1Northwestern University Evanston USA
Show AbstractRaman spectroscopy is a powerful tool for characterizing the intrinsic photonic, electronic and structural properties of nanowires. However, extrinsic effects such as background scattering and laser-induced heating can limit their analysis. In particular, laser-induced heating results in the shifting and broadening of the Raman peaks. We demonstrate that a thin dielectric coating can provide an effective solution by concentrating light into the nanowire due to wave interference, thereby strongly enhancing the Raman signal. As a result, laser-induced heating can be minimized compared to bare nanowires at equivalent Raman intensities, and the lineshape is minimally perturbed while maintaining high signal to noise ratios. The results offer a quick and simple approach to extending the limits of Raman analysis on single nanowires, facilitating their characterization by a readily available non-contact non-destructive technique.
3:30 AM - AA8.4
Analysis of Electrical Properties and Compositional Distribution by Using 3D Atom Probe for the p-type ZnO Nanowire Doped by Li
Sungmin Park 1 Wooyoung Jung 1 Sunghwan Hwang 3 Jaemin Myoung 3 Changyung Park 1 2
1POSTECH Pohang Republic of Korea2NCNT Pohang Republic of Korea3Yonsei University Seoul Republic of Korea
Show AbstractZnO nanostructures such as 1-D nanowire have studied due to a lot of potential in future application such as electronic, optoelectronic and magnetoelectronic devices. To fabricate p-type ZnO is very difficult because wide bandgap semiconductor like ZnO usually show a poor doping by its inherited deep acceptor energy level. Li is emerging candidate as dopant for p-type ZnO. We analyzed electrical properties and compositional distribution of p-type ZnO doped Li to find out the role and effects of Li at single ZnO NW. Prior to the analysis for ZnO nanowires (NWs), p-type ZnO NWs were grown by hydrothermal method on n-type Si substrate. 10nm of ZnO seed layer was pre-deposited on Si by sputtering. P-type ZnO NWs was fabricated from 100 ml(5mM) of zin nitrate and hexamethylenetetramine (C6H12N4). 2ml (2M) solution of lithium chloride(LiCl) was added during vigorous stirring at 80 degrees celsius for Li doping. And then, the substrates were placed vertically in the solution. After 10 min, the solution gradually became turbid and the growth of NWs began. The growth process was continued for 8h after which the NWs were taken out of the bath. The NWs were then washed with deionized water and dried at 80 degrees celsius in air-oven. ZnO NWs were analyzed by X-ray diffraction (XRD), the four-point probe method, transmission electron microscopy (TEM), (SIMS) and Atom-probe tomography (APT). APT has been shown to be a unique technique to analyze the compositions of nanostructure. Using focused ion beam techniques, it is now possible to extract and prepare a sample from a selected NW in sample for APT analysis. The XRD spectra confirmed that the structure of grown ZnO NWs did not change with the Li doping. Also TEM revealed that ZnO NWs were single crystalline by diffraction pattern. Electrical analysis showed that it successful p-type doping of ZnO NWs using Li. Especially it is possible that doped Li in single ZnO NW is quantified through APT analysis. Moreover, APT could analyze very small amount of Li in single ZnO NW. Consequently, sample preparation method for single NW's compositional analysis was devised and it was confirmed the relation of quantified Li and electrical properties of single ZnO NW through it.
3:45 AM - AA8.5
GaN Quantum Wires Grown on the Edges of GaN-AlN Core-shell Nanowire Templates and Direct Dumbbell Polarity Observation by Means of ABF-STEM
Jordi Arbiol 1 Cesar Magen 2 Florian Furtmayr 3 4 Alexej Chernikov 5 Sangam Chatterjee 5 Joan R Morante 6 Martin Eickhoff 3 4
1ICREA and Institut de Ciencia de Materials de Barcelona, ICMAB-CSIC Bellaterra Spain2Universidad de Zaragoza Zaragoza Spain3Technische Universitauml;t Muuml;nchen Garching Germany4Justus-Liebig-Universitauml;t Giessen Giessen Germany5Philipps Universitauml;t Marburg Marburg Germany6Catalonia Institute for Energy Research Barcelona Spain
Show AbstractGaN quantum wires are obtained by edge-growth on GaN-AlN core-shell nanowire template using plasma assisted molecular beam epitaxy. The GaN-AlN core-shell nanowires are first grown catalyst free using nitrogen-rich growth conditions. Growth of GaN quantum wires with a diameter up to 2 nm on the edges of the AlN shell is achieved during deposition of an thin axial GaN inclusion, as demonstrated by aberration corrected scanning transmission electron microscopy in Z-contrast mode. Photoluminescence measurements reveal an emission around 4.3 eV as the optical fingerprint of the quantum wire. Using aberration corrected annular bright field (ABF) scanning transmission electron microscopy (STEM) we analyze the polarity of the nanowire heterostructures at atomic scale. Atomic resolution images will be accompanied of 3D atomic models showing the morphology of these quantum structures. This new type of quantum structures on nanowires opens a new avenue for novel quantum optics and quantum electronics experiments and a new and attractive option for bandgap engineering.
4:30 AM - AA8.6
Stress State Characterization of III-V Semiconducting Nanowires and Nanoribbons Using Convergent Beam Electron Diffraction
Eric James Jones 1 Mohamed Azize 2 Matthew J Smith 1 Tomas Palacios 2 Silvija Gradecak 1
1Massachusetts Institute of Technology Cambridge USA2Massachusetts Institute of Technology Cambridge USA
Show AbstractNanostructured architectures offer a platform for seamless integration of III-V materials on silicon without the formation of defects typically associated with III-V/Si planar integration. This is often due to a nanostructure's ability to efficiently relax stresses caused by differences in lattice constant at heterojunction interfaces. While stress is often undesired, if controlled, it can be used as a valuable tool in tuning a device's performance including emission wavelength and electron transport properties. Bulk characterization techniques for measuring strain, such as Ramen spectroscopy and X-ray diffraction (XRD), only allow for an averaged result that can overlook important details about an individual structure that govern the material's functionality. It is therefore important to investigate and understand the stress created at these interfaces on the nano-scale. Convergent beam electron diffraction (CBED) can be used to characterize strain in materials with high sensitivity (strains on the order of 10-4) and with high spatial resolution (a few nanometers). In this study, we used CBED to characterize and compare the stress-state of several one-dimensional III-V nanostructures including core-shell nanowires and high electron mobility transistor (HEMT) nanoribbon structures. Stress in the HEMT nanowire structure is created at the interface between the InAlN and GaN providing an interesting platform for CBED characterization. Core-shell nanowires extend this concept by wrapping the interface around the entire core of the "bulk" material allowing for novel material behavior. By analyzing the position and splitting of higher-order Laue zone (HOLZ) lines contained in the (000) diffraction disk, the strain and deformation of a thin cross-section of each nanostructure was determined. Using this method, we measured both the magnitude and direction of stress relaxation. InAlN/GaN HEMT layered nanoribbon structures were fabricated using electron lithography and dry etching techniques and then covered with varying thicknesses of Al2O3 that is used to confine the in-plane biaxial stress observed in the unstructured film. The electrical performance of each device was compared based on the thickness of the Al2O3 layer with a planar device used as a reference. We then fabricated transmission electron microscope samples using focused ion beam to study the stress present in each sample. By analyzing HOLZ lines in the corresponding CBED patterns, we showed that stress in these structures is relaxed along the c-axis with the magnitude that depends on the oxide layer thickness. The CBED results were compared to the bulk results obtained using Raman and XRD as well as finite element models of stress relaxation. Finally, the nanoribbon results will be compared to the stress-state of III-V core-shell nanowire heterostructures.
4:45 AM - AA8.7
Unusual Nanoscale Effects of Electrons in Heterojunction Core/Shell Nanowires
Bryan M. Wong 1 Francois Leonard 2
1Sandia National Laboratories Livermore USA2Sandia National Laboratories Livermore USA
Show AbstractThe unique properties of semiconducting heterostructure nanowires hold great promise for their incorporation in next-generation transistors, circuits, and nanoscale devices. The reduction in dimensionality produced by confining electrons in these heterostructure nanowires results in a dramatic change in their electronic structure, leading to novel properties such as ballistic transport and conductance quantization. One area of particular interest is in the formation of heterojunction electron gases in III-nitride core/shell nanowires which may provide a route towards quasi-one-dimensional electron gases. In order to tailor these nanostructures with the desired physical properties, we must first understand their electronic properties as a function of size and material composition. To this end, we developed a new self-consistent Poisson-Schrodinger approach[1] to calculate the properties of heterojunction electron gases in polar and non-polar AlGaN/GaN core-shell nanowires. We find that the nanoscale sizes of these wires lead to the appearance of quasi-one-dimensional electron gases at the corners of the hexagonal and triangular cross-sections, in contrast to what would be expected from analogous bulk heterojunctions. Our results allow a guided understanding of low-dimensional electron gas formation in heterostructure nanowires and further indicate that electron gases in free-standing nanoscale systems are qualitatively different from their bulk counterparts. (1) Wong, B. M.; Leonard, F.; Li, Q.; Wang, G. T. Nano Lett. 2011, 11, 3074.
5:00 AM - AA8.8
Wurtzite versus Zincblende GaAs - A Thorough Comparison
Lyubomir Ahtapodov 1 Jelena Todorovic 2 Phillip Olk 1 Dong Chul Kim 1 Terje S Mjaaland 1 Martin Eriksson 3 Dasa L Dheeraj 1 Antonius T J van Helvoort 2 Peder Bergman 3 Bjorn Ove Fimland 1 Helge Weman 1
1NTNU Trondheim Norway2NTNU Trondheim Norway3Linkouml;ping University Linkouml;ping Sweden
Show AbstractWe report a combined study of single wurtzite (WZ) GaAs/AlGaAs core-shell nanowires (NWs) grown by Au-assisted molecular beam epitaxy where the same NWs are studied with photoluminescence (PL) spectroscopy and (scanning) transmission electron microscopy ((S)TEM) [1]. Polarization- and time-resolved PL are performed on low-voltage STEM pre-selected NWs at multiple temperatures from cryogenic to room temperature (RT). The TEM and high-angle annular dark field (HAADF) STEM studies demonstrate that the NWs are WZ with few stacking faults (SFs) and have an axial AlGaAs segment towards the tip. There are no zincblende (ZB) segments in the GaAs/AlGaAs core-shell part. We find that the RT band-to-band emission from WZ GaAs peaks at ~1.445 eV, i.e. some 20 meV larger than the corresponding energy for ZB GaAs. This value is independently confirmed by photocurrent measurements on single intrinsic NWs from the same batch, and we suggest that it is the RT bandgap of WZ GaAs. The low temperature (15 K) excitonic emission peak from these NWs is at 1.515 eV and thus coincides with the well known value for the free exciton emission energy in bulk ZB GaAs. The lowest conduction band in WZ GaAs is theoretically predicted to be of ?8 symmetry which corresponds to a much larger electron effective mass than in the ZB conduction band [2], so that a much larger exciton binding energy and hence low T bandgap is expected in WZ GaAs. Measurements are currently in progress in order to determine the binding energy of the WZ GaAs exciton experimentally. The decrease in emission intensity from 15 K to RT is found to be lower than two orders of magnitude which implies that Au-assisted WZ GaAs NWs can be grown at a sufficient quality for optoelectronic applications. This conclusion is further supported by the long radiative lifetimes, of the order of several ns, observed at RT. This is contrary to a recent work [3] which reported a much larger deterioration of the PL intensity and the radiative lifetimes at RT in Au-assisted WZ GaAs NWs and attributed that to Au incorporation in the NW. References: [1] J. Todorovic, A.F. Moses, T. Karlberg, P. Olk, D.L. Dheeraj, B.O. Fimland, H. Weman and A.T.J. van Helvoort, Nanotechnology 22, 325707 (2011) [2] A. De and C.E. Pryor, Phys. Rev. B 81, 155210 (2010) [3] S. Breuer, C. Pfueller, T. Flissikowski, O. Brand, H.T. Grahn, L. Geelhaar and H. Riechert, Nano Lett., 11, 1276- (2011)
5:15 AM - AA8.9
Photocurrent Spectroscopy of ZB, WZ InP Nanowire Ohmic Devices
Kuranananda Pemasiri 1 Saranga Perera 1 Howard E Jackson 1 Leigh Morris Smith 1 Jan Yarrison-Rice 2 Suriati Paiman 3 Qiang Gao 3 Hark H Tan 3 Chennupati Jagadish 3
1University of Cincinnati Cincinnati USA2Miami University Oxford USA3Australian National University Canberra Australia
Show AbstractWe use photocurrent spectroscopy to study InP nanowire Ohmic devices having either zinc-blende (ZB) or wurtzite (WZ) crystal structures as a function of temperature. We have obtained results that provide the energies of the A, B, and C excitons in the case of WZ InP, as well as observed the expected polarization dependence on the ZB or WZ symmetries. InP nanowires are grown by vapor-liquid-solid method using 20nm and 50nm gold nanoparticles as catalyst at 400C. 50nm ZB nanowires and WZ nanowires are grown at V/III ratios 350 and 700 respectively. Photolithography techniques followed by etching with ammonium polysulfide are used to fabricate the Ti/Al metal contact pads separated by 3 microns on several ZB or WZ InP nanowires. SEM study of WZ nanowires dispersed on Si substrates shows that there are larger diameter nanowires mixed with 20nm nanowires. IV characteristics show highly photosensitive nanowire devices with Ohmic contacts. Using a monochromatic white light set up or a tunable (1.30 to 1.75 eV) CW laser, we obtain photocurrent at fixed bias voltage as a function of excitation energy by broad illumination of the InP nanowire device. At room temperature, we find that the photocurrent for all nanowire devices drops exponentially for photon energies below the fundamental band edge, showing evidence for an Urbach tail. We find that the WZ energy gap (~1.42eV) lies ~70meV above the ZB energy gap (~1.35eV) at room temperature, consistent with previous photoluminescence measurements. At low temperatures (10K), the ZB device shows strong evidence for two excitonic resonance peaks relevant to the band gap (1.425 eV) and split off band (1.539 eV) in the photocurrent spectrum. The WZ nanowire device with larger diameter nanowires shows three excitonic peaks relevant to A (1.504eV), B (1.531eV) and C (1.655eV) valence bands at low temperature, consistent with recent PLE measurements. In some nanowire devices, the B exciton peak has been observed at 1.559eV along with A (~1.504eV) and C (~1.655eV) which suggests the possibility of quantum confinement in the 20nm WZ InP nanowires. We acknowledge the financial support of the National Science Foundation through Grants DMR-0806700, 0806572, 1105362, 1105121, and ECCS-1100489, and the Australian Research Council. The Australian National Fabrication Facility is acknowledged for access to the growth facilities used in this research.
5:30 AM - AA8.10
Effect of Oxygen Ambient-Controlled Calcination on the Microstructure of Electrospun Nanofibers for Transparent Electrode
Dae-Hyun Nam 1 Ji-Hoon Lee 1 Na-Rae Kim 1 Yoo-Yong Lee 1 Hae-A-Seul Shin 1 Young-Chang Joo 1
1Seoul National University Seoul Republic of Korea
Show AbstractBy the rapid evolution of display panels and solar cells, the importance of transparent electrode is growing. Transparent electrode requires both high conductivity and transparency. Metal nanofibers are the promising candidate for transparent electrode due to outstanding conductivity at reasonable transmittance. The conductivity and transmittance are in trade-off. So the merit of enhancing conductivity with denser and thicker layer can be degraded by the decrease of transmittance. In order to increase the conductivity without reducing the transparent property, the increase of intrinsic conductivity of the metal nanofiber is strongly required. Intrinsic conductivity of metal nanofiber is related with its microstructure, such as grain size, impurities, etc. Metal nanofibers can be made by electrostatic fabrication technique called electrospinning due to its versatility and potential for applications. They are formed by the calcination of as-spun nanofibers composed of polymer matrix with metal-precursor. During first calcination at high temperature in the air, oxidation of metal ions and formation of nanofibers with the removal of organic additives occur. Second calcination under reducing ambient, is for the reduction of metal oxide to pure metal. In first calcination, the decomposition of polymer matrix affects the formation and growth degree of metal oxide. Because, this process is strongly depends on the partial pressure of oxygen, controlling the inlet of oxygen gas can determine the grain structure and level of organic impurity. In this study, we investigated the microstructure evolution and tendency of calcination factors which affects electrical properties in metal nanofibers by ambient-controlled calcinations. Accidental insertion of air at high temperature induces complete burnout of organics and rapid growth by pinning effect. Junction resistance, scattering source which are related with final intrinsic conductivity can be reduced through this process. For the synthesis of Cu nanofibers by electrospinning, 10 wt.% solution of PVA as polymer matrix and CuAc?xH2O as metal precursor was used. After electrospinning, first calcination(500 °C, 3h) proceeded under air for removal of organics and growth of CuO nanofibers. In order to verify the effect of ambient, nanofibers were calcinated under 'air' and 'alternation of vacuum to air'. While CuO nanofibers calcinated under air showed similar morphology of as-spun nanofibers, those made from bi-step calcination were composed of large grains by abnormal grain growth. To regulate the effect of ambient, time of air insertion in bi-step process and calcination under vacuum, inert gas(N2) were also studied. After second calcination under reducing ambient(H2), we could realize the intrinsic conductivity enhanced Cu nanofibers. Detailed relationship between first calcination with the conductivity and transmittance of nanofibers are discussed.
AA7: Device Application and Characterization
Session Chairs
Thursday AM, April 12, 2012
Moscone West, Level 3, Room 3004
9:00 AM - *AA7.1
Nanoelectronics-biology Frontier
Charles M Lieber 1
1Harvard University Cambridge USA
Show AbstractNanoscale materials enable unique opportunities at the interface between the physical and life sciences, and the interface between nanoelectronic devices and biological systems makes possible communication between these two diverse systems at the length scale relevant to biological function. In this presentation, the development of nanowire nanoelectronic devices and their application as powerful tools for the life sciences will be discussed. First, a brief introduction to nanowire nanoelectronic devices as well as comparisons to other electrophysiological tools will be presented to illuminate the unique strengths and opportunities enabled at the nanoscale. Second, illustration of detection capabilities including signal-to-noise and applications for real-time label-free detection of biochemical markers down to the level of single molecules will be described. Third, the use of nanowire nanoelectronics for building interfaces to cells and tissue will be reviewed. Multiplexed measurements made from nanowire devices fabricated on flexible and transparent substrates recording signal propagation across cultured cells, acute tissue slices and intact organs will be illustrated, including quantitative analysis of the high simultaneous spatial and temporal resolution achieved with these nanodevices. Specific examples of subcellular and near-point detection of extracellular potential will be used to illustrate the unique capabilities, such as recording localized potential changes due to neuronal activities simultaneously across many length scales, which provide key information for functional neural circuit studies. Last, emerging opportunities for the creation of powerful new probes based on controlled synthesis and/or bottom-up assembly of nanomaterials will be described with an emphasis on the creation of nanowire probes demonstrating intracellular recording and stimulation, and the development of 'cyborg' tissue. The prospects for blurring the distinction between nanoelectronic and living systems in the future will be highlighted.
AA9: Poster Session: Application of Nanowires and Nanotubes
Session Chairs
Thursday PM, April 12, 2012
Marriott, Yerba Buena, Salons 8-9
9:00 AM - AA9.1
Si Nanowire pH Sensors with Al2O3 Gate Dielectric
Kristine Bedner 1 Renato Amaral Minamisawa 1 Vitaliy Guzenko 1 Oren Knopfmacher 2 Alexey Tarasov 2 Mathias Wipf 2 David Just 2 Wangyang Fu 2 Christian David 1 Michel Calame 2 Christian Schouml;nenberger 2 Jens Gobrecht 1
1Paul Scherrer Institut Villigen Switzerland2University of Basel Basel Switzerland
Show AbstractDuring the past decade, there has been a growing interest in research and industry for chemical and bio sensors that provide real-time rapid detection, portable analytical functionality, and high sensitivity at the molecular level. Ion sensitive field-effect transistors (ISFETs) based on a Si platform are presently well established devices for pH sensing in chemical and biological solutions. Essentially, the Si channel conductance is modulated by the potential drop across the electrical double layer formed at the interface between the liquid and the gate oxide. Future applications demand, however, higher sensitivity as well as higher integration density for the simultaneous and selective detection of different molecules. Recently, Si nanowires have been proposed as promising ISFET-based transducers to enhance detection sensitivity, although, performance enhancement over their planar counterparts has yet to be demonstrated. Here, we present the fabrication and characterization of Si nanowire ISFETs with Al2O3 gate dielectric deposited by atomic layer deposition. The devices were fabricated using a top-down approach in a Si-on-insulator platform, which offers several advantages regarding processing feasibility. The process flow comprises patterning the wires by e-beam lithography followed by wet etching, oxide deposition, contact formation, opening of the fluidic channel, and epoxy isolation. The width of the devices was varied from 100 nm to 1 µm. The devices exhibit well-behaved transfer-characteristics, low leakage current, and high sensitivity up to ~45 mV/pH. The transconductance, threshold voltage and sensitivity are systematically investigated as a function of the width in order to elucidate the impact of dimensional scaling. Finally, the performance of the Si nanowire ISFETs is studied in terms of threshold voltage drift. Based on our results, we discuss the potential of Si nanowires for pH detection and associated sensing applications.
9:00 AM - AA9.10
Fabrication of Transparent Conductive Thin Film Electrodes Based on Ag Nanowire on Transparent Substrates Using the Spray Method for Photovoltaic Applications
Gael Giusti 1 Anusha Muthukumar 1 Carmen Jimenez 1 Estelle Appert 2 Etienne Puyoo 1 Catherine Quilliet 3 Vincent Consonni 1 Daniel Bellet 1
1Grenoble INP Grenoble France2Universiteacute; Joseph Fourier Saint-Martin-d'Hegrave;res France3Universiteacute; Joseph Fourier Saint-Martin d'Hegrave;res France
Show AbstractMaterials with a remarkable combination of high electrical conductivity with good optical transparency are playing a key role for optoelectronics devices. In addition to these electro-optical properties, transparent conductive materials should be lightweight, flexible, cheap, non toxic and compatible with large-scale manufacturing methods. The Ag nanowire networks appear to be a promising candidate. In this study, flexible Ag nanowire electrodes were fabricated by using spray method. The coatings were systematically characterised structurally, electrically and optically via XRD/SEM, four-point probe measurements and spectrophotometry, respectively. After careful optimisation, a uniform layer of nanowires could be obtained over large areas resulting in 20 ohm/sq surface resistance and 80% diffusive transmittance. Furthermore, the films showed optical transparencies superior to that of ITO in the near-infrared range. These overall physical properties meet the requirements of transparent electrodes for many applications and could replace ITO for flexible electronics and solar cells in particular. Simple mechanical pressing was also found to increase the nanowire film conductance, primarily due to the reduction of junction resistance. The impact of nanowire average length and diameter on percolation and physical properties are also discussed. This investigation associated with original ideas for depositing Ag nanowire networks on non flat substrates leaded to the fabrication of patterned electrodes. The physical properties are reported and commented paving then the way for efficient and low-cost Ag nanowire electrode manufacturing.
9:00 AM - AA9.11
Polysilicon Nanowires for Chemical Sensing Applications
Emmanuel Jacques 1 Gertrude Wenga 1 Maxime Thomas 1 Anne Claire Salaun 1 Regis Rogel 1 Laurent Pichon 1
1IETR Rennes France
Show AbstractAs their surface can be sensitive to charged species combined with their high surface to volume ratio, silicon nanowires (SiNWs) are the subject of intense research activities for high sensitivity chemical sensor fabrication. The first application of SiNWs in biological and chemical molecule sensor was reported by Lieber's group in 2001 [1]. In addition, because SiNWs synthesis is compatible to the established Si technology, enormous research efforts to design and develop new generation of high performance biological and chemical sensors by incorporating the SiNWs as the functional sensitive units are performed. Indeed, SiNWs based sensor integration will allow a lower manufacturing cost, in addition to the advantageous electronic features of embedded detection and signal processing in silicon technology. The intrinsic reliability of the well-known semiconductor CMOS (Complementary Metal Oxide Semiconductor) process also guarantees a reproducible and reliable diagnosis. Polysilicon nanowires are used as sensitive units for charge chemical species detection in ambience. These nanowires are synthesized using the well known and low cost technique commonly used in microelectronic industry: the sidewall spacer formation technique. The feasibility of such polysilicon nanowires with curvature radius as low as 50nm was demonstrated by our group [2]. An alternative method was also developed to increase the exchange surface of the nanowire with the ambience, and suspended polysilicon nanowires were fabricated for sensing applications. These nanowires were integrated into resistors or field effect transistors used as sensors and the feasibility of polysilicon nanowires based sensors is demonstrated. Two kinds of applications are studied: gas (NH3) or pH sensor. Ambient charged species detections are carried out by current measurements at room temperature. Gas detection is performed in a vacuum chamber, under controlled ammonia/nitrogen mixture varying from 175ppm to 700ppm. First results highlight that the relative sensitivity, Sg,, defined as Sg=(I-Ig)/I where I and Ig are the current values for devices in vacuum and reactive ambient respectively, varies from 700% to 2200%. In addition, Sg is higher for suspended polysilicon nanowires based sensors. Nanowires can be used in liquid ambience to sense charges. They are either dived into boric acid or sodium hydroxide solutions, pH varying between 5 up to 12. The current-voltage characteristics have shown a current variation depending on the concentration of H+ ions adsorbed at the surface of the silicon nitride covering the nanowires. These first results are promising for the development of high sensitive polysilicon nanowires based chemical sensing applications compatible with the CMOS technology. References: [1] Cui, Y.; Wei, Q. Q.; Park, H. K.; Lieber, C. M. Science 2001 293 (5533) 1289. [2] F. Demami, L. Pichon, R. Rogel, A. C. Salaun, Mat. Sc. Eng. , 012014 (2009)
9:00 AM - AA9.12
Template-directed Synthesis of Fluorescent Silica Nanotubes for TNT Sensing
Adem Yildirim 1 2 Handan Acar 1 2 Turan S Erkal 1 2 Mehmet Bayindir 1 2 3 Mustafa O Guler 1 2
1Bilkent University Ankara Turkey2UNAM-National Nanotechnology Research Center Ankara Turkey3Bilkent University Ankara Turkey
Show AbstractInorganic nanotubes have been attracting a great deal of interest due to their potential applications in sensing, catalysis, and biotechnology. Silica is one of the most studied materials to produce nanotubes because of its inert nature, hydrophilicity, and ease of surface functionalization. Silica nanotubes are typically prepared within the pores of anodic alumina membranes or around the organic or inorganic templates. Depending on the template used, a variety of nanotubes with different sizes, pore diameters, and wall thicknesses were obtained. However, large scale production of thin (<50 nm) and long (>1 ?m) silica nanotubes is still rare. These high aspect ratio silica nanotubes are indispensible in catalysis and sensing applications because of their high surface area and ability to form highly porous networks. With this insight, we developed thin and long silica nanotubes using amyloid-like peptide (ALP) nanofibers as template. We designed and synthesized a short peptide sequence, Ac-KFFAAK-Am which forms nanofibrous structures through hydrogen bonding directed self-assembly. The amine groups of the lysine residues in the peptide sequence serve as nucleation centers for silica precursors. Removal of the organic template after silica formation can be achieved by a calcination process at an appropriate temperature or by washing with excess acidic methanol solution. The silica nanotubes have small pores (2-3 nm), and their wall thicknesses can be tuned between 10 and 25 nm. The facile preparation process enables large scale production of high aspect ratio silica nanotube. Fluorescent quenching based sensing methods are promising for rapid and sensitive detection of explosive vapors. In this work, we utilized mesotetrakis-p-carboxy-phenylporphyrin doped silica nanotubes that were synthesized through peptide nanostructure templating for explosive sensing. The fluorescent silica nanotubes (FSNTs) can be dispersed in ethanol or in water and can be directly casted on the surface to form a porous network. The fluorescence of porous FSNT surface was quenched significantly within few seconds by vapors of nitro-aromatic explosives: trinitrotoluene (TNT) and dinitrotoluene (DNT). In order to demonstrate the effect of silica nanotube surface area on sensor performance, we also analyzed dye doped nonporous silica thin film and silica nanoparticle sensors. Furthermore, the selectivity of the FSNT sensor is tested with vapors of other aromatic molecules including nitrobenzene, toluene, xylene, benzoic acid, and dihydroxybenzoic acid.
9:00 AM - AA9.13
Gas Sensing Properties of TiO2 Nanotubes Array Fabricated by Template-directed Atomic Layer Deposition
Myungjun Kim 1 Hyunjun Yoo 1 Hyunchul Kim 1 Jubong Lee 1 Dongho Kim 2 Si-Hyung Lim 2 Hyunjung Shin 1
1Kookmin University Seoul Republic of Korea2Kookmin University Seoul Republic of Korea
Show AbstractNanotubular structures having the ultrahigh surface-to-volume ratio are very attractive for gas sensing. We present gas sensing properties of TiO2 nanotubes' arrays prepared by template-directed Atomic Layer Deposition allowing precise control over the dimensions of the nanotubes at low temperature. XRD and TEM analysis reveals that the fabricated TiO2 nanotubes were consisting of poly-crystalline anatase. Two different device configurations of metal/oxide interfaces were adopted for gas-sensing. Firstly, symmetrical ohmic contact devices which have been often chosen to build gas-detection devices were prepared by deposition of Ti/Pt electrodes at the both ends. Secondly, Schottky contact devices were fabricated by depositing Ti/Pt at one end and Pt, instead of Ti/Pt, at the other. We observed that both types of TiO2 nanotubes array-based sensors show repeatable response to exposure of the various gases, for example, NH3(g) and H2O(g). Notably, not only considerable enhancement in sensitivity at low temperature (~ 150°C) but also fast response and recovery time were achieved in Schottky contact devices. Our TiO2 nanotubes' arrays with high specific surface area and Schottky nanoscale junctions could provide highly efficient gas sensors at low temperatures.
9:00 AM - AA9.14
Engineering a Single Silver Nanowire to Detect Ammonia
Wendong Xing 1 2 Jun Hu 1 Ruqian Wu 1 Reginald M Penner 2 3
1UC-Irvine Irvine USA2UC-Irvine Irvine USA3UC-Irvine Irvine USA
Show AbstractDue to small size, high sensitivity, and low power consumption, one dimensional nanowire sensors have attracted much attention and may substitute for traditional thin film sensors in the future. In this work, a single silver (Ag) nanowire was prepared on glass surface using the Lithographically Patterned Nanowire Electrodeposition (LPNE) method. The produced Ag nanowire had a mean grain size ~ 30 nm, height ~ 40nm and width in the range from 100 to 400 nm. Electromigration was used to create a narrow restriction composed of p type AgxO inside the nanowire and its resistance upon exposure to different concentrations of NH3 gas was investigated at room temperature. The electromigrated sensors showed a resistance increase, ?R/R0, that was large (90 % for 7 % NH3), fast (< 30 s) and reversible with a detection limit ~ 200 ppm. First-principles calculations were performed to understand this gas-induced change of resistance. It is found that NH3 molecules bind strongly to Ag sites on the AgxO surface with site dependence. The binding energy varies from 0.22 to 1.31 eV, and each molecule donates from 0.10 to 0.27 electron to the AgxO, decreasing the hole carrier concentration, which increases the resistance of the nanowire.
9:00 AM - AA9.15
Transparent Conducting Electrodes for High Strain, Dielectric Elastomer Devices
Samuel Shian 1 Roger Diebold 1 2 Alena McNamara 1 David R Clarke 1
1Harvard University Cambridge USA2University of California Santa Barbara Santa Barbara USA
Show AbstractOwing to their high energy density capabilities, very large strain, and relatively fast response, dielectric elastomer actuators (DEA) have great potential for many applications in robotics, mechatronics, medical devices, and energy generators. For each of these applications, the electrodes must be highly compliant and capable of very large strains. In addition, for optical device applications, the electrodes must also be transparent. In this work we describe a hierarchal electrode structure including one-dimensional nanostructured materials, such as silver nanowires and carbon nanotubes, and a method for fabricating them. We present data on conductivity-strain-transparency on our composite, hierarchal electrodes, indicating that they are promising alternatives to carbon grease for DEA devices.
9:00 AM - AA9.16
High Performance Single In2Se3 Nanowire Photodetector
Qinliang Li 1 Yang Li 1 Jing Gao 1 Suidong Wang 1 Xuhui Sun 1
1Soochow University Suzhou China
Show AbstractNanodevices based on one-dimensional inorganic nanostructures have become one of focal points of research in applications ranging from electronics, photonics, energy harvesting and storage, to sensors due to their ultra small volume, higher performance and less energy consumption. Among them, as one kind of significant application, photodetectors are essential elements in high resolution imaging techniques, light wave communications, and future optical memory and circuits as well. Indium selenide (In2Se3), an n-type III-VI compound semiconductor with narrow direct band gap, has attracted substantial attention as a promising semiconductor material for several different applications such as photodetector, photovoltaic solar cell, optoelectronics, phase-change memory, and ionic battery. In this report, the single In2Se3 NW photodetectors were fabricated with high-quality In2Se3 NWs synthesized by simple thermal evaporation approach and the performance characteristics of the NW devices were systematically investigated. The single In2Se3 NW photodetectors show high and stable photoresponse at wide light wavelength (254-800 nm) and temperature range (7-300 K). The spectra response curve indicates the absorption coefficient of the In2Se3 NWs at certain wavelength dominates the performance of the devices. The good linearity of the photocurrents with the incident irradiation over a wide wavelength range has been obtained, indicating the In2Se3 nanowire photodetector works under a typical light dependent resistor mode. The excellent performance of the In2Se3 NW photodetectors opens up the possibilities of using In2Se3 NWs for next-generation photodetection and photosensing applications.
9:00 AM - AA9.18
Chemical Sensing with Vertical Silicon Nanowire Arrays
Christopher R Field 1 Hyun Jin In 1 2 Cy Tamanaha 1 Susan L Rose-Pehrsson 1 Pehr E Pehrsson 1
1U.S. Naval Research Laboratory Washington USA2NRL/NRC Postdoctoral Fellow Washington USA
Show AbstractVertically aligned nanowire arrays can be highly effective in applications including gas detection, electrochemical energy storage, and photovoltaic power generation. The vertically aligned configuration enables ultra-dense placement of nanowires, maximizes signal-to-noise, and minimizes adverse effects from the substrate. Devices that use vertical nanowire arrays, such as ultrasensitive gas sensors, require top and bottom electrodes to electrically connect each nanowire in the array. While the substrate itself typically serves as the bottom electrode, the challenge lies in making a porous top electrode layer that electrically connects the tips of the nanowires while still allowing gas to pass through to the sensing nanowires beneath. Here, we present a quick, repeatable, and scalable new fabrication method based on nanosphere lithography for creating large Silicon Nanowire Vertical Arrays with a PORous top electrode (SiN-VAPOR) and describe their performance as a highly sensitive gas sensor. The vertical array configuration helps to greatly increase the sensitivity of the sensor while the pores in the top electrode layer significantly improve sensing response times by allowing analyte gases to pass through freely. Thus far, the SiN-VAPOR devices have been used to detect ammonia, hydrazine and nitrogen dioxide in humidified air, as well as trace (ppb) levels of explosives vapors. NO2 detection down to 10 parts-per-billion (ppb) was demonstrated, and an order of magnitude improvement in sensor response time is shown in the detection of NH3. The sensors had very fast response times and large signal-to-noise ratios. Calibration curves were created using both an initial slope method and a fixed-time point method. The initial-slope method had a power law dependence that correlated well with concentration, demonstrating a viable alternative for quantitative vapor detection, and enabling shorter sampling and regeneration times.
9:00 AM - AA9.2
Investigation of Gas Sensing Characteristics of TiO2 Nanotube Channel Field Effect Transistor
Masayuki Ishii 1 Masahiro Terauchi 2 Takeshi Yoshimura 1 Tadachika Nakayama 2 Norifumi Fujimura 1
1Osaka Prefecture University Sakai Japan2Nagaoka University of Technology Nagaoka Japan
Show Abstract[Introduction] TiO2 nanotube (TNT) is expected to be applied in various field including photocatalyst, anode of dye-sentisized solar cell and chemical sensor due to the large ratio of surface area to volume. We have revealed that the electric conductance of the FET with TNT channel (TNT-FET) is dominated by double Schottky barrier (DSB) which is generated between TNTs [1]. Since the electric conductance of TNT-FET should be suitable for sensing application, sensing characteristics of TNT-FET for ethanol gas are investigated in this study. [Experiment] Au/Ti source-drain electrodes were fabricated on SiO2/Si substrates through photolithograph process. TNTs fabricated by solution technique (length: a few hundred nm, diameter: 8~10nm) were dispersed in ultrapure water. The dispersion liquid was dropped on the FET with pipette and TNT channel with a length of 2?m was formed by dielectrophoresis. The TNT-FET was set in quartz tube and the drain current-drain voltage (ID-VD) was measured in pure nitrogen gas (99.0%) and mixed gas of nitrogen and ethanol (30ppm) (E/N gas) at room temperature. [Results and Discussion] The TNT-FET shows that ID in nitrogen gas is larger than in E/N gas. To investigate the origin of the gas sensing, the ID-VD characteristics were analyzed by the model for DSB proposed by Mukae et al [2]. In this model, the height of DSB is proportional of the maximum of nonlinear index (?m) of increase in ID for VD. VD where ?m is obtained is defined as Vm. It was found that both ?m and Vm decrease in E/N gas, which indicates that DSB is lowered by adsorption of ethanol molecule on TNT-channel. Since the relationship between ?m and Vm is proportional in the model, the decrease of Vm in E/N gas is reasonable. Since the height of DSB decreases with decreasing the carrier concentration [3], lower ID in E/N gas can be explained by the decrease of the carrier concentration in TNT. This indicates that ID is dominated by not DSB between TNTs but the conductance of TNT. The origin of sensing will be discussed in detail. [1] M. Ishii et al, Mater. Sci. Eng., 2011, 18, 082019. [2] K. Mukae et al, Jpn. J. Appl. Phys., 1977, 16, 1361. [3] F. M. Hossain et al, J. Appl. Phys., 2003, 94, 7768.
9:00 AM - AA9.22
Microfluidic Devices Integrated with VLS Grown Oxide Nanowires for Controlling Dynamics of Long DNA Molecules
Sakon Rahong 1 Takeshi Yanagida 1 2 Masaki Kanai 1 Takao Yasui 3 Keisuke Oka 1 Annop Klamchuen 1 Meng Gang 1 Kazuki Nagashima 1 Mati Horprathum 1 4 Koki Motoyama 3 Noritada Kaji 3 Yoshinobu Baba 3 Tomoji Kawai 1
1The Institute of Scientific and Industrial Research, Osaka University, 8-1 Mihogaoka Ibaraki Japan2PRESTO, Japan Science and Technology Agency, 4-1-8 Honcho Kawaguchi Japan3Graduate School of Engineering, Nagoya University Furo-cho, Chikusa-ku Japan4National Electronics and Computer Technology Center Klong Luang Thailand
Show AbstractFast sequencing technique for long DNA is extremely important for next-generation medical systems. Electrode-embedded nanopore (EN), which enables to measure electric signals from individual nucleotides, is an alternative candidate for such purpose. Since EN must read out the electric signals from a long DNA molecule sequentially, elongating the thermodynamically stable spherical shaped DNA molecules and translocating them toward EN by maintaining the straight shape are most crucial issues. Although most existing techniques seem to be not able to satisfy such requirements, "Nanostructure electrophoresis" might be a good candidate to manipulate the dynamics of such long DNA molecules by sieving them with nanopillar arrays in microfluidic chip. In nanostructure electrophoresis, the size of nanopillars is theoretically rather important because it determines the frequency and modes of collisions between the nanopillars and DNA. It has been also pointed out that the pillar size should be comparable to the Kuhn length of DNA molecules for controlling the dynamics with the rope over pulley regime. However, the fabrication of molecular sized nanopillars beyond the current top-down technique had been quite difficult. Here we demonstrate the elongation of the long DNA molecule by using a bottom-up oxide nanowire array embedded in microfluidic chip. The oxide nanowires with around 10nm diameter were fabricated via the Au metal catalyst assisted VLS growth mechanism. T4 DNA molecule was successfully elongated in the microfluid under constant electric field. Surprisingly, the elongated T4 DNA molecules migrated through the nanowire arrays by keeping their straight shape. Our findings might have potential not only to understand the fundamental dynamics of long DNA molecules in nanopillar array but also to realize the next-generation DNA sequencing with EN technique.
9:00 AM - AA9.23
Electroluminescence of p-GaN/MgO/n-ZnO Heterojunction Light-emitting Diodes
Xinyi Chen 1 Alan M. C. Ng 1 Aleksandra B Djurisic 1 Wai-Kin Chan 2 Patrick W. K. Fong 3 Hsian Fei Lui 3 Charles Surya 3
1The University of Hong Kong Hong Kong Hong Kong2The University of Hong Kong Hong Kong Hong Kong3Hong Kong Polytechnic University Hong Kong Hong Kong
Show AbstractLight-emitting diodes (LEDs) based on p-GaN/ZnO heterojunction were fabricated. GaN was deposited on sapphire using metal-organic chemical vapor deposition (MOCVD), and two kinds of ZnO i.e. ZnO thin film deposited by sputtering and ZnO nanorods (NRs) grown by hydrothermal methods were used as n-type layer respectively. MgO film with the thickness around 10 nm was deposited by E-beam deposition to act as a dielectric interlayer between GaN and ZnO. Photoluminescence (PL), electroluminescence (EL) and I-V curves were measured to compare the properties of GaN based heterojunction LEDs with different architectures. The existence of MgO interlayer as well as the morphology of ZnO obviously influenced the electrical and optical properties of GaN based LEDs. The effect of MgO interlayer on ZnO growth, properties and I-V curves and emission spectra of LEDs is discussed in detail.
9:00 AM - AA9.24
Low Cost Environmental Sensors Using Zinc Oxide Nanowires and Nanostructures
Nima Mohseni Kiasari 1 Saeid Soltanian 1 Bobak Gholamkhass 1 Peyman Servati 1
1The University of British Columbia Vancouver Canada
Show AbstractZinc oxide (ZnO) nanowires (NWs) are promising semiconductor materials in view of their distinct optical, electro-mechanical, physical and chemical properties. Examples of these applications include flexible electronic skin, piezoelectric power generation and chemical sensing. In particular, the high surface area and the presence of oxygen vacancies on the surface makes ZnO a promising candidate for sensing of oxygen and water species in gaseous and aqueous environments. A low cost, fast and accurate sensor for oxygen and humidity are critical for many applications including hydrogen fuel cells and water quality monitoring. One of the current challenges in the fabrication of NW-based devices in bottom-up approach is the alignment of a single NW between two metallic electrodes. Some of the techniques that are being used such as e-beam lithography are expensive, complicated and non-scalable, and as a result not applicable for low cost device integration. In recent years, there have been several reports on the use of dielectrophoresis (DEP) as a high-precision and low cost bottom-up assembly technique with a yield as high as 98%, which proves the importance of this method for efficient assembly of a wide range of devices such as transistors and sensors. In this work, ZnO nanowires and nanostructures were grown on various substrates (e.g., Silicon and Sapphire) in a conventional chemical vapor deposition (CVD) system. As-grown wires were characterized by scanning electron microscope (SEM), X-ray diffraction (XRD) as well as energy dispersive X-ray (EDX) and the results confirm high-quality c-axis growth of single-crystalline zinc oxide nanowires. These nanowires were aligned between micro-patterned gold electrodes fabricated on a variety of substrates such as plastic using DEP process for fabrication of oxygen and humidity sensors. Current-voltage characteristics of each device were systematically investigated under different hydrostatic pressure of gaseous environment. Beside hydrostatic pressures, devices were tested at different relative humidity levels as well as humidity pulses and the response of the sensors was accurately measured. It was observed that these ZnO NW devices show fast, sensitive and reproducible response to oxygen as well as relative humidity and the sensitivity is dramatically affected by temperature due to higher surface activity of NW at elevated temperatures along with the change in type of adsorbed species at higher temperatures. These results are critical for development of low cost metal oxide sensors for high performance ubiquitous environmental sensors.
9:00 AM - AA9.25
Fabricating Vertical Silicon Nanowire Electronic Devices on Arbitrary Substrates
Jeffrey Weisse 1 Chi Hwan Lee 1 Dong Rip Kim 1 Xiaolin Zheng 1
1Stanford University Stanford USA
Show AbstractVertical silicon nanowire (NW) arrays, due to their fully exposed surface, anisotropic properties, ability for deformation and tunable NW density, are important building blocks for various applications such as vertical surround-gate field effect transistors, thermoelectric devices, piezoelectric devices and radial junction solar cells. Ideally, these NW devices prefer to be fabricated on various substrates to provide the desired thermal conductivity, transparency or flexibility for the final devices. Such applications have three critical fabrication challenges: 1) isolating the SiNW arrays from their growth substrates while maintaining their vertical orientation; 2) forming metallic contacts on both ends of NWs with excellent mechanical support and electrical isolation in between; 3) and attaching the vertical NW arrays to arbitrary device substrates. To overcome these fabrication challenges, we have developed an elegant transfer printing method to controllably transfer and fabricate vertically aligned SiNW electronic devices on arbitrary substrates. The key for this transfer printing method is to create a horizontal crack through the SiNW arrays by inserting a water soaking step between two consecutive silver-assisted chemical etchings steps. The existence of the crack facilitates the transfer of vertically aligned SiNW arrays of uniform length and the exposure of both ends of the SiNWs for metal contact formation. After forming the crack but prior to the transfer of the SiNWs, the array is embedded with a thin polymer which provides mechanical support and electrical insulation. The polymer is subsequently etched to expose the tips of the SiNWs, allowing a metal electrode to be deposited on one end. The SiNW/polymer composite is then attached to an arbitrary substrate coated with a conductive adhesive. Upon application of a gentle shear force on the growth substrate, the SiNW array embedded in the polymer evenly separates from the growth substrate at the crack location, revealing the other cracked side of the SiNWs. The tips of the cracked SiNW side are subsequently exposed by a brief polymer etching prior to the deposition of the other metal contact. As such, vertically aligned SiNW arrays with metal contacts on both sides are fabricated on arbitrary substrates. With this new transfer printing method, we have successfully fabricated pure vertically aligned p-type and axial modulated p-n SiNW array devices on arbitrary substrates (i.e., glass, plastics, and metal foils), which exhibit linear-like and rectifying current-voltage characteristics respectively, demonstrating the usefulness and generality of this transfer printing method. We believe that this transfer printing method will greatly facilitate the characterization and device implementation of vertical NW devices.
9:00 AM - AA9.27
Features of Improvement of Periodically Doped Channel Si-MOSFET Technology Based on Self-forming Nanostructures
Oleg Michaelovich Orlov 1 Valery Smirnov 2 Gennady Krasnikov 1
1Mikron JSC Moscow Russian Federation2Vostek JSC Yaroslavl Russian Federation
Show AbstractSelf-forming nanostructures (SFN) currently are considered as Si-MOSFET improvement approach. Features of improvement of periodically doped channel Si-MOSFET technology based on SFN are presented. SFN is a wave-ordered structure with a controllable pitch (20-180nm) [1] - results from the off-normal bombardment of amorphous silicon layers by low-energy (~1-10keV) nitrogen ions. The nanostructure has been modified by reactive-ion etching in plasma to form a periodic nanomask on the surface of the channel region of a metal-oxide-semiconductor field-effect transistor (MOSFET). Implantation of arsenic ions through the nanomask followed by technological steps completing the fabrication of the MOSFET resulted in a periodically doped channel field-effect transistor (PDCFET), which can be considered as a chain of short-channel MOSFETs with a common gate. Despite its worse subthreshold characteristics, PDCFET demonstrates greater drain current and transconductance (~30%) compared to MOSFET without a periodically doped channel. Formation of periodically doped channel technology optimization, in particular by making shallower high-conductance doped areas, allows to improve transistor characteristics. References [1] V. K Smirnov, D. S.Kibalov, O. M.Orlov and V. V.Graboshnikov. Technology for nanoperiodic doping of a metal-oxide-semiconductor field - effect transistor channel using a self-forming wave-ordered structure // Nanotechnology, 2003, N14, PP.709 - 715.
9:00 AM - AA9.29
Large-area Deposition of Silver Nanowire Random Networks
Jae-Min Lee 1 Jung-Yong Lee 1
1Korea Advanced Institute of Science and Technology Daejeon Republic of Korea
Show AbstractSilver nanowire (AgNW) meshes are one of the most popular alternatives to a conventional transparent conductive electrode, indium tin oxide (ITO), as AgNW meshes have many advantages over ITO in terms of the production cost, flexibility on substrates, and mechanical robustness. Although many studies have reported that the electrical and optical properties of the meshes are comparable to those of ITO on a small scale (~1 inch square) [1], scalability of the meshes needs to be explored further, in order for AgNW meshes to be commercially viable. Here, we report spray-coated AgNW meshes that are uniformly deposited on large-area substrates with properties comparable to ITO. For uniform deposition, we found that controlling the concentration of the AgNW suspensions and obtaining small droplets from the spray nozzle are primary factors among various deposition parameters. Flow rates of suspension and carrier gas (N2) pressure are optimized to form as small a droplet as possible. The humidity and the substrate temperature are also found to play important roles to keep the meshes from clustering on the substrate while the solvent of the suspension is evaporating. On a 4 x 4 inch square substrate, 12.4 ohm/sq of sheet resistance at 94.1 % of transmittance in visible region is obtained, and the film shows 2.6% and 0.3% deviations of the sheet resistance and the transmittance, respectively. We will also present the optical and electrical characteristics of AgNW meshes with various dimensions. [1] J. -Y. Lee, S.T. Connor, Y. Cui, and P. Peumans, Nano Letters 8, 689 (2008).
9:00 AM - AA9.3
Si Nanosheets Organic Light Emitting Diodes
SungWook Kim 1 Dong-Jea Seo 1 Sang Youp Yim 2 Heon Jin Choi 1
1Department of Materials Science and Engineering, Yonsei University Seoul Republic of Korea2Advanced Photonics Research Institute, Gwangju Institute of Science and Technology Gwangju Republic of Korea
Show AbstractSilicon (Si) is used for many electronics. However, using Si in optics is limited due to its in-direct band gap nature. One of the ways to overcome the limitation is using low- dimensional Si nanostructures. Quantum dots are typical example, however, low quantum efficiency due to injection of electrons through insulators hinder the application of these nanostructures in Si optics. In this study, we explored Si nanosheets as optically active materials for organic light emitting diodes (OLEDs). Si nanosheets with thickness of from 1 to 5 nm were synthesized using a chemical vapor deposition (CVD) process. These Si nanosheets showed thickness-dependent emission in photoluminescence (PL) measurement due to their enhanced direct band transition. The OLEDs were then fabricated by using Si nanosheets as the electron-hole recombination materials and it showed white emissions with high quantum efficiency. The advantages of two-dimensional Si nanosheets as active materials for OLEDs will be discussed.
9:00 AM - AA9.30
Rhodium: Template-assisted Electrodeposition of Separable Nanowires for Sensor Applications
Mahshid Sam 1 Nima Moghimian 1 Jesse Coelho 1 Stephen D Warwick 1 Ryan Key 1 2 Rustom B Bhiladvala 1
1University of Victoria Victoria Canada2University of Victoria Victoria Canada
Show AbstractRhodium (Rh) has not received much attention as a material for nanostructures, but has useful properties for several applications. While it is a noble metal, with low surface corrosion, it can form a few salts enabling electrodeposition solutions which are more stable and less toxic than the complexes needed for gold. It has density about 5.5 times and elastic modulus about twice that of (100) single-crystal silicon (SCS). Inspite of the high intrinsic damping in fine-grained Rh, the quality factor (Q-factor) of resonance spectra, is of the same order as that for SCS nanowires of comparable size. A high value of the Q-factor, a measure of the ratio of elastic and inertial forces, to damping forces, is of importance in many sensing applications. For example, Rh nanowires are well suited to mass sensing for molecular diagnosis, as they maintain a high resonance Q-factor over a range of ambient air pressure [Nature Nano, 3, p88 (2008)]. We synthesize high aspect ratio rhodium nanowires by using potentiostatic electrodeposition from acidic sulphate media in an aluminum oxide nanoporous template. Field emission scanning electron microscopy was carried out along with energy dispersive X-ray spectroscopy (EDX) showing a high-yield of wires over 10 µm long and 200-300 nm in diameter. The EDX data also showed low incorporation of other elements from the materials used for nanowire growth. Chronoamperometry (current-time transient curves) during Rh nanowire electrodeposition was used to obtain the diffusion coefficient and density of nucleation sites, useful for comparison with nucleation and growth data for electrodeposition of Rh on bulk planar electrodes.
9:00 AM - AA9.31
Synthesis and Characterization of Silver Nanoparticles for Biosensors Design
Edmy J Ferrer 1 Pedro Rivera 1 Anthony Lledo 1 Alexander Vega 1 Marcos Torres 1 Eulalia Medina 1 Lourdes Diaz 1 Humberto Del Canto 1
1Interamerican University of Puerto Rico Ponce Puerto Rico
Show AbstractSilver nanoparticles have been used in several biological, technological and medical applications. It is already known that the size of nanoparticles play an important role in their electrical, optical and magnetic properties. Simple syntheses methods allow us to prepare nanoparticles controlling their size and inhibit growth are object of study. Our research focused in synthesis of silver nanoparticles for their application as biosensors. We described the preparation of silver nanoparticles using different reducing agents. Ascorbic acid, glucose, Sodium Borohydride and sodium citrate were the reducing agents selected for the study. A variety of colors like yellow, amber, gray and translucent were achieved. Ultraviolet-visible spectroscopy was performed for to confirm the formation of silver nanoparticles. The analyzed samples show an absorption band center between 380nm and 430 nm. Yellow nanoparticles were selected to perform the interaction with different amino acids. After the addition of selected amounts of amino acids to colloidal nanoparticle samples, important changes in the absorption band were observed. A band shift between 350 nm to 500 nm was observed. We preliminary assigned this band to amino acid-nanoparticle interaction but more characterization is required for conclusions. The study was performed with urea, cysteine, glycogen, dextrose and lecithin.
9:00 AM - AA9.32
Gas Phase Electrodeposition: A Programmable Localized Deposition Method for Rapid Combinatorial Investigation of Nanostuctured Devices and 3D Bulk Heterojunction Photovoltaic Cells
En-Chiang Lin 1 Heiko Jacobs 1
1University of Minnesota Minneapolis USA
Show AbstractThis article applies a recently discovered gas phase nanocluster electrodeposition process to the formation and combinatorial improvement of 3D bulk heterojunction photovoltaic cells. The gas phase deposition process used here is a single reactor system that forms charged nanoclusters (gold, silver, tungsten, and platinum) at atmospheric pressure. The clusters deposit onto selected surface areas with sub 100nm lateral resolution using a programmable concept similar to liquid phase electrodeposition such that biased electrodes turn ON or OFF deposition in selected areas. Continued deposition of the nanoparticles results in tower arrays with different lengths and density on a single substrate which are used as contacts to the active organic layer of 3D bulk heterojunction photovoltaic cells. Applying a combinatorial approach identifies in a massively parallel way electrode designs and topologies that improve light scattering, absorption, and minority carrier extraction. We report photovoltaic cells with higher and denser nanocluster tower arrays that improve the power conversion efficiency of bulk heterojunction photovoltaic cells by approximately 47.7%.
9:00 AM - AA9.33
Synthesis and Characterization of Au/NiO/Au Nanowires by Electrodeposition and Thermal Treatments for Memory Device Applications
Daniele Perego 1 Laura Cattaneo 1 Silvia Franz 1 Massimiliano Bestetti 1 Stefano Brivio 2 Graziella Tallarida 2 Sabina Spiga 2
1Politecnico di Milano Milano Italy2IMM-CNR Agrate Brianza Italy
Show AbstractMetal/oxide/metal (MOM) heterostructure nanowires could represent the building blocks of future ultra-scaled electronics devices. In particular, MOM structures could exhibit resistance switching under electrical pulses between low and high resistance states, which makes them definitely appealing for non-volatile memory applications. In this work, Au/NiO/Au nanowire arrays are fabricated by electrodeposition of Au/Ni/Au multilayers into suspended Anodic Aluminum Oxide (AAO) membranes, followed by mechanical polishing of the AAO membrane and thermal oxidation of the Ni segment. Thermal treatments were carried out at 400 °C in oxygen atmosphere for times ranging from 3 to 30 seconds, as well as at 300 °C in air for 6 hours. The resulting nanowires have 60 nm average diameter and vertical orientation with 2D hexagonal order as reflected from that of the AAO nanopores. The length of the Ni segment was varied between 20 nm and 400 nm, while the Au electrodes are several microns long. It is worth noticing that the proposed approach allows also to vertically stack several Au/NiO/Au nanowire-based memory cells, with controlled length of each segment. Structure and morphology of the heterojunction NWs embedded into the AAO matrix are characterized by Scanning Electron Microscopy, X-Ray Diffraction and Atomic Force Microscopy. High-resolution Transition Eelectron Microscopy analyses (performed after dissolution of the AAO matrix) show that NiO has a polycrystalline cubic structure and the Au nanowires are crystallized with (111) preferential orientation along the growth direction. For the electrical characterization of the Au/NiO/Au arrays, large Au pads are deposited on the top of the AAO template connecting in parallel several nanowires. Moreover, the electrical properties of the single nanowire are addressed by conductive-AFM (c-AFM) analyses. After a forming procedure, the Au/NiO/Au structure exhibits unipolar switching among two different resistance states, with programming current down to the µA range or below, demonstrating the possibility to achieve a low power memory operation.
9:00 AM - AA9.34
Electrospun SrTiO3 Nanowires for Efficient Electron Transport Layers of Inverted Polymer Solar Cells
Kim Won Bae 1 2 Suh Yo-Han 1 Kim Yong Seok 2
1Gwanju Institute of Science and Technology Gwang-Ju Republic of Korea2Gwanju Institute of Science and Technology Gwang-Ju Republic of Korea
Show AbstractInverted polymer solar cells have very outstanding aspects for practical implementation because of large-area cell fabrication and long term stability, but they still have lower power conversion efficiency than regular polymer solar cells. One of the major factors for enhancing the performance of inverted polymer solar cells efficiency is the development of efficient electron transport layer that is able to minimize electron-hole pair recombination. In this work, we synthesized SrTiO3 nanowires by electrospinning method as the efficient electron transport layer along TiO2 buffer layer for inverted polymer solar cells. The nanowires of SrTiO3, with faster electron mobility than that of TiO2, can provide continuous electron pathways and large interfacial area with P3HT:PCBM blend layer. After characterizing the morphological and electronic properties of the electrospun SrTiO3 nanowires, we fabricate the inverted polymer solar cells using the electron transport layer incorporated with SrTiO3 nanowires. Our devices using SrTiO3 nanowires have remarkably increased short circuit current, fill factor and power conversion efficiency, comparing to the device without SrTiO3 nanowires. These results could explain that electrospun SrTiO3 nanowires have suitable morphological and electronic properties for electron transport layer of inverted polymer solar cells. Acknowledgment This work was supported by the National Research Foundation of Korea (NRF) grant funded by the Korea government (MEST) (No. 20110016600 (Mid-career Research Program)), the Global Frontier R&D Program on Center for Multiscale Energy System, and Basic Science Research Program through the National Research Foundation of Korea (NRF) funded by the Ministry of Education, Science and Technology (No. R15-2008-006-03002-0).
9:00 AM - AA9.36
Room Temperature Large-area Nanoimprinting of Hydrogen Silsesquioxane Nanowires for Broadband Biomimetic Antireflection Surfaces
Bihter Daglar 1 2 Hakan Deniz 1 2 Tural Khudiyev 1 2 Fatih Buyukserin 3 Mehmet Bayindir 1 2 4
1Institute of Materials Science and Nanotechnology Ankara Turkey2UNAM-National Nanotechnology Research Center Ankara Turkey3Department of Biomedical Engineering, TOBB University Ankara Turkey4Department of Physics, Bilkent University Ankara Turkey
Show AbstractBackreflection from optical surfaces decreases device performance and is therefore undesirable. To reduce Fresnel reflection from surfaces and improve optical transmission, preparation of antireflective (AR) coatings for LEDs, solar cells and displays is attracting a great deal of attention in recent years. Most common AR coatings are single/multi-layer dielectric thin films which exploit destructive interference of light rays reflected from the interfaces to reduce the intensity of the backreflected light. However, these films usually provide antireflective properties only for a limited range of incidence angles and spectral wavelengths. To overcome these shortcomings of conventional AR coatings, the graded refractive index layers method or the antireflective structure (ARS) method can be effectively utilized to reduce the reflection from the surfaces. In this work, broadband biomimetic antireflection surfaces have been produced with sub wavelength hydrogen silsesquioxane (HSQ) nanowires on glass substrates using room temperature nanoimprint lithography and anodized aluminum oxide (AAO) membranes. AAO membranes as a template offer high density long-range ordered porous architecture with hexagonal symmetry and flexibility to tailor the shape, periodicity and aspect ratio for the production of nanostructures for antireflection purposes. Nanoimprint lithography is used as a simple and low cost method with high resolution and high throughput in fabricating nano-scale antireflection coatings. HSQ is chosen because it can be used in room temperature nanoimprinting without any further treatment, producing features with very high resolution linewidth. Moreover, cured HSQ films show single layer AR coating properties due to its refractive index modulation from 1.43 to 1.40 in the wavelength 400-800 nm range. Simulations showed that up to 99% transmission could be obtained from double sided tapered HSQ nanowire arrays on HSQ thin film and glass substrates. In addition, the manufactured nanowire arrays have manifested superior omnidirectional antireflection properties (up to 70°) with an average reflectance below 2% in the visible spectrum (400-800 nm).
9:00 AM - AA9.37
Synthesis of Nanostructures Materials and Their Application to Fingermarks Impressions
Edmy J Ferrer 1 Isuanett Maldonado 1 Eulalia Medina 1 Aileen Garcia 1 Lourdes Diaz 1 Humberto Del Canto 1
1Interamerican University of Puerto Rico Ponce Puerto Rico
Show AbstractPowder materials have been used routinely for the detection of fingerprints in the Forensic Science Field. Previous studies in the application of nanostructure Zinc Oxide (ZnO) powder to fingermarks impressions are reported in the literature. However more studies in the application of nanoparticles to the improvement of fingermarks detection are needed. Our work consisted in the evaluation of the effectiveness of nanostructures materials for the enhancement of fingermarks impression resolution. We successfully achieved the synthesis of nanostructure ZnO powder1. A preliminary study was performed using Aluminum and ZnO powders. Fresh fingermarks and aged fingermarks on dry nonporous surfaces were studied. Sebaceus fingermarks and natural sweat fingermarks impressions were obtained by volunteer students. The impressions samples were divided in three groups for the study. Group I was treated at the moment, group two and three were treated four and ten days later, respectively. It was found that the samples treated with nanostructure ZnO powder presented higher definitinion and details than the samples treated with Aluminum powder. powde. Fingermarks prepared with the natural fingers sweat shows more definition and details. Also we are working in the coupling of metal nanoparticles and fluorescent semiconductor nanoparticles with the nanostructure ZnO powder for their application in wet and porous surfaces.
9:00 AM - AA9.38
ZnO Nanowire Forest for Pool Boiling Heat Transfer
Dongjin Lee 1 Taehyun Kim 1 Seung S Lee 1 Seung H Ko 1
1Korea Advanced Institute of Science and Technology Daejeon Republic of Korea
Show AbstractWe report on application of zinc oxide nanowire forest for pool boiling heat transfer, a way of electronics cooling. The forest is composed of highly dense, long branched tree-like hierarchical nanowires that could enhance nucleation of bubble when the coolant starts to boil. Platinum heater and 4-wire resistance temperature detector (RTD) was patterned on one side of silicon wafer covered with 300 nm thick silicon dioxide by photolithography and the pattern was protected during nanowire growth. The resistance of heater and RTD was 60 and 120 ? at room temperature, respectively. Nanowire forest was grown following the recipe in Ref [1] on the other side of wafer. Firstly, the chip was seeded with ZnO nanoparticles and immersed into aqueous precursor solution containing 25 mM zinc nitrate hydrate [Zn(NO3)26H2O], 25 mM hexamethylenetetramine (C6H12N4, HMTA) and 5-7 mM polyethylenimine (PEI) at 95 °C for 5 hrs. After being heated at 350 °C for 10 mins, the chip was seeded again and subsequent hydrothermal growth was performed. Three kinds of samples (NF1, NF2 and NF3 for one time, twice, and three times growth, respectively) were prepared depending on the number of hydrothermal growth performed. The chip was mounted on the PCB for the electrical connection to boil the coolant (Fluorinert FC-72, 3M) and detect the chip temperature from RTD. The custom-made heat transfer testing set-up is similar to that in Ref [2]. The superheat (subtraction of saturation temperature of coolant from chip temperature) and heat flux (multiplication of voltage supplied to and current through the heater) were evaluated while the voltage in heater was increased gradually. The heat flux gradually increased linearly with superheat at the initial stage of heating due to convective heat transfer and abruptly increased once the coolant started to boil. In the meantime, superheat decreased suddenly, which is enhancement of heat transfer by boiling. As nucleation of boiling fully develops all over chip surface, heat flux is maximized, termed as critical heat flux (CHF). The CHF is an indication of how well the heat from the electronics is dissipated to the coolant. The CHF of NF1, NF2 and NF3 was measured as 8.6, 13.2 and 14.3 W/cm2 with the reference value of 10.2 in bare silicon chip. Even though CHF is lower than bare chip in one-time growth chips, it is higher than in two and three-time growth chip. It seems that the hierarchically branched ZnO nanowire plays a role of bubble nucleation sites enhancing boiling heat transfer. The superheat at instance of CHF was observed 14-18 °C, which is much smaller than 43 °C in bare chip. This suggests an effective electronics cooling by boiling heat transfer. More study will be performed on the effect of backbone nanowire length and more growth times. [1] Ko et al., Nano Letters 2011, 11, 666-671. [2] Im et al., International Journal of Micro-Nano Scale Transport 2010, 1, 79-95.
9:00 AM - AA9.39
CdS-PbS Alloy Nanostructures for Optical Applications from Mid-Infrared to Visible Wavelengths
Patricia L Nichols 1 2 Minghua Sun 2 Leijun Yin 2 Cun-Zheng Ning 2
1Arizona State University Tempe USA2Arizona State University Tempe USA
Show AbstractBandgap is one of the most essential properties to consider when designing an optical device. A wide-range of bandgaps within a single device is a powerful concept for many optical applications including solar cells, detectors, and nanolasers. Lead sulfide (PbS) has a narrow bandgap of 0.4 eV and is an important material for mid-infrared optical applications. By alloying PbS with cadmium sulfide (CdS), which has a bandgap of 2.4 eV, the ternary alloy CdPbS can potentially cover the entire spectral window that is of significance to photovoltaics. Recently published simulations for a laterally-arranged multiple bandgap solar cell predict high efficiencies using the CdxPb1-xS alloy material. As these alloys are not achievable in the bulk, it becomes necessary to investigate nanowires for potential alloying behavior distinct from that predicted by the bulk phase diagram. The experimental work here demonstrates significant progress towards advanced optical applications with a wide-range of bandgap coverage within a single substrate. Our specially designed chemical vapor deposition (CVD) system features a controlled temperature and source material gradient across the substrate (dual gradient method) to achieve the appropriate growth conditions for spatially controlled compositions. Extensive growth experiments coupled with various characterizations including X-ray diffraction, electron microscopy, and photoluminescence demonstrated alloying between the two materials with several different compositions. Several important material science issues are investigated systematically. The first issue involves the crystal structure changes with changing alloy composition, since pure PbS and CdS show different stable crystal structures of rock-salt and wurtzite, respectively. The second issue involves the temperature dependence of alloy bandgap, since PbS and CdS display opposite temperature dependence, with PbS increasing and CdS decreasing the bandgap as temperature increases. Our experiments demonstrated an interesting cross-over with changing alloy composition. Such varying temperature sensitivity of alloy bandgap can potentially lead to temperature-independent device performance. The results of such systematic study will be presented, along with several possible applications. This work is supported by the Army Research Office (Mike Gerhold).
9:00 AM - AA9.5
Application of Large-scale Electroless Etched Silicon Nanowires for Enhancing Boiling Heat Transfer Performance in Flow Boiling
Geehong Choi 1 Hwanseong Lee 1 Donghwi Lee 1 Sangwoo Shin 1 Beom Seok Kim 1 Hyung Hee Cho 1
1Yonsei University Seoul Republic of Korea
Show AbstractDuring the last decade, efforts have been made to overcome the rapidly increasing heat dissipation in microelectronics. Boiling heat transfer is one representative mode of thermal energy transfer with large heat transfer coefficient (HTC). Large latent heat of working fluid with fast bubble movement makes boiling heat transfer an excellent candidate for cooling of high heat flux. By combining forced convection to the boiling heat transfer, i.e. flow boiling, the cooling (boiling) performance in terms of HTC and critical heat flux (CHF) can be further increased. Moreover, by applying nanostructures such as nanowires on the boiling surface, a large degree of performance enhancement can be further made because the nanowires can increase surface wettablility and roughness simultaneously, which is favorable for boiling heat transfer. Here, we present high flow boiling heat transfer performance by coating the surface with large-scale uniform silicon nanowires. The silicon nanowires are simply fabricated by top-down electroless etching method. The height of silicon nanowires are easily controlled by varying the etching times: 5min, 10min, 30min, 60min, and 90min. It is shown that the height of the nanowires influence the formation of naturally formed microcavities during the boiling process, which ultimately determines the boiling heat transfer performance. Local temperature measurement is achieved by microfabricated array RTD (Resistance Temperature Detector) sensors with high accuracy. Bubble growth mechanism is also investigated by observing the bubble growth and departure frequency using the high speed camera visualization. By combining the nanowires with forced convection, we show that the boiling heat transfer performance can be further enhanced that can contribute to various cooling applications such as state-of-the-art microelectronics and nuclear power plants.
9:00 AM - AA9.7
Hydrogen Gas Sensing with Ultrasmall Palladium-based Nanowire Networks
Xiaoqiao Zeng 1 3 Yonglei Wang 2 3 Tao Xu 1 Zhili Xiao 2 3
1Northern Illinois University Dekalb USA2Northern Illinois University Dekalb USA3Argonne National Laboratory Argonne USA
Show AbstractThe newly developed hydrogen sensor based on a network of ultrasmall pure Pd nanowires sputter-deposited on an aluminum oxide membrane takes advantage of single Pd nanowires in high speed and sensitivity while eliminating their nanofabrication obstacles. This new type of sensor, as those with single Pd nanowires, however, cannot distinguish hydrogen concentrations above 3%, limiting the potential applications of the sensor. Here we report hydrogen sensors based on networks of ultrasmall Pd/Cr bilayer and PdNi alloy nanowires. They are not only able to outperform their pure Pd counterparts in speed and durability but also to detect hydrogen at concentrations up to 100%. Pd/Cr nanowire networks consist of a thin layer of Pd on top of a Cr layer of 1-3 nm thick. Though the Cr layer is insensitive to hydrogen, it enables the formation of a network of continuous Pd nanowires with thickness down to 2 nm. The PdNi alloy nanowire networks were conveniently achieved by sputtering an alloy target. These improved performances of these sensors can be attributed to the increased surface area to volume ratio and the confinement-induced suppression of the phase transition from Pd/H solid solution (?-phase) to Pd hydride (?-phase) in the extremely thin Pd layer.
9:00 AM - AA9.8
Stable Field Emission from Nanoporous Silicon Carbide
Myung-Gyu Kang 1 2 Henri Lezec 2 Ray Kallaher 2 Fred Sharifi 2
1The University of Maryland College Park USA2The Center for Nanoscale Science and Technology, National Institute of Standards and Technology Gaithersburg USA
Show AbstractField emitters, whereby electrons are extracted through quantum mechanical tunneling under an applied electric field, have advantages such as lower emittance, mitigation of thermal power management, and the ability of fast turn on-off times compared to conventional thermionic emission. Potential uses of field emitters include electron sources for microwave electronics, travelling wave tubes, sources for X-rays production, and display applications. We present the results of a new technique for fabrication of nanostructured field emitters with the aim of increasing the emission capability of the cathode so as to enable some of above applications. Developed emitters are monolithic structures fabricated from silicon carbide wafers, where the wafers were electrochemically anodized to form a continuous nanoporous structure providing several advantages as high current density and stable field emitters. First, the resulting monolithic structure has no failure points such as the interfaces found in CNT based field emitters. Second, the structure is homogenous in depth, and as the emitting surface wears, there is a continuous supply of emission points serving as replacements. Furthermore, the structure is wafer based resulting in compatibility with standard micro/nanofabrication processes and allowing the structures to be patterned into discrete emission structures such as pillars and meshes. Fabricated porous SiC structures, where the C-face of SiC wafers were formed into semi-columnar nanoporous structures by electrochemistry techniques using aqueous HF and Ethanol, have typical pore and wall size of about 150 nm and 30 nm, respectively. The field emission property of these two-dimensional structures was obtained and compared to unprocessed wafer using a diode configuration in a UHV chamber at a base pressure less than 7 x 10-9 Torrs. Stable emission current was observed for nanoporous structures with current densities reaching up to 0.1 A/cm2. To further enhance emission, nanoporous wafers were patterned into discrete square pillars approximately 2 ?m per side, 10 ?m period and 20 ?m height using a focused ion beam (FIB). This structure produced an impressive current density in excess of 6 A/cm2 at less than 8 V/?m. We also performed preliminary life time tests demonstrating stable emission for several hours with no signs of degradation. Optimization of fabrication parameters including anodization condition, and geometric structure so as to achieve higher current density is in progress.
AA7: Device Application and Characterization
Session Chairs
Thursday AM, April 12, 2012
Moscone West, Level 3, Room 3004
9:30 AM - AA7.2
Effect of Nickel Silicide Induced Dopant Segregation on Vertical Silicon Nanowire Diode Performance
Weijie Lu 1 3 4 Kin Leong Pey 2 Navab Singh 3 Kam Chew Leong 4 Qing Liu 5 Chee Lip Gan 5 Guo Qiang, Patrick Lo 3 Dim-Lee Kwong 3
1Nanyang Technological University Singapore Singapore Singapore2Singapore University of Technology and Design (SUTD) Singapore Singapore3Institute of Microelectronics, A*STAR (Agency of Technology and Research) Singapore Singapore4GLOBALFOUNDRIES Singapore Pte. Ltd. Singapore Singapore5Nanyang Technological University Singapore Singapore Singapore
Show AbstractSilicon nanowire (SiNW) transistors is viewed as a promising contender to replace planar MOSFET. They offer the gate-all around [1] (GAA) option whereby the gate surrounds the SiNW body to resolve the short-channel effects. To complement the GAA SiNW structures, doped source/drain regions were replaced by silicides such as ErxSiy, NiSi and PtSi [2] to lower the sheet resistance. Some challenges of replacing doped source/drain with metal silicide included silicide intrusion into the SiNW channel during silicidation [3] and the existence of Schottky Barrier (SB) at the silicide/Si interface. In this work, vertical silicon nanowires and nanowire-based diodes were fabricated on p-type Si substrate via CMOS-compatible processes to investigate the effects of different annealing processes on nickel silicide formation and dopant segregation on device performance. Using a 2-step anneal process and implant amorphisation, the silicide intrusion length reduced by about 5 times in a 50nm diameter vertical nanowire and abrupt silicide interface was formed in the <100> direction. Furthermore, nanowire diodes processed via the 2-step anneal exhibited much lower leakage current and an ideality factor much closer to unity, as compared to 1-step anneal. Vertical Schottky SiNW diodes with dopant segregation (DS) displayed higher on-current, due to an enhanced carrier tunneling, and much lower leakage current. This is because of the altered energy bands caused by the accumulated arsenic dopants at the interface. Moreover, diodes with DS gave ideality factor much closer to unity and exhibited lower effective electron SBH (?Bn) than diodes without DS. This proved that interfacial accumulated dopants could impede the inhomogeneous nature of the Schottky diodes and simultaneously, minimize the effect of Fermi level pinning and ionization of surface defect states [4]. In conclusion, with DS technique, we were able to increase the SiNW diodes' drive current, reduce the leakage current and lower the effective ?Bn. Concurrently, a 2-step silicidation impeded silicide intrusion into the vertical nanowire structure and showed better diode performance. [1] E. J. Tan, K. L. Pey, N. Singh, G. Q. Lo, D. Z. Chi, Y. K. Chin, L. J. Tang, P. S. Lee, C. K. F. Ho, "Nickel-Silicided Schottky Junction CMOS Transistors With Gate-All-Around Nanowire Channels", IEEE Electron Device Letters, 29(8), p 902, 2008. [2] Z. Qiu, Z. Zhang, M. Östling, S. -L. Zhang, "A Comparative Study of Two Different Schemes to Dopant Segregation at NiSi/Si and PtSi/Si Interfaces for Schottky Barrier Height Lowering", IEEE Transactions on Electron Devices, 55(1), p. 396, 2008. [3] H. Arai, H. Kamimura, S. Sato, K. Kakushima, P. Ahmet, K. Tsutsui, N. Sugii, K. Natori, T. Hattori, H. Iwai, ECS Transactions, 25(7), p. 447, 2009. [4] S.N. Das, J- H. Choi, J. P. Kar, K- J. Moon, T. I. Lee, J- M. Myoung, "Junction properties of Au/ZnO single nanowire Schottky diode", Applied Physics Letters, 96(9), p. 092111, 2010.
9:45 AM - AA7.3
Room-temperature Stabilization of M2 Phase in Free-standing VO2 Nanostructures
Evgheni Strelcov 1 2 Alexander Tselev 1 Ilia N Ivanov 1 Jie Zhang 2 John Budai 1 Jonathan Z Tischler 1 Sergei V Kalinin 1 Andrei Kolmakov 2
1Oak Ridge National Laboratory Oak Ridge USA2Southern Illinois University at Carbondale Carbondale USA
Show AbstractContinuing minituarization of FET's is limited by the lowest achievable channel length of the element. Overcoming this limit is possible by considering a new type of transistor, Mott Transition FET, capable of operating at smaller scale dimensions due to intrinsity of the switching property to the material itself rather than to the bulky p-n junctions. The abrupt Mott insulator-to-metal transition can be induced in such materials by external heating, stress or gate potential. Injection of charge carriers into a Mott-insulator beyond the critical concentration leads to elimination of the Coulomb gap and drastic increase in conductivity. One of the promising candidates for Mott FET materials is vanadium dioxide (VO2) - a well-known strongly electron correlated oxide with a near-room temperature metal-insulator transition related to a several-order-of-magnitude jump of conductivity. Unfortunately, this transition happens along with a structural change: from semiconducting monoclinic M1 to metallic tetragonal R phase and is thus linked to material fatigue due to volume changes. Monoclinic M2 phase of VO2 undergoes a pure Mott transition, but is metastable at ambient conditions. Thus, its stabilization at room temperature is an important step toward realization of Mott FET. The doping of VO2 thin films with various elements has been shown to have influence on the structural, electrical and optical properties of this oxide. Cations of high ionic strength (e.g., W6+, Nb5+ and Mo6+) lower the Mott transition temperature, whereas dopants of lower oxidation states, such as Ti4+ and Sn4+ etc., raise it. Moreover, doping with Cr3+, Al3+, Fe3+, or oxygen vacancies can stabilize M2 phase of VO2 at room temperature. Quasi-one-dimensional nanostructures have advantage over thin films in their single crystallinity and small dimensions that assure existence of monodomain, both of which enhance their transport properties. Here we report two methods of doping VO2 with aluminum during growth process, resulting in stable free-standing M2-phase nanostructures. Development of these methods came from our recent in situ studies of the nanostructure growth mechanism. Tuning the dopant concentration, we reconstructed the temperature-doping level phase diagram for nanostructured VO2 using EDS, micro-Raman and polychromatic X-ray microdiffraction for samples characterization. Dependence of the conductivity of the grown nanostructures on temperature was measured for different doping levels. The proposed technique can be used for fabrication of the first working Mott FET. Research at SIUC was funded through NSF 772 ECCS-0925837 and SISGR-DOE ERKCM67 grants. Research at ORNL was sponsored by the DSUF, OBES, and U.S. DOE. J.D.B. and J.Z.T. were supported by the Materials Sciences and Engineering Division, Office of Basic Energy Sciences, U.S. DOE. Use of the APS beamline 34-ID-E was supported by the Scientific User Facilities Division of BES, U.S. DOE.
10:00 AM - AA7.4
Identification of Nanoscale Memristive Switching Using a Single Oxide Nanowire
Kazuki Nagashima 1 Takeshi Yanagida 1 2 Keisuke Oka 1 Masaki Kanai 1 Annop Klamchuen 1 Bae Ho Park 3 Tomoji Kawai 1 3
1Institute of Scientific and Industrial Research, Osaka University Ibaraki Japan2PRESTO, Japan Science and Technology Agency Kawaguchi Japan3Konkuk University Seoul Republic of Korea
Show AbstractMemristive switching, which is nonvolatile resistive switching in metal/oxide/metal sandwich structures, have attracted much attention not only for the next-generation high-density nonvolatile memory alternative to current flush memory but also for the artificial neural network computing. Although the excellent memory performance has been demonstrated, the physical origin of memristive switching occurring in the simple two terminal device structure is still scarce, which had been a central issue of this research field. It is widely accepted that the memristive switching is governed by formation and rupture of nanoscale conducting path in insulative matrix. However, extracting and evaluating such nanoscale conduction paths have been difficult due to the lack of evaluation method. Here we demonstrate the nature of memristive switching revealed by a self-assembled oxide nanowire. Oxide nanowire with 20 nm size scale successfully extracted the nanoscale conduction path and showed the clear memristive switching. To evaluate the nanoscale transport properties, we performed 1) atmosphere control measurement, 2) electric field induced carrier modulation measurement, 3) multi-probe measurement, which had been unfeasible in conventional thin film device form. We successfully revealed the redox based hole conduction mechanism in p-type cobalt oxide nanowire by the direct experimental evidence for the first time. The obtained implications seem to be able to capture the many features of memristive switching not only for cobalt oxide but also for more generally p-type oxides. References K. Nagashima et al, Nano Lett. 11, 2114 (2011) K. Nagashima et al, Nano Lett. 10, 1359 (2010)
10:15 AM - AA7.5
Piezotronic Nanowire Based Resistive Switches as Programmable Electromechanical Memories
Wenzhuo Wu 1 Zhong Lin Wang 1
1Georgia Institute of Technology Atlanta USA
Show AbstractThe concept of complementing field effect transistors (FETs) with two-terminal hysteretic resistive switches has recently attracted a great interest in implementing and scaling novel nonvolatile resistive memories for ultrahigh density memory storage and logic applications. Notably, previous existing non-volatile resistive memories are all based on electrically switchable resistance change in various oxides and ionic conductors. These devices are electrically programmed and they are not suitable for direct interfacing with actuation/triggering other than electrical inputs. For applications such as human-computer interfacing, sensing/actuating in nanorobotics, and smart MEMS/NEMS, a direct interfacing of electronics with mechanical actions is required. Here we present the first piezoelectrically-modulated resistive switching device based on piezotronic ZnO nanowire (NW), through which the write/read access of the memory cell is programmed via electromechanical modulation. Adjusted by the strain-induced polarization charges created at the semiconductor/metal interface under externally applied deformation by the piezoelectric effect, the resistive switching characteristics of the cell can be modulated in a controlled manner, and the logic levels of the strain stored in the cell can be recorded and read out, which has the potential for integrating with NEMS technology to achieve micro/nano-systems capable for intelligent and self-sufficient multi-dimensional operations.
10:30 AM - AA7.6
ZnO Nanostructured Diodes: The Influence of Synthesis Conditions and p-type Material on Device Performance
Sabina Hatch 1 Steve Dunn 1
1QMUL University London United Kingdom
Show AbstractHybrid organic-inorganic and all-inorganic ZnO nanorod diodes have been investigated using ZnO nanorods grown under two pH regimes, pH 11 and 6, in a typical low-temperature aqueous solution method. The diodes were tested in the dark and super-bandgap illumination under a range of atmospheres. A measureable variation in the performance of the diode as a function of both the manufacturing process and the atmosphere was observed. The ZnO nanorods exhibited different physical properties such as crystallography, morphology and defects depending on the pH processing conditions as demonstrated by x-ray diffraction, scanning electron microscopy and photoluminescence. Device performance was tested after deposition of an inorganic (CuSCN) or organic (PEDOT:PSS) p-type semiconductor, which have similar energy band off-sets to ZnO. A diode made from ZnO nanorods synthesised at pH 11 with a CuSCN p-type layer produced a rectification of 1050 at ±1 and stability up to ±20V. This compares to rectification values of 4, 14 and 27 for ZnO (pH 6)-CuSCN, ZnO (pH6)-PEDOT:PSS and ZnO(pH 11)-PEDOT:PSS. The best devices exhibit a rectification that exceeds the current literature values for similar devices. The difference in rectification for the PEDOT:PSS and CuSCN devices arises from the morphology of the interface between the p-type layer and the ZnO rods; CuSCN penetrates fully between the rods giving an increased interface area. PEDOT:PSS only penetrates slightly below the tips of the ZnO nanorods, which reduces the contact between the p- and n-type materials. The differences in rectification between the ZnO nanorods made at pH 6 and 11 are attributed to differences in the defect density as shown by photoluminescence and colour of the ZnO. By measuring the current at an applied voltage of -0.1mV a relationship between atmosphere and diode performance was determined. Responsivity (photocurrent per unit of incident power) to the 370nm (UV) 15mW LED was 25 times greater for the purely inorganic device (1.5µA) than that of the hybrid device (0.065µA). Additionally, the hybrid device exhibited a response time at least 10 hours longer than the all-organic device when exposed to UV light for several hours. Diode behaviour including response time and maximum photocurrent was shown to be strongly dependent on atmospheric conditions and was correlated to the exposed nanorod surface area. A clear link is presented between pH induced defects and reactivity to the chemisorbed species in different atmospheres. These results expand the current understanding of ZnO nanorod diodes. The response of the diodes to atmospheric conditions is related to the high surface area of the nanorods and oxygen adsorption, as demonstrated through different levels of exposure. As well as potential applications in gas sensors and UV photodetectors, this work demonstrates the careful consideration that must be taken of the influence of adsorbed molecules on these surface-dominated structures.
11:15 AM - *AA7.7
InSb Nanowire Field Effect Transistors and Quantum Devices
H. Q Xu 1 2
1Lund University Lund Sweden2Peking University Beijing China
Show AbstractNarrow band gap semiconductor InSb is one of the most promising materials for applications in high-speed electronics and spintronics, due to the fact that it has the highest room-temperature electron mobility µe = 77000 cm2/Vs, the smallest electron effective mass me* = 0.015 me, and the largest electron magnetic moment |g*| = 51 among all the III-V semiconductors. Here, we report on the realization and electrical measurements of InSb nanowire field-effect transistors [1], quantum dots [2,3] and superconductor/InSb nanowire/superconductor hybrid quantum devices [4,5]. The devices are made on a SiO2-capped Si substrate from InSb segments of InAs/InSb heterostructured nanowires grown by metal-organic vapor phase epitaxy [1,2]. Spin states, effective g-factors, and spin-orbit interaction energy are measured for the fabricated InSb nanowire quantum dots [2]. We have also studied strong correlation phenomena and observed a new spin-correlation-induced phenomenon in the devices, namely the conductance blockade at the degeneracy of two orbital states with the same spin [3]. We attribute this conductance blockade to the effect of electron interference between two equivalent, strongly correlated, many-body states in the quantum dot devices [3]. In superconductor/InSb nanowire/superconductor hybrid devices, we have observed supercurrent and have found that the fluctuations in the supercurrent are correlated closely to the conductance fluctuations of the corresponding InSb nanowires in the normal state [4]. We have also observed multiple Andreev reflections [4] and a phenomenon due to interplay between the Kondo correlation and proximity effect in the Coulomb blockade regime [5]. References [1] H. A. Nilsson et al., IEEE J. Select. Top. Quant. Electr. 17, 907 (2011). [2] H. A. Nilsson et al., Nano Lett. 9, 3151 (2009). [3] H. A. Nilsson et al., Phys. Rev. Lett. 104, 186804 (2010). [4] H. A. Nilsson, P. Samuelsson, P. Caroff, and H. Q. Xu, manuscript submitted (2011). [5] M. T. Deng, H. A. Nilsson, P. Caroff, and H. Q. Xu, manuscript under preparation (2011).
11:45 AM - AA7.8
Band Offsets, Wells and Barriers in Nanowire Heterostructures: Is the Simple ``Square Wells and Barriers'' Model Always Valid ?
Yann-Michel Niquet 1 Christophe Delerue 2
1INAC/SP2M/L_Sim Grenoble France2IEMN Lille France
Show AbstractEpitaxially-grown semiconductor heterostructures have been at the heart of major scientific discoveries and technological innovations for almost forty years. They indeed provide the possibility to tailor the potential landscape of electrons and holes in a very controlled way. In planar lattice-matched heterostructures, the potential has a very simple and easily predictable behavior: it is constant everywhere except at the interfaces, where there is a step discontinuity (the band offset) which only depends on the semiconductors in contact. This discontinuity follows from the charge transfers (dipoles) at the interface between the different materials. This "square wells and barriers model" is commonly applied to non-planar heterostructures, which can presently be fabricated in a large variety of forms. In this work [1], we show that this universally accepted picture can be invalid in one-dimensional nanoscale heterostructures such as nanowires and nanorods, due to the competition between surface and interface charge transfers. Self-consistent tight-binding calculations indeed demonstrate that the potential may have a more complex behavior in axial nanowire heterostructures: The band edges can show significant variations far from the interfaces if the nanowire is not capped with a homogeneous shell. The potential can even be modulated along a homogeneous nanowire if the surface termination is not uniform, as a result of the "local gating" by surface dipoles. These results suggest new strategies to engineer the electronic and optical properties of nanowires through surface manipulation and chemistry. We discuss the implications for nanowire devices and sensors, as well as the possible opportunities for photovoltaics. [1] Y. M. Niquet and C. Delerue, Physical Review B 84, 075478 (2011).
12:00 PM - AA7.9
Electron Trapping in InP Nanowire FETs with Stacking Faults
Jesper Wallentin 1 Martin Ek 2 Reine L Wallenberg 2 Lars Samuelson 1 Magnus T Borgstroem 1
1Lund University Lund Sweden2Lund University Lund Sweden
Show AbstractIII-V nanowires are promising components of future electronic and optoelectronic devices, but they typically show a mixed wurtzite-zinc blende crystal structure. Due to a type II offset between these two crystal structures, the conduction band resembles an Anderson potential where the zincblende segments can trap electrons. Here we show, theoretically and experimentally, that the crystal structure dominates the conductivity in such InP nanowires. We used metal-organic vapor phase epitaxy (MOVPE) with trimethyl indium (TMI) and phosphine (PH3) as growth precursors. NWs of 100 nm diameter were grown with highly n-doped ends using hydrogen sulfide (H2S), surrounding middle segments in which the doping was varied between nominally undoped and highly doped in six steps. We created NW-FETs using samples from all six doping levels by contacting the ends of the NWs, so that the channel length was defined by the middle segment. The low-resistance contacts assured that the resistance was dominated by the channel and allowed us to characterize low-doped NWs, which is otherwise difficult due to the formation of schottky-like contacts. Undoped devices show very low conductivities and mobilities. The zincblende segments are quantum wells orthogonal to the current path and our calculations indicate that an electron concentration of up to 4.6x1018 cm-3 can be trapped in these. The calculations also show that the room temperature conductivity is controlled by the longest zincblende segment, and that stochastic variations in this length lead to an order of magnitude variation in conductivity. The mobility shows an unexpected decrease for low doping levels, and unusual temperature dependence which bear resemblance with polycrystalline semiconductors.
12:15 PM - AA7.10
Stable p-type Conduction from Sb-decorated Head-to-Head Basal Plane Inversion Domain Boundaries in ZnO Nanowires
Andrew Yankovich 1 Brian Puchala 1 Fei Wang 2 Jung-Hun Seo 3 Dane Morgan 1 Xudong Wang 1 Zhenqiang Ma 2 Alex V Kvit 1 Paul Voyles 1
1University of Wisconsin, Madison Madison USA2University of Wisconsin, Madison Madison USA3University of Wisconsin, Madison Madison USA
Show AbstractReproducible, stable, p-type ZnO nanowires (NWs) will enable a variety of optoelectronic nanodevices based on ZnO homojunctions. We report that Sb-decorated head-to-head (H-H) basal plane inversion domain boundaries (b-IDBs) lead to stable p-type conduction in Sb-doped ZnO NWs synthesized in solution on a ZnO seed layer. Aberration-corrected Z-contrast scanning transmission electron microscopy shows that all of the Sb in the NWs is incorporated into H-H b-IDBs just under the (0001) NW growth surfaces and the (0001) bottom facets of interior voids. The b-IDBs near the growth surface stabilize a novel surface termination consisting of rows of Zn dimers separated by Zn vacancies along [10-10]. Each H-H b-IDB incorporates an extra plane of O, so this mechanism is essentially Sb and O co-doping. Density functional theory calculations show that the extra basal plane of O per H-H b-IDB makes them electron acceptors. NWs containing these defects exhibited stable p-type behavior in a single wire FET over 18 months.
12:30 PM - AA7.11
Synthesis and Characterizations of InGaAs Nanowire Parallel Arrays for High Performance Electronic Devices
Jared J Hou 1 Ning Han 1 Fengyun Wang 2 Alvin T Hu 1 Fei Xiu 1 Hung TakFu 1 Johnny C Ho 1
1City University of Hong Kong Hong Kong Hong Kong2City University of Hong Kong Hong Kong Hong Kong
Show AbstractInAs nanowires have been extensively studied in the recent years due to its low effective electron mass and correspondingly high electron mobility. However, further applications still suffer from the significant leakage current in InAs nanowire devices arising from the small bandgap. Also, the large-scale assembly of high performance nanowire arrays that could be integrated in future electronic is still under-development. In this regard, we present the synthesis of ternary InGaAs nanowires in order to tackle this problem by utilizing the larger bandgap material but at the same time not sacrificing the high electron mobility. Notably, the planar InGaAs in various electronic devices have been demonstrated but a thorough understanding and application of InGaAs nanowires is still lacking. In this work, we fabricate InGaAs nanowires on amorphous silicon/silicon dioxide substrates using solid chemical vapor deposition method and then assemble parallel InGaAs nanowire arrays by the contact printing technique. Growth temperatures, both source and substrate sides, as well as flow rate of the carrier gas, H2, are carefully adjusted to control the nanowires' properties. Characterized by scanning electron microscopy and transmission electron microscopy, the grown nanowires are found to have uniform morphology with an average diameter of 40 nm and length exceeding 10 µm. High-resolution transmission electron microscopy shows the major growth direction of <111> and energy dispersive X-ray spectroscopy indicates the stoichiometric composition of In, Ga and As evenly distributed along the entire length of nanowires. We also investigate the electrical properties of our ternary nanowires through fabricating back-gate field-effect-transistors. The average field-effect electron mobility is determined to be ~2500 cm2/Vs, which is comparable to that of state-of-the-art InAs nanowire devices and a 105 ION/IOFF ratio is also achieved by lowering the leakage current. These InGaAs nanowires are then employed in the contact printing and generated large-scale assembly of nanowire parallel arrays that could be utilized in the fabrication of future integrated circuit.
12:45 PM - AA7.12
Vertically Integrated Si and SiGe Nanowires for the Realization of 3D Field-effect Transistors
Guillaume Rosaz 1 2 Alexis Potie 1 Nicolas Pauc 2 Pascal Gentile 2 Ludovic Dupre 2 Thierry Baron 1 Bassem Salem 1
1CNRS/LTM Grenoble France2CEA/INAC/SiNaPS Grenoble France
Show AbstractBottom-up approach has opened a new route for building blocks of future device applications such as field-effect transistors (FET), laser diodes, photovoltaic devices[i-ii]. Different materials have been investigated such as ZnO, InAs and Si. One benefit of vertical integration is the possibility to build a wrapping gate with a cylindrical geometry which enhances the electrostatic gate coupling and improves the control of the gate potential in the wire body compared with planar devices. This configuration allows to build high performance and low power FET combined with a 3D integration providing a very promising way to increase the integration density on integrated circuits (ICs). Indeed the vertical integration offers a new option to integrate much more devices than in planar approach for the same surface area by stacking the devices in the back end steps of the ICs. In this context, we present first, the fabrication and the electrical characterization of vertical gate-all around FET (GAA-FET) using non intentionally doped Siiii nanowires (NWs) grown by Chemical Vapour Deposition (CVD) using the Vapour-Liquid-Solid (VLS) mechanism as conduction channel. We will show that the SiNWs GAA-FET devices exhibit n-channel type semiconductor behaviour, a subthreshold slope close to 145 mV/decade, a threshold voltage of -0.95V and an ION/IOFF ratio of 106. An estimated value of the density of interface state between the oxide shell and the NW core is given close to 3.4x1012 cm-2.V-1.s-1 based on the subthreshold slope value. In a second time we demonstrate the possibility to integrate non intentionally doped Si0.7Ge0.3 NWsiv which are suitable for low temperature growth as previously demonstratedv . Electrical characteristics such as a subthreshold slope of 0.9 V/decade, an ION/IOFF ratio of 104 and a threshold voltage of 3.9 V. The low dynamic of these devices is explained by the poor quality interface between the gate oxide and the NWs core. Their behaviour is compared to planar GAA FET. [i] Andrew B. Greytak, Carl J. Barrelet, Yat Li, and Charles M. Lieber, Appl. Phys. Lett. 87, 151103 (2005). [ii] J. Xiang, W. Lu1, Y. Hu, Y. Wu, H. Yan and C. M. Lieber, Nature 441 (2006), 489 [iii] G. Rosaz, B. Salem, N. Pauc, P. Gentile, A. Potié, T. Baron, Microelectronic Engineering 88 (2011) 3312-3315 [iv] G. Rosaz, B. Salem, N. Pauc, A. Potié, P. Gentile, T. Baron, Appl. Phys. Lett. Accepted [v] Potié, A. , Baron, T., Latu-Romain, L. , Rosaz, G. , Salem, B. , Montès, L. , Gentile, P. , Kreisel, J. , Roussel, H., J. Appl. Phys. 110, (2011) 024311
Symposium Organizers
Junichi Motohisa, Hokkaido University Graduate School of Information Science and Technology
Lincoln J. Lauhon, Northwestern University
Deli Wang, University of California, San Diego
Takeshi Yanagida, Osaka University Institute of Scientific and Industrial Research
Symposium Support
Japan Society of Applied Physics
National Science Foundation
Office of Naval Research
AA11: Optical Properties and Optoelectronic Devices
Session Chairs
Friday PM, April 13, 2012
Moscone West, Level 3, Room 3004
2:30 AM - *AA11.1
Optoelectronics Properties of GaAs Nanowires and Fabrication of a p-n Junction
Kenji Hiruma 1
1Central Research Laboratory, Hitachi Ltd. Tokyo Japan
Show AbstractOver the past decade free-standing semiconductor nanowires have become a focus of attention from the aspects of nanoscience and optoelectronics, since they can be applied to one-dimensional transistors, optical devices, photonic crystals and various functional structures for chemical sensors. When we grow nanowires for transistors/optical devices, we need to passivate the surface to avoid carrier depletion caused by surface states that are created by oxides adsorbed or formed on the surface. This is an important issue to be solved and critical to secure the quality of nanowires for use. Here we discuss how the surface states affected electrical and optical properties of GaAs nanowires. I will review how we treated and designed the nanowires to cope with the problem. We grew Si-doped GaAs nanowires within lithography defined SiO2 mask window patterns on an n-type GaAs(111)B substrate surface by the vapor-liquid-solid (VLS) method during metal organic vapor phase epitaxy (MOVPE). Non-linear current-voltage characteristics, i.e., step-like changes in the current, were observed as the bias voltage was swept between 0 and 2V at room temperature. The phenomenon might be caused by carrier emission/capture via surface states in the GaAs nanowires. Time-resolved photoluminescence (PL) was used to analyze the nanowire surface. We compared the PL decay spectra with calculation and estimated that the density of the surface states was changed before and after the surface treatment by a sulfur solution. Covering the Si-doped GaAs nanowire surface with carbon-doped (p-type) GaAs was a solution to the problem. We grew GaAs nanowires with a p-n junction along the growth direction of the nanowire by changing dopants from Si to carbon during MOVPE. The p-n junction showed diode characteristics with an on-voltage of 0.6V. Light emission with a peak at a wavelength of 910 nm was observed in continuous operation at room temperature. In addition to this, I will explain fabrication of InAs/GaAs heterojunctions, analysis on the minimum diameter of nanowires, and my view on the future directions as well.
3:00 AM - AA11.2
Formation of a Single InGaAs/GaAs Quantum Dot Embedded in a Site-controlled GaAs Nanowire by MOCVD for Application to Single Photon Sources
Jun Tatebayashi 1 Yasutomo Ota 1 Dumindu Karunathillake 1 2 Satomi Ishida 1 2 Masao Nishioka 1 2 Satoshi Iwamoto 1 2 Yasuhiko Arakawa 1 2
1The University of Tokyo Meguro-ku Japan2The University of Tokyo Meguro-ku Japan
Show AbstractFormation of quantum dots (QDs) in nanowires (NWs) have recently attracted scientific and practical attentions as a key component for high-efficiency solar-cells utilizing strain-free, defect-free, densely-packed and highly-uniform QDs since the strain energy caused by a lattice mismatch can be relieved via lateral direction because of their unique boundary conditions induced by their finite lateral dimensionality. So far, several groups have obtained single photon emissions from InAs QDs embedded in Au-catalyzed GaAs NWs via the vapor-liquid-solid method, or in site-controlled InP NWs via selective growth. However, it would be advantageous if site-controlled In(Ga)As/GaAs QD-in-NWs are formed via the selective growth on a GaAs platform in terms of their size uniformity, position controllability and the crystal quality due to their catalyst-free nature, as well as their compatibility with existing, well-established GaAs-based solar-cell technologies. In this paper, we report the first demonstration of high-quality, site-controlled In(Ga)As QDs embedded in GaAs NWs on patterned GaAs(111)B substrates, which is corroborated by the observation of sharp excitonic peaks and photon antibunching along with room-temperature light emission from In(Ga)As QD-in-NWs. All the samples are grown by low-pressure metalorganic chemical deposition at a total pressure of 76 Torr. In(Ga)As/GaAs QD structures are realized in GaAs NWs on patterned GaAs(111)B substrates by growing In(Ga)As/GaAs heterostructures on GaAs core with a comparatively small diameter of 50 nm. Photoluminescence (PL) peaks from In(Ga)As QD-in-NWs are identified by varying the thickness and composition of In(Ga)As heterostructures using a conventional macro-PL characterization at 10 K. Sharp peaks with the narrowest linewidth of 87 ?eV which originate from exciton and biexciton energy states of a single In(Ga)As QD-in-NW are observed by single dot spectroscopy using a conventional ?-PL setup at 10K. Moreover, photon-correlation measurement using Hanbury Brown and Twiss-type configuration shows a photon antibunching with g2(0) of 0.31. Both of these results prove the existence of the zero dimensionality of fabricated InGaAs heterostructures in NWs, and enable the realization of single photon sources using a bottom-up approach. In addition, light emission at room-temperature of In(Ga)As/GaAs QDs-in-NWs is observed at the peak wavelength of 1.03 ?m which is indicative of the formation of high-quality In(Ga)As/GaAs QD-in-NWs and would be applicable to NW-based nano-optoelectronic devices operating at room temperature.
3:15 AM - AA11.3
Photocurrent Study of Single Wurtzite GaAs/AlGaAs Core-shell Nanowires
Dong chul Kim 1 Lyubomir Ahtapodov 1 Dasa Dheeraj 1 Jelena Todorovic 2 Antonius T. J. van Helvoort 2 Bjoern-Ove Fimland 1 Helge Weman 1
1Norwegian University of Science and Technology Trondheim Norway2Norwegian University of Science and Technology Trondheim Norway
Show AbstractAmong various III-V semiconducting nanowires (NWs), GaAs-based NWs can be considered as one of the most promising materials for future solar cell applications. However, bare GaAs core NW needs to be overgrown in-situ with e.g. radial AlGaAs shells, to achieve an effective surface passivation, leading to a high internal quantum efficiency at room temperature [1]. Here, the opto-electrical properties of single wurtzite (WZ) GaAs/AlGaAs core-shell NWs, investigated by photocurrent and photoluminescence spectroscopy, are reported. The WZ GaAs/AlGaAs core-shell NWs were grown by Au-assisted molecular beam epitaxy [2]. Electrical contacts were fabricated directly onto the GaAs core by etching the AlGaAs shell away at the contact region. High optical response with a responsivity of 8 mA/W at 780 nm laser excitation is measured at a bias voltage of 1 V. Photocurrent spectroscopy reveals distinct contribution from both the radial AlGaAs shell and the GaAs core. A peculiar feature is observed in the linear polarization dependence of the spectral photocurrent: a reversal of the polarization anisotropy is observed by tuning the excitation laser wavelength near the AlGaAs bandgap. For the spectral region where only the WZ GaAs core is activated, the photocurrent shows a maximum (minimum) when the incident laser is polarized perpendicular (parallel) to the long axis of the [0001] oriented NW. However, in the spectral region where both the radial WZ AlGaAs shell and the WZ GaAs core are activated, the polarization anisotropy changes its sign and the photocurrent is maximized with the incident laser polarized parallel to the long axis of the NW. The observed polarization dependence will be discussed in terms of the dielectric mismatch and optical selection rules at the ? point of the WZ GaAs band structure [3-5]. Photoluminescence spectroscopy was performed on the same contacted GaAs/AlGaAs core-shell NW in order to compare with the photocurrent measurements discussed above. The similarities and differences between such absorption (photocurrent) and emission (photoluminescence) spectra will be discussed. References: [1] L. Ahtapodov, J. Todorovic, P. Olk, D.C. Kim, T.S. Mjaaland, M. Eriksson, D. L. Dheeraj, A.T.J van Helvoort, P. Bergman, B.O. Fimland, and H. Weman, submitted 2012 MRS spring meeting [2] H.L. Zhou, T.B. Hoang, D.L. Dheeraj, A.T.J. van Helvoort, L. Liu, J.C. Harmand, B.O. Fimland, and H. Weman, Nanotechnology 20, 415701 (2009) [3] J.L. Birman, Phys. Rev. 114, 1490 (1959) [4] H.E. Ruda and A. Shink, Phys. Rev. B 72, 115308 (2005) [5] A. De and C.E. Pryor, Phys. Rev. B 81, 155210 (2010)
3:30 AM - AA11.4
Using Time-resolved Photomodulated Rayleigh Scattering to Investigate Band Structure and Carrier Dynamics in Single Semiconductor Nanowires
Mohammad Montazeri 1 Aaron Wade 1 Melodie Fickenscher 1 Howard E Jackson 1 Leigh Morris Smith 1 Jan Yarrison-Rice 2 Suriati Paiman 3 Jung H Kang 3 Qiang Gao 3 Hark H Tan 3 Chennupati Jagadish 3
1University of Cincinnati Cincinnati USA2Miami University Oxford USA3Australian National University Canberra Australia
Show AbstractWe present a powerful new technique in order to directly probe the electronic band structure of single semiconductor nanowires with meV precision at both room and low temperature called Photomodulated Rayleigh Scattering (PMRS)[1]. PMRS is based on modulating the nanowire dielectric function with an intensity modulated CW laser beam (pump) and at the same time probing the modulated Rayleigh scattering intensity with a probe beam whose polarization is modulated at high frequency. Sharp derivative-like features of PMRS spectrum around the fundamental gaps enable one to measure the electronic energies with high accuracy. The GaAs and zinc blende and wurtzite InP nanowires were grown by gold-catalyzed MOCVD growth. Theoretical modeling shows that lineshape of the PMRS spectrum, which reflects the coupling of real part and imaginary part of the modulated dielectric function with the Rayleigh scattering cross section, allows the measurement of the nanowire diameter. The A, B and C excitons in wurtzite InP is measured at 1.503, 1.542 and 1.690 eV respectively with 2 meV uncertainty and simultaneously the nanowire diameter is measured to be 130 ± 2 nm which is in excellent agreement with TEM images. Thus using PMRS spectroscopy one may measure both electronic band structure and the diameter of a single nanowire with high precision in a single non-destructive measurement. Recently we have extended PMRS spectroscopy to femtosecond pump-probe measurements to measure carrier dynamics in single nanowires. Using continuum generation in a fiber we can implement these measurements either by one-color degenerate or two-color non-degenerate pump-probe measurements over a wide energy range. We have studied the dynamics both in wurtzite InP and also passivated and unpassivated GaAs nanowires. Preliminary results show that for wurtzite InP the carrier decay time at A, B and C bands are ~90 ps, ~50 ps and ~270 ps at room temperature respectively. On the other hand, For bare GaAs nanowires which are dominated by surface recombination, decay times of ~5 ps at room temperature and ~2 ps at low temperature are seen. At low temperatures a GaAs/AlGaAs core-shell structure exhibits a recombination time of approximately 300 ps. We acknowledge the financial support of the National Science Foundation through Grants DMR-0806700, 0806572, 1105362, 1105121, and ECCS-1100489, and the Australian Research Council. The Australian National Fabrication Facility is acknowledged for access to the growth facilities used in this research. [1] M. Montazeri, A. Wade, M. Fickenscher, H.E. Jackson, L.M. Smith, J.M. Yarrison-Rice, Q. Gao, H. H. Tan and C. Jagadish, Nano Lett. 11 4329, 2011
3:45 AM - AA11.5
Nonlinear Two-photon Photocurrent Spectroscopy of CdS Nanosheets and Nanowires
Parveen Kumar 1 Aaron Wade 1 Leigh Morris Smith 1 Howard E Jackson 1 Jan Yarrison-Rice 2 Y. J Choi 3 J. G Park 3
1University of Cincinnati Cincinnati USA2Miami University Cincinnati USA3Korea Institute of Science and Technology Seoul Republic of Korea
Show AbstractWe study the photocurrent from photoexcited charged carriers excited both above and below the energy gap in CdS nanosheet (NS) and nanowire (NW) structures. The CdS nano-structures are grown using gold catalyst-assisted vapor phase transport growth method at 800 C for 20 min. As grown CdS NSs are sonicated in methanol and dispersed on a thick insulated Si-SiO2-Si3N4 substrate. Metal-semiconductor-metal nanodevices are made with both Schottky and Ohmic contacts using photolithography followed by Ti/Al (20nm/200nm) metal evaporation and lift-off. Ohmic contacts are formed by Ar ion bombardment before the metal deposition to create donor sulfur vacancies which increases the electron concentration. Spatial imaging of the photocurrent shows that the photosensitive regions are localized at the reverse biased contact for Schottky type contacts and uniformly distributed throughout the nanosheet for Ohmic contacts. Photocurrent spectra obtained at low temperatures and excited above the CdS gap using a filtered monochromatic white light source reveal optical transitions between the A, B, C valence bands to the conduction band of the CdS NS at energies of 2.552eV, 2.569eV and 2.635eV respectively, which are in agreement with the accepted values for these bands. At room temperature these resonance peaks shift by ~0.53eV towards lower energies because of temperature dependence of the bandgap. The photocurrent increases linearly with power for above gap excitation. Photocurrents excited by a below-gap laser pulse increase nonlinearly (quadratic) with laser power, consistent with two-photon absorption (TPA). We measure a nonlinear coefficient of ? = 2 cm/GW in agreement with bulk measurements of ?. Because the active areas of these NS devices are very small, nonlinear two-photon excitation of a photocurrent can be measured at very low average powers (<1 microwatt). The dynamics of this nonlinear process can be measured using pump-probe spectroscopy with 200 femtosecond pulses. This should enable a background-free measurement of the two-photon response as a function of energy moving through resonances with the half-gap energies for band-to-band transitions. In summary, the CdS NS devices with both Schottky and Ohmic contacts are found to be highly photosensitive for both linear above-gap and nonlinear below-gap excitation. We estimate that the TPA coefficient in these CdS nanosheets is 2 cm/GW. Photocurrent spectroscopy shows distinct spectroscopic signatures which are characteristics of hexagonal wurtzite structure. The detailed knowledge of photocurrent sensitivity for both above-band and sub-band gap excitations provides a basis for optimizing optoelectronics properties suitable for future single nanoscale electro-optical devices. We acknowledge the financial support of the National Science Foundation through Grants DMR-0806700, 0806572, 1105362, 1105121, and ECCS-1100489, and the KIST institutional research program 2E21060R.
4:30 AM - *AA11.6
Catalyst-free GaN Nanowires for Optoelectronic Devices
Kris A. Bertness 1 Norman A Sanford 1
1NIST Boulder USA
Show AbstractCatalyst-free growth of GaN nanowires with molecular beam epitaxy produces material of exceptionally high quality with very low density of structural defects, long minority carrier lifetimes and low surface recombination velocity. This talk will briefly discuss the mechanism for catalyst-free growth. As a step toward practical device growth, selective epitaxy of nanowires grown from patterned silicon nitride masks will be described. Electrical measurements are quite challenging due to the small specimen dimensions and nonplanar morphology. This talk will review our recent work in n-type nanowires regarding contacts, carrier concentration and mobility characterization. Additionally, progress with Mg-doped nanowires including growth, p-n junctions, and LED devices will be presented.
5:00 AM - AA11.7
Single Mode GaN Nanowire Lasers
Qiming Li 1 Jeremy Wright 1 2 Weng Chow 1 Ting Shan Luk 1 Luke Lesker 2 George Wang 1 Igal Brener 1
1Sandia National Laboratories Albuquerque USA2University of New Mexico Albuquerque USA
Show AbstractSemiconductor nanowires lasers are among smallest lasers with diameters in sub-wavelength and lengths in a few micron meters scales. Recently, optically and electrically injected nanowire lasers have been reported for many difference types of semiconductor systems. However, the emission of these nanowire is typically characterized by multiple lasing emission peaks. In high speed optical communication applications, where the pulse distortion needs to be prevented, single mode lasing emission is highly desired. With their compact geometry, single mode nanowire lasers may become a crucial component to be integrated with microprocessors for realizing optical interconnections. In this paper, we demonstrate single mode lasing emission from GaN nanowires through precise control of their diameter and length. This control of the GaN nanowire geometry is realized using a top-down fabrication technique, which combines a lithographic dry etch and an anisotropic wet etch. GaN nanowires ~130 nm in diameter and 5 um in length show single mode lasing emission with a line width of ~0.14 nm, a lasing thresholds of ~300 kW/cm2, and a side mode suppression ration of ~15dB. Calculations based on a multimode laser theory and numerical simulations suggest that the suppression of the side-modes is caused by interplay between a narrow material gain with large optical cavity mode spacings. In addition, we will discuss different ways of coupling distributed feedback structure to GaN nanowires and the resulting optical properties. Sandia National Laboratories is a multi-program laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Corporation, for the U.S. Department of Energy's National Nuclear Security Administration under contract DE-AC04-94AL85000.
5:15 AM - AA11.8
Fabrication and Characterization of Vertically-integrated III-nitride Nanowire-based LEDs and Solar Cells
George T Wang 1 Qiming Li 1 Jonathan J Wierer 1 Daniel D Koleske 1
1Sandia National Laboratories Albuquerque USA
Show AbstractCompared to planar architectures upon which current LEDs and solar cells are based on, nanowires have several potential advantages including higher crystalline quality and reduced strain, which enables a greater range of alloy compositions and hence bandgaps. In particular for GaN nanowires, greater indium (In) concentrations are achievable in InGaN material in comparison to planar films, where high lattice-mismatch strain to GaN limits In incorporation and degrades material quality and LED and solar cell efficiencies in the green to red wavelengths (hence the current "green-yellow-red gap"). While bottom-up routes, either with or without using a metal catalyst, currently are the dominant methods for creating nanowires, they have the disadvantage of requiring highly specific growth conditions to increase the on-axis growth rate while minimizing lateral growth. This can lead to non-optimal material quality and less flexibility in the tunability of the material properties and structure, along with non-uniformities in height which make vertical device integration difficult. We present here a top-down approach for fabricating arrays of high quality GaN-based nanowires with controllable and uniform height, pitch and diameter. The nanowires are formed via a 2-step dry plus wet etch process from c-plane GaN grown by metal-organic chemical vapor deposition. The selective KOH-based wet etch is facet dependent for GaN, leading to hexagonally-shaped nanowires with straight nonpolar m-plane sidewalls. Additionally, this wet etch is shown by photoluminescence measurements to remove the sidewall damage caused by the dry etch step. Complete radial GaN/InGaN multi-quantum-well nanowire LED structures were realized via controlled growth on the top-down GaN nanowire arrays, leading to vertically-integrated, electrically-injected nanowire-based LEDs emitting at the yellow to red wavelengths. Using this technique, vertically-integrated, radial InGaN/GaN nanowire based solar cells have also been demonstrated and their performance measured for the first time. The fabrication, structure, optical properties, and device performance of the nanowires and nanowire-based LEDs and solar cells will be presented in detail. Sandia National Laboratories is a multi-program laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Corporation, for the U.S. Department of Energy's National Nuclear Security Administration under contract DE-AC04-94AL85000.
5:30 AM - AA11.9
Low Temperature Photoluminescence and Electrical Properties of n-doped ZnO Nanowires and Thin Films
Zhiwei Deng 1 He Huang 1 Shima Alagha 1 Omid Salehzadeh 1 Simon Watkins 1 Thomas Wintschel 1 Ian Anderson 1 Karen Kavanagh 1 Simon Watkins 1
1Simon Fraser University Burnaby Canada
Show AbstractThere has been intense worldwide effort into the development of p-dopants for ZnO for potential optoelectronic applications such as light emitting diodes. Nevertheless, there remain many unanswered questions about the role of shallow group III n-type dopants such as Al, In, and Ga, particularly in high quality single crystal material. For example, are these shallow donors, which are often observed in high resolution low temperature photoluminescence (PL) measurements actually active in controlling the n-type conduction, or are native point defects the primary source of n-type conductivity? Metalorganic chemical vapor deposition (MOCVD) is a potentially important technique for the growth of ZnO materials, yet there have been few reports of intentional doping in well characterized single crystal materials. In this work we report the growth and doping characteristics of ZnO nanowires and thin films by MOCVD on sapphire substrates using diethylzinc and nitrous oxide as the main group precursors. Indium and aluminum doping was achieved using trimethylindium (TMIn) and trimethylamine alane (TMMA) precursors respectively. Samples grown at low temperatures (600C) tended to exhibit nanowire morphology with extremely sharp low temperature photoluminescence transitions, as low as 0.14 meV. The observation of such sharp line transitions enables us to identify the primary residual shallow donor as Ga. Samples grown at higher temperatures (800-950C) tended to exhibit a combination of planar and nanorod morphology depending on growth conditions. The addition of TMMA and TMIn results in the observation of sharp-line features previously attributed to shallow Al and In donors based on previous ion implantation experiments. The addition of In also has a striking effect on the morphology of the ZnO films, resulting in a complete suppression of three dimensional growth, and the formation of highly planar thin films. Hall effect measurements on doped films do not show a significant enhancement of conductivity in the planar films, which we attribute to poor activation of the shallow dopants and masking by the high concentration of native donors. The crystal quality of ZnO nanowires is inherently superior to that of thin films when grown on sapphire substrates. As a result, the electrical properties of nanowires should be relatively unaffected by structural defects. We present preliminary measurements of individual nanowire conductivity using a tungsten nanoprobe fitted inside a field emission SEM. The effect of shallow group III dopants on the conductivity of individual nanowires will be presented.
5:45 AM - AA11.10
Synthesis and Nano-processing of ZnO Nano-crystals for Controlled Laser Action
Kota Okazaki 1 Tetsuya Shimogaki 1 Mitsuhiro Higashihata 1 Daisuke Nakamura 1 Tatsuo Okada 1
1Kyushu University Fukuoka Japan
Show AbstractThe lasing characteristics of a single ZnO nanosheet and a single ZnO nanowire were investigated by the microscopic spectrometer (MS) method for an application to ultraviolet (UV) laser diode (LD). ZnO nanocrystals were synthesized by chemical vapor deposition (CVD) method, and then the lasing characteristics of the ZnO nanocrystals excited by the third-harmonics of a Q-switched Nd:YAG laser beam (355 nm, 5 ns) was examined with a MS system. The emission spectra from a single ZnO nanocrystal was acquired by an objective lens with a magnification factor of 100, coupled with a spectrometer with a light fiber. The area observed by the spectrometer is about 15 µm in diameter, and therefore the PL from a single ZnO nanocrystal can be observed. The observed emission spectra around 385~395 nm showed the obvious lasing characteristics having mode structure and a threshold for lasing. The threshold power density of a ZnO nanosheet and a ZnO nanowire were measured to be about 50 kW/cm2 and 150 kW/cm2, respectively. There are a lot of reports on lasing from the ZnO nanowires in spite of only a few reports on the lasing from the ZnO nanosheets, and the lasing mechanisms of the ZnO nanosheets were not clear as to whether micro-cavity effect or random lasing. In our study, the detailed lasing characteristics from the single ZnO nanosheet were observed for the first time and it was clarified that the lasing action inside the nanosheet took place due to micro-cavity effect which was attributed to the light confinement inside the ZnO nanocrystal because of the high refractive index of 2.4 at 390 nm. Furthermore, the ZnO nanosheet can be a superior laser medium due to the lower threshold for lasing compared to the threshold of the ZnO nanowire. The lasing spectra from any ZnO nano-crystals reported so far had the multi-mode structure due to multi-longitudinal mode oscillation, and for the application to the UV LD device, the single-longitudinal mode lasing would be necessary. The single longitudinal mode lasing can be realized by the nanoprocessing of a grating on the ZnO nanocrystal surface due to distributed-feedback (DFB) laser. The pitch distance (DDFB) of the grating for the oscillation wavelength (?) is expressed as DDFB=?/2neff, where the neff is effective refractive index of ZnO. The minimum pitch distance of 81 nm will be required when the neff is equal to the bulk ZnO refractive index (?2.4), which can be machined by focused-ion beam (FIB) with Ga ion focused up to 7 nm. As the result, we demonstrated the nanoprocessing with the periodic structure of 81 nm on a single ZnO nanowire and a single ZnO nanosheet, respectively.
AA10: Application
Session Chairs
Friday AM, April 13, 2012
Moscone West, Level 3, Room 3004
9:00 AM - *AA10.1
Efficient Si Microwire Radial Junction Solar Cells
Xiaolin Zheng 1
1Stanford University Stanford USA
Show AbstractSi wire-based radial junction solar cells can theoretically achieve efficiencies of 17% due to improved charge-carrier collection and light absorption. Nonetheless, reported experimental efficiencies are typically below 10%, in spite of nearly 100% light absorption by the Si wire arrays. It is well recognized that radial junction wire solar cells have inherently large junction and surface charge-carrier recombination, but this has received limited experimental investigation. Herein, we present two strategies for effective junction and top surface passivation for radial junction wire solar cells by using intrinsic polycrystalline Si (poly-Si) and amorphous silicon nitride (a-SiN:H) thin films, respectively. We fabricated the vertically-aligned radial junction wire arrays from a bulk Si wafer to form a hybrid Si microwire (radial junction) and planar solar cells to simplify the fabrication process so that we can focus on the passivation methods. The inclusion of the intrinsic poly-Si layer between the p-n junction layers reduces the dark current and increases the efficiency by approximately 30%. The top a-SiN:H layer improves the efficiency by approximately 20% due to its combined surface passivation and anti-reflection effects. Consequently, the maximum efficiency of the hybrid Si microwire-planar cell is improved from 7.2% to 11.0% under AM 1.5G illumination by passivating the p-n junction and top surface with thin intrinsic poly-Si and a-SiN:H films respectively, and is higher than that of planar cells of the identical layers due to increased light absorption and improved charge-carrier collections in both wires and planar components. In addition, our solar cells can be fabricated with one-step photolithography and low temperature deposition, offering the potential to lower the fabrication costs of solar cells. We believe that these results not only provide effective junction and surface passivation strategies to all the radial-junction wire-based solar cells, but also represent an important intermediate between planar solar cells and pure wire array-based solar cells, which has higher efficiency than planar comparison solar cells and simpler fabrication than pure wire array-based solar cells.
9:30 AM - AA10.2
Optimizing Catalyst Placement for Enhanced Light Absorption in Silicon Microwire Water Splitting Devices
Matt Shaner 1 Harry A Atwater 2 Nathan S Lewis 3
1California Institute of Technology Pasadena USA2California Institute of Technology Pasadena USA3California Institute of Technology Pasadena USA
Show AbstractWe are developing water splitting devices for hydrogen production based on catalyst-decorated high aspect ratio semiconductor wire array photoelectrodes. A key aspect of this project is ?m-mm length scale design where emergent phenomena such as light absorption and catalysis are highly coupled and must function harmoniously in a high performance device. We have performed wavelength-dependent light absorption simulations to understand the effects of platinum (Pt) catalyst particle location and size on solar light absorption in high aspect ratio silicon (Si) microwires for the hydrogen evolution reaction (HER). Three geometries were considered: (1) bare Si microwires, (2) wires supporting spherical catalyst particles (catalyst diameter, d = 50nm, 100nm, 200nm) and (3) a porous spherical catalyst particle network located at the base of the silicon wires (catalyst diameter, d=100nm; network height, h =5?m, 33?m). The achievable AM1.5G photocurrent density at normal incidence with unit quantum efficiency is, for each geometry: (1) bare microwires: 17.3 mA/cm2; (2) wires supporting spherical catalyst particles with diameters d: 12.8 mA/cm2 (d=50nm), 8.6 mA/cm2 (d=100nm), 9.0 mA/cm2 (d=200nm); (3) porous catalyst particle network with diameters d and heights h: 19.1 mA/cm2 (h=5?m), 18.0 mA/cm2 (h=33?m). The findings suggest that compact porous catalyst networks at the microwire base provide enhanced wire absorption relative to the bare wire case. In contrast, nanoparticle catalysts patterned uniformly, but discontinuously on the wire surface show significant decreases in the microwire absorption due to deleterious catalyst light absorption. Further simulations are underway to compare these results to experimental catalyst placement geometries and optimize the network design by adding scattering particles and/or ordering the catalyst particles. Full wave electromagnetic simulations were performed in two dimensions using a Maxwell equation solver (Lumerical) employing finite difference time domain algorithms. Each simulation consisted of a 100?m tall and 1?m radius Si wire with Pt catalysts modeled as circles of a single diameter. Bloch boundary conditions were used with a 7?m pitch to simulate an array of Si microwires.
9:45 AM - AA10.3
A Nanogenerator for Energy Harvesting from a Rotating Tire and Its Application as a Self-Powered Pressure/Speed Sensor
Youfan Hu 1 Chen Xu 1 Yan Zhang 1 Long Lin 1 Robert L Snyder 1 Zhong Lin Wang 1
1Georgia Institute of Technolog Atlanta USA
Show AbstractHarvesting unexploited energy in the living environment to power small electronic devices and systems is attracting increasing massive attention. As the size of the devices has shrunk to the nano- or microscale, the power consumption also decreased to a modest level, i.e., the microwatts to milliwatts range. It is entirely possible to drive such a device by directly scavenging energy from its working environment. This self-powered technology makes periodic battery replacement or recharging no longer necessary and it is thus attractive for portable or inaccessible devices. A nanogenerator (NG) is designed to transfer mechanical energy into electric energy by the piezoelectric effect. Mechanical energy is very conventional energy source in our living enviroment, such as the vibration of a bridge and the deformation in the tires of moving automobiles, which is normally wasted. For bicycles, cars, trucks, and even airplanes, a self-powered monitoring system for measuring the inner tire pressure is not only important for the safe operation of the transportation tool, but also for saving energy. In this work, a NG was integrated onto the inner surface of a bicycle tire, demonstrating the possibility for energy harvesting from the motion of automobiles. A small liquid-crystal display (LCD) screen was lit directly using a NG that scavenges mechanical energy from deformation of the tire during its motion. The effective working area of the nanogenerator was about 1.5 cm ×0.5 cm and the maximum output power density approached 70uW cm-3 . Integration of many nanogenerators is presented for scale-up.Furthermore, the NG showed the potential to work as a self-powered tire-pressure sensor and speed detector. This work provides a simple demonstration of the broad application prospects of NGs in the field of energy harvesting and self-powered systems.
10:00 AM - AA10.4
3D ZnO/Si Branched Nanowire Photoelectrochemical Solar Cells: Cell Stability and Temperature Effect
Alireza Kargar 1 Ke Sun 1 Yi Jing 1 Chulmin Choi 2 Sungho Jin 2 Deli Wang 1 2
1UC San Diego La Jolla USA2UC San Diego La Jolla USA
Show AbstractThree-dimensional (3D) branched nanowire (NW) heterostructures have recently been become of great interest and their synthesis with different NW backbone (core) and branch compositions, such as ZnS-CdS, CuO-ZnO, ZnSe-CdSe, InAs-GaAs, Si-Ge, and Si-(III-V) and (II-VI) materials, has been demonstrated for different device applications such as nano LED devices, sensors, and logic gates. 3D ZnO-Si branched NW heterostructures, with ZnO branches on Si NW cores, have shown promising performances for optoelectronic applications. These 3D ZnO-Si branched NW heterostructures can be fabricated using cost-effective solution methods and in large scales. Branched NW heterostructures can be better candidates for photoelectrochemical (PEC) solar water splitting due to increased surface area for surface redox reaction, enhanced light absorption, and improved gas evolution caused by large surface curvature of NWs. The tunable and enhanced photocathodic or photoanodic behaviors of 3D ZnO-Si branched NW heterostructures for PEC solar water splitting and hydrogen generation have been demonstrated. We have fabricated various branched NW heterostructures using ZnO NW branches on different NW cores including Si, CuO, and Cu2O for PEC solar water splitting and hydrogen generation. Although the high surface area of ZnO NW branches improves the PEC performance including photocurrent, photoresponse, and PEC hydrogen generation efficiency, but it affects the cell stability. In this study, we investigate the cell stability of 3D branched NW heterostructures, consisting of vertical Si NW cores and uniform ZnO NW branches. Using TiO2 coating on ZnO NW branches, we show that the cell stability can significantly improve. The effect of temperature (electrolyte temperature) on the performance of PECE cells with or without TiO2 coating and water splitting is also investigated. These stabilized 3D branched NW heterostructure-based photoelectrodes open up promising approaches for cost-effective hydrogen generation using PECs.
10:15 AM - AA10.5
Effect of the Quantum Size Effect on the Performance of Solar Cells with a Silicon Nanowire Array Embedded in SiO2
Yasuyoshi Kurokawa 1 2 Shinya Kato 1 2 Yuya Watanabe 1 2 Akira Yamada 1 3 Makoto Konagai 1 3 Yoshimi Ohta 4 Yusuke Niwa 4 Masaki Hirota 4
1Tokyo Institute of Technology Tokyo Japan2Japan Science and Technology Agency (JST) Tokyo Japan3Tokyo Institute of Technology Tokyo Japan4Nissan Research Center Kanagawa Japan
Show AbstractRecently many researchers have shown an interest in silicon nanostructures, such as silicon quantum dots, silicon nanowires (SiNW) and so on, to apply to solar cell structure. Since several-nm-diametrical SiNW embedded in a wide-gap material can make carriers confined, the bandgap can be tuned due to the quantum size effect. Thus, it is possible to apply the SiNW to the top cell of all silicon tandem solar cells. However, there is no study to apply the quantum size effect to SiNW solar cells. It is needed to understand the influences which quantum confinement gives the electrical properties of SiNWs and the effects of the scattering on the optical properties of SiNWs. In this study, we numerically analyze these effects on the performance of the solar cells with SiNW array embedded in SiO2. Calculated electrical properties of SiNW solar cells are based on a self-consistent solution of the Poisson equation, and the current continuity equation. To introduce the quantum effect into the electrical simulation of SiNW solar cells, the Bohm quantum potential method was adopted. We also used the finite-difference time-domain (FDTD) method to calculate the electromagnetic field distributions inside the SiNW solar cells. The calculation model of SiNW solar cells is indium tin oxide (ITO)/p-type hydrogenated amorphous silicon oxide (p-a-SiOx:H, Eg=1.9 eV, 50 nm) or p-type hydrogenated microcrystalline silicon carbide (p-?c-SiC:H, Eg=2.2 eV, 50 nm)/n-type SiNW array whose surface except on the top was embedded in SiO2/n-type a-SiOx:H/aluminum electrode. The diameter of SiNWs was varied from 1 to 10 nm and the length of a SiNW and the width of SiO2 were kept at 1 ?m and 2 nm, respectively. The doping concentrations of p-a-SiOx:H (p-?c-SiC:H), n-SiNW, and n-a-SiOx:H were 1019, 1016, and 1019 cm-3, respectively. The average bandgap in a SiNW increased from 1.15 to 2.71 eV with decreasing the diameter from 10 to 1 nm, suggesting that it is due to the quantum size effect. Far stronger electric field exists in the n-SiNW on the p-layer side in the length direction than the radius direction. This electric field is useful for collecting generated carriers. The absolute value of the electric field on the p-type layer side increases and depletion region enlarges toward the middle of the SiNW as the diameter of SiNWs decreases. It is because majority carrier concentration was reduced by increasing the bandgap. Ultimately the SiNW with the diameter of 1 nm is fully depleted and positive electric field appears in the n-SiNW on the n-type layer side. Although this positive electric field leads to the suppression of the increase of Voc, at the diameter of 1 nm, the Voc of 1.79 V was obtained when p-?c-SiC:H was the p-layer of the SiNW solar cell. This result suggests that it is possible to increase the Voc by the quantum size effect and a SiNW is a promising material for the all silicon tandem solar cells.
10:30 AM - AA10.6
Light Trapping of Complex Silicon Nanowire Structures
Gerald Broenstrup 1 2 Sanjay Srivastava 1 Muhammad Bashouti 1 Vladimir Sivakov 2 Florian Talkenberg 2 Bjoern Hoffmann 2 Frank Garwe 2 Wolfgang Fritzsche 2 Andrea Csaki 2 Christian Leiterer 2 Norbert Jahr 2 Andrea Steinbruck 3 Bela Pecz 4 Gyorgy Zoltan Radnoczi 4 Silke Christiansen 1 2
1Max Planck Institute for the Science of Light Erlangen Germany2Institute of Photonic Technology Jena Germany3Los Alamos National Laboratory Los Alamos USA4Research Institute for Technical Physics and Materials Science Budapest Hungary
Show AbstractSilicon nanowires (SiNWs) show a wide range of unique properties to be exploited in various device concepts. Among those are the strong optical resonances that occur in nanowires that are even much thinner than the wavelength of the illuminating light. To exploit their potential a profound knowledge of their interaction with light in complex ensembles is fundamental. Among those ensembles are several are of broad interest like straight SiNWs -with and without a transparent conductive oxide (TCO) shell- by wet chemical etching of Si wafers on the one hand and on the other hand bottom up metal nano-particle assisted grown nanowires (NWs) that arrange themselves in mats with NWs oriented in different low index growth directions on amorphous substrates such as glass. Due to the high aspect ratios (length/diameter) numerical techniques are at disadvantage simulating entire wires including their substrates and neighboring structures. Simple approximations of the optical properties of the SiNWs can only be a preliminary starting point since they do not cover reality properly due to complex resonances of NWs that change substantially at even small diameter changes of the NWs. We will present a statistical model that fully describes resonances of randomly metal assisted grown SiNW mats. Furthermore, we will present a model for the widely discussed wet chemically etched SiNWs that are determined by metal nanoparticles (size, distribution) that are responsible for locally enhanced etching velocities. The influence of thin oxide layers such as TCOs realized by atomic layer deposition (ALD) on the optical properties of the NW ensembles will be discussed based on these models. All models are supported by integrating sphere measurements of the reflection, transmission and absorption of the samples as well as scanning and transmission electron microscopy (TEM, SEM) analyses.
11:15 AM - *AA10.7
InAs-Si Heterojunction Nanowires: Growth, Doping, and Tunnel Devices
Mikael Bjork 1 Cedric Bessire 1
1IBM Research Zurich Ruuml;schlikon Switzerland
Show AbstractIn this talk I will review our work on catalyst-free grown InAs nanowires on Si. A detailed description of growth and in-situ doping will be given demonstrating the limitations and possibilities of this approach. In addition, electrical characterization of the InAs-Si heterointerface will be shown as well as heterojunction tunnel diodes and full tunnel FETs.
11:45 AM - AA10.8
Realization of Steep-slope Behavior in Tunnel FETs Using InAs Nanowire/Si Heterojuction
Katsuhiro Tomioka 1 2 Takashi Fukui 1
1Hokkaido University Sapporo Japan2PRESTO Kawaguchi Japan
Show AbstractRecent advances in epitaxial techniques such as Vapor-Liquid-Solid (VLS) method and selective-area growth (SAG) have enabled the heterogeneous integration of III-V nanowires (NWs) on Si substrate. These III-V NWs on Si are expected as building-blocks for future electrical and photonic devices on Si platforms. Moreover, the III-V NW/Si heterojunctions, formed by the epitaxy, have provided unique band diagrams in the heterojunctions, and these phenomena using InAs NW/Si have attained the fabrication of solar cell [1] and Esaki tunnel diode [2]. We have firstly achieved position-controlled growth of vertical InAs NWs on Si [3] to make tunnel field-effect transistors (TFET) using InAs NW/Si heterojunction, and experimentally demonstrated the fabrication of tunnel field-effect transistors (TFET) for the first time [4]. The subthreshold slope (SS) of the TFET, however, have showed a large value (SS = 104 mV/dec at room temperature) although the switching behavior was based on tunnel transport. Here, we report on the first experimental demonstration of steep-slope TFET (SS ? 60 mV/dec) using InAs NW/Si heterojunction and suggests the key parameters to obtain steep-slope behavior of InAs NW/Si haterojunction TFET. At first, we grew InAs NWs on p-Si (111) by low-pressure horizontal MOVPE system as previous report [3]. SiH4 was used to make axial n-n+ junctions inside the InAs NW. After these growths, vertical three-terminal devices were fabricated by using etch-back procedures [4] and hafnium alminate (HfAlO) and tungsten (W) for gate oxide and metal. In this device, the gate length was 200 nm. After that, drain and source metal was evaporated on the top of NWs and backside of the substrate, respectively. Fabricated TFET had a single InAs NW as a channel. Measured current was normalized by using the outer perimeter of the gate metal. The performances of device are summarized as follows; average SS was below 60 mV/dec, threshold voltage ~ - 0.40 V, and on-off ratio, Ion / Ioff reached to 106 in average. For realization of steep-slope behavior in the TFETs, effective gate-voltage should be biased to channel region or InAs NW/Si heterojunction. Therefore, we assumed three elements of series resistance in the TFET, and adjust these series resistances to bias gate voltage into channel regions by changing device structures. The detailed improvements of the TFET structure will be presented on the day. [1] W. Wei et al., Nano Lett., 9 (2009) 2926. [2] M. T. Bjork et al., Appl. Phys. Lett., 97 (2010) 163501. [3] K. Tomioka et al., Nano Lett., 8 (2008) 3475. [4] K. Tomioka et al., Appl. Phys. Lett., 98 (2011) 083114.
12:00 PM - AA10.9
Vertical Si-Ge Nanowire Heterojunctions for Esaki Tunnel Diodes and Tunnel Field-effect Transistors
Wayne Y Fung 1 Lin Chen 1 Wei Lu 1
1University of Michigan Ann Arbor USA
Show AbstractAs transistors continue to scale in CMOS technology, power dissipation is becoming an increasingly urgent issue due to the 60 mV/dec thermodynamic limit of the subthreshold swing in conventional devices. The tunnel field-effect transistor (TFET) is an attractive proposal to circumvent this limit, allowing low-power and high speed operation. However, the small on-current in Si based TFETs is a major limiting factor. Germanium offers high hole mobility and can enable higher tunneling currents owing to its smaller band gap. However, the heterogeneous integration of Ge-based devices on a Si substrate has remained challenging due to lattice mismatch during thin film growth. To this end, the nanowire geometry allows for radial strain relaxation and the growth of Si-Ge heterojunctions with low defect density and sharp interfaces. Thus, the hybrid integration of bottom-up nanowire-based devices with traditional Si substrates offers possibilities to circumvent problems associated with conventional thin-film-based heterogeneous integration approaches. Such devices, based on epitaxially grown, vertical nanowire structures, also offer the potential to be integrated vertically on top of CMOS devices, thus further increasing the device density with 3-dimensional architectures. Here we present high performance nanowire tunneling devices based on vertical Si-Ge heterojunctions formed in small-diameter Ge/Si core/shell nanowires epitaxially grown on Si substrates. The Si-Ge nanowire heterostructure Esaki tunnel diodes exhibit pronounced negative differential resistance with peak-to-valley current ratio of 2.75, high peak current density of 2.4 kA/cm2, and high tunneling current density of 237 kA/cm2 at 1 V reverse bias, all obtained at room temperature. The peak current is found to increase with temperature and the data can be well explained with a band-to-band tunneling model. These results suggest that Si-Ge heterojunctions with low defect density can be obtained for device applications such as tunnel diodes and TFETs. For a vertical TFET, we also present promising results on junction abruptness and a raised geometry at the source terminal for proper gate alignment, as well as our latest progress in realizing a high performance vertical FET.
12:15 PM - AA10.10
Si Nanowire Esaki Diodes
Cedric Bessire 1 2 Heinz Schmid 1 Mikael T Bjoerk 1 Andreas Schenk 2 Kirsten E Moselund 1 Hesham Ghoneim 1 Heike Riel 1
1IBM Research Zurich Rueschlikon Switzerland2ETH Zurich Zuuml;rich Switzerland
Show AbstractTunnel currents in highly doped p-n junctions have been under investigation since they were first discovered by Leo Esaki in 1957. The recent increased interest in tunnel diode research has been driven by the quest for high current Tunnel FETs as a potential competitor to conventional transistors. To find the limits of tunneling currents in nano-scale silicon tunnel devices we fabricated and characterized silicon nanowire tunnel diodes. The silicon nanowires were grown on p-type Si substrates using Au-catalyzed vapor-liquid-solid growth and in-situ n-type doping. Electrical measurements reveal Esaki diode characteristics with peak current densities of 3.6 kA/cm2, peak-to-valley current ratios of up to 4.3 at room temperature, and reverse current densities of up to 300 kA/cm2 at 0.5 V reverse bias. Strain-dependent current-voltage (I-V) measurements exhibit a decrease of the peak tunnel current with uniaxial tensile stress and an increase of 48 % for 1.3 GPa compressive stress along the <111> growth direction, revealing the strain dependence of the Si band structure and thus the tunnel barrier. The contributions of phonons to the indirect tunneling process were probed by conductance measurements at 4.2 K. These measurements show phonon peaks at energies corresponding to the transverse acoustical (TA) and transverse optical (TO) phonons. In addition, the low-temperature conductance measurements were extended to higher biases to identify potential impurity states in the band gap. The results demonstrate that the most likely impurity, namely, Au from the catalyst particle, is not detectable, a finding that is also supported by the excellent device properties of the Esaki diodes reported here.
12:30 PM - AA10.11
Axial Si/Ge Hetero-nanowires for Tunneling Transistors and Photovoltaics
Son T Le 1 Daniel Perea 3 Pooya Jannaty 2 Aditya Mohite 3 Shadi Dayeh 3 Alexander Zaslavsky 2 1 Tom Picraux 3
1Brown University Providence USA2Brown University Providence USA3Los Alamos National Laboratory Los Alamos USA
Show AbstractModern vapor-liquid-solid (VLS) growth based on alloy catalysts has recently demonstrated the possibility of growing SiGe nanowires with controlled axial heterojunction abruptness [1] combined with simultaneous control of both material composition (Si and Ge) and doping profile. This advance opens up many potential applications for both electronic and photovoltaic devices. Previously we reported on the fabrication of axial in-situ doped Ge nanowire pn junction tunneling field effect transistors (TFET) with effective backgate control of the interband tunneling current [2]. In this presentation, we report on applications of Ge-Si axial heterostructured nanowires (hetero-NWs) for photovoltaic and TFET devices. First, the integration of Ge with Si in a hetero-NW structure is promising for broad-spectrum and high absorption efficiency solar cells. Our preliminary optical measurements on p-Ge/i-Si/n-Si wires provide important ingredients for a working hetero-NW photovoltaic device. We achieved good rectification with ~10^3 ratio between forward and reverse bias currents at moderate voltage. Under laser illumination (?= 532 nm), thanks to low reverse-bias current and the inserted i-Si section, we measured a large open circuit voltage Voc ~ 0.54 V and a very high short-circuit current density Jsc ~ 4x10^3A/cm^2, comparable to state-of-the-art reported single NW results [3]. We will report on the additional optimization of separate Ge and Si pin NW structures and their integration in a combined Ge/Si tandem hetero-NW solar cell. Second, the p-Ge/i-Si/n-Si hetero-NW is attractive for silicon-compatible TFET structures. In principle, TFETs can switch more sharply and at lower bias than a standard FET, with our hetero-NW structure exhibiting additional advantages due to its high Ion current (compared to Si devices) and better gate-all-around electrostatic control (compare to conventional planar TFETs). Our devices require high doping (needed for high tunneling current) in both p-Ge and n-Si sections, as well as good pn rectification - both of which we have demonstrated. We report our current experimental and simulation (Synopsis TCAD) results on optimization of the device parameters including: doping profiles, top-gate HfO2 oxide deposition and top-gate metal placement. [1] D. E. Perea, N. Li, R. M. Dickerson, A. Misra, and S. T. Picraux, Nano Lett. 11, 3117 (2011). [2] S. T. Le, P. Jannaty, A. Zaslavsky, S. A. Dayeh, and S. T. Picraux, Appl. Phys. Lett. 96, 262102 (2010). [3] B. Tian, T. J. Kempa, and C. M. Lieber, Chem. Soc. Rev. 38, 16 (2009).
12:45 PM - AA10.12
Near Zero Sub-threshold Swing Nano-electro-mechanical Field Effect Transistor with Suspended Ge/Si Core/Shell Nanowire Channel
Ji Hun Kim 1 Zack C Chen 1 Soonshin Kwon 2 Jie Xiang 1 2
1University of California San Diego San Diego USA2University of California, San Diego San Diego USA
Show AbstractThe static power consumption became one of the key limiting factors[1] on the shrinkage of feature size of VLSI circuit using CMOS technology. One major reason of high static power consumption is the off-state sub-threshold leakage current of the transistor. At room temperature the sub-threshold swing (SS), the steepest possible transition rate for turning off the transistor, is limited to 60 mV/decade due to a constant fundamental thermal dynamical limit (kBT/q) that is not scalable with reduced dimensions. This limitation is inherent to CMOS because its off-state is governed by thermally activated diffusive current over a gate-controlled potential barrier. Completely different switching mechanism such as using the mechanical degree of freedom is necessary to break the SS limit. Previous studies using nano-electro-mechanical-system (NEMS) have shown suspended-gate MOSFET (SG-MOSFET) as logical switch[2], while we have previously proposed a suspended nanowire (NW) channel FET (NEMFET)[3] with low pull-in voltage(VPI) and high ION/IOFF ratio due to the flexibility of nanowires. Here we report the first demonstration of a NEMFET device using suspended Ge/Si core/shell nanowires. The NEMFET channel is suspended over a back gate oxide substrate with the air gap thickness defined by the thickness of the supporting source/drain electrodes. DC transfer characteristics on NEMFET demonstrates close-to-zero SS (<15mV) at room temperature with the slope only limited by measurement equipment resolution. Furthermore, we employed electrostatic actuation to study the AC mechanical response of the nanowire channel. Using the NEMFET as a signal mixer we characterized the resonant frequency and the speed of NEMFET device to be 60 MHz, in line with theoretical modeling results[4]. [1] D. A. Antoniadis, et al., Res. & Dev. Vol.50, No.4/5 (2006) [2] N. Abele, et al., IEEE IEDM, p.479-489 (2005) [3] Ji Hun Kim, et al., MRS Fall Meeting, W5.7, (2010) [4] H. B. Peng, et al, Phys. Rev. Lett., 97, 087203, (2006)