Symposium Organizers
Michael A. Filler, Georgia Institute of Technology
Kimberly Dick Thelander, Lund University
Anna Fontcuberta i Morral, École Polytechnique Fédérale de Lausanne
Jordi Arbiol, ICREA and Institut Català de Nanociència i Nanotecnologia
Symposium Support
Applied Materials
INDEED Network
NM03.01: Nanowire Photonic Devices: Photodectors and Sensors
Session Chairs
Jordi Arbiol
Martin Eickhoff
Sara Martí-Sánchez
Monday PM, November 26, 2018
Sheraton, 2nd Floor, Back Bay D
8:30 AM - *NM03.01.01
GaN/AlN Nanowire Photodetectors—From the UV to the IR
Eva Monroy1,Akhil Ajay1,Maria Spies2,Jonas Lähnemann1,Martien den Hertog2
CEA-Grenoble1,Institut Néel2
Show AbstractNanowire photodetectors attract broad interest due to their low dimensionality, small electrical cross-section, and ultrahigh photocurrent gain. In the ultraviolet region, ZnO and GaN nanowires have been intensively studied as spectrally-selective photodetectors. For this application, III-nitride nanowires present advantages in terms of heterostructuring possibilities and stability against chemical, mechanical or electrical stress. In a single-GaN-nanowire UV photodetector, the efficiency can be enhanced by the insertion of a GaN/AlN heterostructure [1,2], which leads to an increase of the responsivity by about two orders of magnitude, improved linearity, and the possibility to select the detected wavelength, while maintaining a UV/visible contrast larger than six orders of magnitude. Furthermore, devices with a linear photoresponse to the optical power can be implemented by using nanowires with a thickness below a certain threshold [3]. On the other hand, the insertion of quantum dots in nanowires is also interesting for infrared photodetection using intraband transitions. Therefore, intraband transitions in GaN/AlN nanowire heterostructures have been investigated, varying the geometry and doping level of the GaN insertions [4]. Based on this research, we present the first single-nanowire quantum well infrared photodetector (NW-QWIP), operating at the 1.55 µm telecom band [5]. Finally, the study has been extended to cover the mid-infrared spectral range, up to around 6 µm, using intraband transitions in GaN/Al0.4Ga0.6N dots-in-a-wire.
[1] J. Lähnemann et al., Nano Lett. 16, 3260 (2016)
[2] M. Spies et al., Nano Lett. 17, 4231 (2017).
[3] M. Spies et al., Nanotechnology 29, 255204 (2018).
[4] A. Ajay et al., Nanotechnology 28, 405204 (2017).
[5] J. Lähnemann et. al., Nano Lett. 17, 6954 (2017).
9:00 AM - NM03.01.02
Fully CMOS-Compatible Synthesis and Photodetector-Integration of Ultrathin, Parallel-Aligned ZnO Nanowire Arrays by Infiltration Synthesis Derived from Atomic Layer Deposition
Chang-Yong Nam1
Brookhaven National Laboratory1
Show AbstractSemiconductor nanowires with reduced diameters enable high-performance chemical sensors and photodetectors owing to their large surface-to-volume ratios and enhanced surface band bending. Synthesis of nanowires and their device integration by CMOS (complementary metal-oxide-semiconductor)-compatible processes however remain a formidable challenge. Here we report fully CMOS-compatible synthesis and ultraviolet (UV)-photodetector-integration of ultrathin (~30 nm diameter), perfectly parallel-aligned, polycrystalline ZnO nanowire arrays by using infiltration synthesis, a new type of material hybridization technique derived from atomic layer deposition (ALD), where vapor-phase organometallic precursors are infiltrated into polymer templates, forming inorganic-infiltrated hybrid nanocomposites that can be directly converted into monolithic inorganic nanostructures inheriting the positional registry and morphological features of starting polymer templates by ashing the polymer matrix. Specifically, the ultrathin ZnO nanowire array is generated by infiltrating diethylzinc and water vapors into lithographically patterned polymer nanowire template made of a negative-tone photoresist SU-8. The integrated ZnO nanowire array photodetectors feature ultralow dark currents <20 fA invariant with the number of nanowires, over 6-decade photocurrent on-off ratios leading to >120 dB dynamic range, and unusual superlinear photoconductive responses, enabling increasing photodetector performance parameters for a higher incident light power. Considering the temperature-dependent field-effect transistor characteristics of the ZnO nanowire arrays, the observed superlinear photoconductivity can be explained by a new type of photoelectrochemical thermionic charge emission mechanism involving the reaction of chemisorbed oxygen and photo-generated charge carriers at grain boundaries. The demonstrated ultrathin nanowire synthesis and device fabrication methods have potentials for fully CMOS-compatible integration of nanowire sensor devices and circuitries. The identified photoelectrochemical grain boundary thermionic emission mechanism provides an improved understanding on the superlinear photoconductivity observed in nanostructured materials.
9:15 AM - NM03.01.03
Plasmonic Au/ZnO Nanowires for Room Temperature NO2 Detection
Bo Zhang1,Jiyu Sun1,Puxian Gao1
University of Connecticut1
Show AbstractPlasmonic Au-ZnO nanostructures with a size less than the incident light wavelength have been found to exhibit a localized surface plasmon resonance (LSPR) that may lead to strong absorption, scattering, and local field enhancement.1 These resonances, associated with noble metal nanostructures create sharp spectral absorption and scattering peaks as well as strong electromagnetic near-field enhancements.2 However, operation of ZnO gas sensors is limited to elevated temperature, which leads to enhanced energy consumption and large sensor size. Thus, reducing operating temperature or room temperature gas detection become significant in future sensor development. In this work, by utilizing the wavelength tunable photo-irradiation, selective gas detection has been demonstrated at room temperature based on Au/ZnO nanowire arrays. The Au/ZnO nanowires were synthesized by the microwave-assisted hydrothermal deposition of ZnO nanowires followed by Au nanoparticle (NP) dip-coating process. Compared to pristine ZnO, the Au-ZnO nanowire sensor performance was enhanced in both UV and visible regions, especially with highly enhanced sensitivity observed at 550 nm. The sensitivity towards 20 ppm NO2 could reach as high as 250%, and the detection limit is determined to be around 1 ppm at 25 °C. The sensitivity enhancement resulted from UV is due to the migration of photo-generated electrons from Au NPs to ZnO. On the hand, the sensing mechanism in the visible region is primarily due to the LSPR effect of Au. The oscillated electrons become more sensitive to the charge density and dielectric environment of Au. Besides, a large selectivity was found for NO2 gas over CO, NH3 and O2 at 330 nm UV irradiation. The ratio of cross sensitivity towards target gas and interfering gases is larger than 300. It is clear that with tunable light irradiation, room temperature NO2 gas detection could be achieved using plasmonic Au/ZnO nanowires with high sensitivity and selectivity.
Reference
1. Gogurla, Narendar, et al. "Multifunctional Au-ZnO plasmonic nanostructures for enhanced UV photodetector and room temperature NO sensing devices." Scientific reports 4 (2014): 6483.
2. Mayer, K. M. & Hafner, J. H. Localized surface plasmon resonance sensors. Chemical reviews 111 (2011): 3828-3857.
9:30 AM - NM03.01.04
UV Sensitivities of Catalyst-Free Grown ZnO 1-D Nanostructures on High Crystallinity Atomic Layer Deposition Seeds
Yun-Yi Chu1,Shang You Tsai1,Chun-Chi Chen2,Fu-Hsiang Ko1
National Chiao Tung University1,National Applied Research Laboratories2
Show AbstractZinc Oxide is a nontoxic material, with a wide direct band gap (3.4 eV), high exciton binding energy (60 meV) and good thermal stability, making it suitable for UV LEDs, photo catalysts, UV sensors and gas sensors. At the surface of zinc oxide, a layer of positive space charge is usually formed to cancel out the charge of surface oxygen species. Removal and addition of said surface oxygen species may alter the electrical properties at the surface. Utilizing this mechanism, applications such as UV and gas sensors were studied by others. Here, we explored the various factors that may affect the UV absorbing and sensing properties of ZnO 1-D nanostructured devices, including crystallinity, contact junctions, and morphology. Regarding morphology, 1-D nanostructures have high surface area ratios and can exhibit surface properties in larger scales, as the structures can be viewed as wrapping surfaces around lines.
In this study, 1-D nanostructured ZnO UV sensors were developed on silicon dioxide using an atomic layer deposition (ALD) seed and chemical vapor deposition (CVD) nanostructure growth to investigate nanostructure properties. An ALD process was selected to deposit the seed layer due to the capability of uniform thickness growth and excellent crystallinity. The CVD process with vapor-solid (VS) growth provided a clean method to grow zinc oxide nanostructures without introducing unnecessary additives, by using a source of zinc metal powder and high purity oxygen. Compared to wet chemical methods and vapor-liquid-solid (VLS) growth of ZnO nanostructures, the VS processes would not have salts and metal particles, which may affect devices in undesired ways, such as salt interactions with humidity, Ohmic or Schottky contacts by metal particles with ZnO and stability issues of metal catalysts.
Different 1-D nanostructures such as crossing nanowires and free-standing nanorods were deposited through the control of seed layer quality, zinc source temperature and substrate undercooling. Characterization of ZnO structures was done by SEM, X-ray reflection (XRR) and XRD techniques for morphology, thickness and crystallinity; UV-VIS, Photoluminescence spectroscopy and a Keithley 2400 instrument were used for the absorption, emission spectrums and UV irradiated conductivity change. The ALD seed ZnO layer showed highly preferred (002) plane crystal growth by a strong XRD peak with d-spacing approximately 2.6 angstroms, while 1-D nanostructure-grown samples exhibited similar or slightly lower (002) preference, indicated by minor ZnO (100) and (101) peaks. Structures with conductivity responses after 5 minutes of 3V UVA LED (345nm to 425nm, < 0.5 mWatts) irradiation from 3% to 226% were observed, with diagonally crossing nanowires showing lower responses, and vertical free-standing nanorods of higher responses. Comparison of such materials showed the dependence of 1-D nanostructured device UV response properties on ZnO morphologies.
9:45 AM - NM03.01.05
Light Emitting Silicon Nanowires—From Photonics to Sensing Applications
Antonio Leonardi1,2,3,Maria José Lo Faro2,Dario Morganti1,2,Cristiano D'Andrea2,Barbara Fazio2,Paolo Musumeci1,Pietro Artoni1,Cirino Vasi2,Gerardo Palazzo4,Luisa Torsi4,Francesco Priolo1,2,5,Alessia Irrera2
Università degli Studi di Catania1,Consiglio Nazionale delle Ricerche2,Istituto Nazionale di Fisica Nucleare3,Università degli Studi di Bari Aldo Moro4,Scuola Superiore di Catania5
Show AbstractThe scientific community has devoted an increasing interest to quantum confinement materials. In particular, silicon nanowires (Si NWs) are considered one of the most appealing resource to be employed in nanoscaled devices. Si NWs with an efficient room temperature (RT) light emission would represent a great industrial advancement, opening the route to a wide range of unexpected photonic applications. Nevertheless, to achieve a good control on quantum confined Si NWs fabrication is complex and challenging with the current technology. The most diffuses approaches such as lithography or Vapor-Liquid-Solid techniques suffers of different limits restraining the realization of quantum confined Si NWs. We demonstrated the realization of an ultradense array (1012 NWs/cm2) of light emitting Si NWs by using a modified metal assisted chemical etching without any type of mask or lithography. This method is fast, cheap and compatible with the standard Si technology. NWs achieved by this technique exhibited a very bright RT PL and EL tunable with NWs size in agreement with the occurrence of quantum confinement effect. With this method we demonstrated the realization of a 2D random fractal array of aligned Si NWs without any lithographic process or mask and by using a fractal gold layer realized by a Si technology compatible approach. We were able to control and tune the optical properties of the system by changing the fractal morphology of the Si NWs array [1]. In-plane multiple scattering and very strong light trapping with diffuse reflectance below 0.1% related to the fractal structure were observed overall the visible range [1-2]. An innovative generation of Si NW-based optical biosensor is realized, which exploits the PL properties for the ultrasensitive and selective detection of proteins [3] in a wide range of concentrations. The occurrence of non radiative phenomena introduced by the target analyte on the NWs surface determines the quenching of the PL signal. In particular, we realized a sensor for C-reactive protein (CRP), which is crucial for heart-failure pathology. Cardiovascular problems are some of the major cause of death for both men and women. The availability of high sensitivity, low cost and reliable CRP sensors is a priority demand in clinical diagnosis for cardiovascular diseases. Si NWs sensors are fast, highly selective and offer a broad concentration dynamic range. Moreover, these sensors reach a fM sensitivity permitting non-invasive analysis in saliva [3]. Si NWs open the route towards new optical label-free cheap sensors and a full compatible with the standard Si technology for primary health care diagnosis of biomarkers. Moreover, by changing the functionalization the use of Si NW sensors opens the route towards a new class of promising label free optical sensors for different application fields.
1. Light: Science & Applications 5 (4), e16062, 2016
2. Nature Photonics 11,170-176, 2017
3. ACS Photonics 5 (2), 471–479, 2018
NM03.02: Metal Halide Perovskite Nanowires
Session Chairs
Jordi Arbiol
Sara Martí-Sánchez
Eva Monroy
Monday PM, November 26, 2018
Sheraton, 2nd Floor, Back Bay D
10:30 AM - *NM03.02.01
Probing Fundamental Charge Carrier Dynamics in Metal-Halide Perovskite Mircowires
Aboma Merdasa2,Eva Unger1,2
Lund University1,Helmholtz-Zentrum Berlin für Materialien und Energie2
Show AbstractMetal halide perovskites exhibit favorable properties for optoelectronic devices. This talk will summarize results on metal halide perovskite wires illuminating fundamental properties of metal-halide perovskites.
Intermittency effects in the photoluminescence suggest the existence of photo-induced dynamic state in metal-halide wires that lead to non-radiative recombination.[1] For wires longer than 10 µm, photoluminescence quenching at defined positions along the wire suggest localized states that periodically become activated, leading to efficient charge carrier quenching. From the gradient in photoluminescence, the charge carrier diffusion length can be estimated from this one-dimensional model system.
Structural defects also play a role in the observed phase coexistence and hysteresis during the tetragonal to orthorhombic phase transition in methylammonium lead iodide wires.[2] The phase transition temperature appears to be dependent on the local defect concentration with more defective domains more readily transforming from the tetragonal to orthorhombic domain. This leads to charge carriers being funneled to lower energy tetragonal sites during the phase transition shown by photoluminescence microscopy and super-resolution imaging.
[1] Merdasa et al., ACS Nano, 11, 5391 (2017)
[2] Dobrovolsky et al., Nat. Comm. 8, 34 (2017)
11:00 AM - NM03.02.02
Orientation-Dependent Hybrid Perovskite Conversion of VLS-Grown Lead Halide Nanowires
Hyewon Shim1,Naechul Shin1
Inha University1
Show AbstractOrganic-inorganic hybrid perovskites, such as methylammonium lead iodide (CH3NH3PbI3) have shown outstanding optoelectronic properties, promising extensive application in solar harvesting. Although most hybrid perovskite materials have been synthesized under solution process, recent demonstrations of the vapor phase synthesis of the hybrid perovskite nanostructures suggest there is an emerging interest in controlling their properties under highly-confined structures. In particluar, single-crystalline 1D lead halide nanowires can be prepared via vapor-liquid-solid (VLS) growth mechanism through the self-catalyzed growth mechanism, which then converted to hybrid perovskite. Since the conversion occurs on the preformed nanowire, electronic properties 1D perovskite depend on the original nanowire structure. In this study, we report the VLS growth of lead iodide (PbI2) nanowires on a c-sapphire (0001) substrate followed by conversion to CH3NH3PbI3 using methylammonium iodide, and confirm that the degree of perovskite conversion depends on the growth orientations of PbI2 nanowires. We observe two different growth directions; vertically-oriented [0001] nanowires and kinked nanowires. Photoluminescence (PL) measurements on each growth direction suggest that the oriented nanowires exhibit a higher degree of conversion compared to the [0001] oriented nanowires. In addition, [0001] oriented nanowires exhibit the position-dependent degree of conversion, depending on the presence of the catalyst tip on top of the nanowire. In particular, the conversion is observed both on the catatlyst tip and the base of nanowire, suggesting methylammonium iodide incorporates into the nanowire either by vapor trasport and surface diffusion. Our observation indicates that the vapor phase conversion of PbI2 to CH3NH3PbI3 is a diffusion-limited process. This finding is an important step towards an structure engineering of perovskite nanowires.
11:15 AM - NM03.02.03
First-Principles Insights of Electronic Structure in Quasi-One-Dimensional Van der Waals Materials
Xiaofeng Qian1,Baiyu Zhang1
Texas A&M University1
Show AbstractLow dimensional materials have been one of the focus areas in materials science for more than three decades. In particular, the low dimensionality and reduced dielectric screening lead to pronouced optoelectronic properties such as excitons and polaritons. Here we demonstrate that quasi-one dimensional (1D) materials exhibit unique electronic structure and optical properties promising for low-cost photovoltaics and novel optoelectronics. First, we will present our first-principles study of a few quasi-1D van der Waals crystals with non-toxic and earth-abundant elements, and will elaborate the role of 1D structure and defects on their highly anisotropic optical and electronic properties. Our results shed light on new thin-film photovoltaic systems with excellent defect tolerance. Second, we will show that quasi-1D van der Waals crystals exhibit exciting nonlinear optical effects, and a microscopic picture is provided based on first-principles theory. We believe the theoretical findings presented here will open up many exciting opportunities in quasi-1D materials and nanostructures.
11:30 AM - *NM03.02.04
Growth and Optoelectronic Applications of Single-Crystal Nanowires of Metal Halide Perovskites
Dongxu Pan1,Song Jin1
University of Wisconsin1
Show AbstractThe remarkable performance of lead halide perovskites in solar cells can be attributed to the excellent photophysical properties that are also ideal for lasers and light-emitting devices (LEDs). The chemical and structural characteristics of halide perovskites make their crystal growth behaviors very different from conventional inorganic semiconductor materials. Here we first report new insights on the crystal growth of halide perovskites and developed the solution growth of single crystal nanowires, nanorods, and nanoplates of methylammonium (MA), formamidinium (FA) and all-inorganic cesium (Cs) lead halides perovskites (APbX3) via a dissolution-recrystallization pathway. We also developed the vapor phase epitaxial growth of aligned CsPbX3 perovskite nanowires and single-crystal thin films. Moreover, nanostructures of metastable perovskite phases, such as FAPbI3 and CsPbI3, can be stabilized via new chemical strategies by using surface ligands. These single-crystal nanowires are excellent model systems to study the intrinsic properties of perovskite materials, such as carrier transport and ionic interdiffusion. We also demonstrated high performance room temperature lasing with broad tunability of emission color from 420 nm to 824 nm from single-crystal lead halide perovskite nanowires with estimated lasing quantum yields approaching 100%. LEDs can also be fabricated with nanoscale structures of 3D or 2D perovskites. The excellent properties of these single-crystal perovskite nanowires of diverse families of perovskite materials with different cations, anions, and dimensionality make them ideal for fundamental physical studies of carrier transport and decay mechanisms, and for enabling high performance semiconductor lasers, LEDs, and other optoelectronic applications.
NM03.03: Nanowire Quantum Devices
Session Chairs
Anna Fontcuberta i Morral
Sara Martí-Sánchez
Eva Unger
Monday PM, November 26, 2018
Sheraton, 2nd Floor, Back Bay D
1:30 PM - *NM03.03.01
Epitaxy of Hybrid Bi- and Tri-Crystal Quantum Materials by Molecular Beam Epitaxy
Peter Krogstrup1,2
Niels Bohr Institute1,Microsoft Quantum Materials Lab2
Show AbstractInterfaces are key elements in nanostructured device architectures. The quality of interfaces is particularly important for quantum devices where the device performance depends on the order, uniformity and purity of the interfaces that takes part in the quantum device structure. Hybrid nanowire materials with semiconducting, superconducting and magnetic components properties constitute some of the most promising candidates in the search for materials suitable for topological quantum computing [i]. I will discuss the mechanisms of hybrid epitaxy by Molecular Beam Epitaxy [ii] that lead to well defined interfaces between crystals of different structural and electronic properties.
I will present on new characterization schemes of how to extract information from the hybrid epitaxial materials and discuss the challenges and material requirements needed for realizing and eventually manipulating topological protected quantum states.
[i] Nayak et al. Rev. Mod. Phys. 80, 1083 (2008)
[ii] Krogstrup et al. Nature Mater. 14, 400-406 (2015)
2:00 PM - NM03.03.02
In Situ Patterned Superconductor/Semiconductor Nanowires
Martin Bjergfelt1,Damon Carrad1,Martin Aagesen1,Thomas Kanne1,Filip Krizek1,Peter Krogstrup1,Thomas Jespersen1,Jesper Nygård1
University of Copenhagen1
Show AbstractWe present electrical and structural characterisation of superconductor/semiconductor hybrid nanowires consisting of previously unexplored materials combinations. Each superconductor was deposited in-situ after semiconductor growth, without breaking vacuum. This ensures a clean and transparent interface between the semiconductor and superconductor; a crucial requirement for inducing a ‘hard’ BCS superconducting gap in the nanowires. Additionally, we developed a shadow mask method for patterning the superconductor layer during deposition. This allowed us to fabricate functional devices without need to develop specific etches and/or lithography techniques for each material. The method thus streamlines growth and fabrication while minimizing the risk of materials degradation during post-processing. We have demonstrated several key architectures including tunnel probes, Josephson Junctions and Majorana islands. These devices facilitated characterization of induced superconductivity properties in InAs nanowires, and provided insights into the requirements for obtaining a hard gap. Also of interest were the nanoscale superconducting properties of each material since the critical temperatures and critical magnetic fields differ strongly from bulk values. In particular, the materials we studied show dramatically increased out-of-plane critical field compared to aluminium, which is highly desirable for many proposed applications in topological superconductivity. The ability to fabricate devices based on a wide variety of interfaces broadens the scope for future applications of superconductor/semiconductor hybrid devices.
2:15 PM - NM03.03.03
Spin-Orbital Kondo Effect in InAs Nanowire Crystal Phase Double Quantum Dots
Heidi Potts1,Malin Nilsson1,Sebastian Lehmann1,Adam Burke1,Kimberly A. Dick1,Claes Thelander1
Lund University1
Show AbstractSemiconducting nanowires offer a unique platform to study fundamental physical effects. Recent advances in crystal phase engineering enable switching between zinc-blende and wurtzite crystal phases in InAs nanowire growth. Using this knowledge, a quantum dot (QD) can be created consisting of a thin zinc-blende section between two wurtzite tunnel barriers [1]. Furthermore, the QD can be split into two parallel coupled QDs using two local sidegates and a global backgate [2]. This system can be considered an artificial molecule, for which the electron population on the two dots can be changed separately, and the tunnel coupling between the two dots can be tuned. This offers a great flexibility to study for example the interaction between electrons and spins located on the two dots [3].
In this work, we use the crystal phase InAs QD system to investigate Kondo transport. The Kondo effect is an extensively studied many-body phenomenon which can be observed in QDs with two degenerate levels containing only one particle. Experimentally, the spin-degeneracy is often used to study the Kondo-effect. Recently the degeneracy of two orbitals in parallel coupled QDs has also been used to explore the so-called orbital-Kondo effect [4-6]. Special interest has arisen in the condition where both the spin- and the orbital-Kondo effects are present, resulting in a SU(4) symmetry. The challenge in experimental studies is to distinguish between the spin- and orbital-Kondo effects.
The InAs parallel QD system allows us to study the crossing of two spin-degenerate orbitals, one from each QD. The large single QD orbital spacing results in even-odd level spacing, making it evident whether the electron population on the dot is even or odd. At zero magnetic field, we observe enhanced conductance at zero bias due to spin-Kondo transport when one (or both) of the QDs contains an odd number of electrons. We further observe a Kondo peak when two orbitals from the QDs are aligned and contain only one electron. The zero-bias peak due to the spin degeneracy splits in magnetic field (Zeeman effect). Similarly, we demonstrate that the zero-bias peak due to the orbital degeneracy splits when detuning the orbital energies. The large g-factor of InAs allows us to study the orbital-Kondo effect independently of the spin-Kondo effect, and to demonstrate that the zero-bias peak at the orbital degeneracy persists, even though the spin-degeneracy is lifted by applying a magnetic field.
In conclusion we demonstrate both spin- and orbital-Kondo effects in InAs nanowire QDs formed by crystal phase engineering, and clearly show how the system can be tuned to have either one or both effects present at zero bias.
[1] M. Nilsson et al. PRB 93, 195423 (2016)
[2] M. Nilsson et al. Nano Letters 17, 7847 (2017)
[3] M. Nilsson et al. arXiv:1803.00326 (2018)
[4] T. Delattre et al. Nature Physics 5, 208 (2009)
[5] Y. Okazaki et al. PRB 84, 161305(R) (2011)
[6] A. J. Keller et al. Nature Physics 10, 145 (2013)
2:30 PM - *NM03.03.04
Selective Area Epitaxy of a Materials Platform for Scalable Quantum Computing
Philippe Caroff1
Microsoft Quantum1
Show AbstractNanoscale hybrid III-V semiconductor/superconductor heterostructures have demonstrated potential to advanced quantum transport physics, in particular to host the elusive Majorana quasiparticles. Virtually all progress on the materials side in the last 6 years has relied on high quality vapor liquid solid growth of III-V free-standing nanowires functionalized at a later stage by ex-situ deposited Al. Despite these early successes a more robust, scalable materials platform is still missing to enable the future topological quantum computer.
Here I will report on our progress in using molecular beam epitaxy (MBE) to grow both III-V semiconductor nanowire networks and a high quality epitaxial s-wave metal superconductor, using selective area epitaxy. I will first introduce the current state of the art, advantages and challenges of the selective area approach and MBE with respect to other growth techniques and geometries. Then a new method to successfully map the selective area epitaxy window in the ultra-high purity MBE environment will be detailed. Fundamental knowledge gained by growth studies will be applied to obtain reproducible, high yield advanced high spin-orbit III-V nanowire/superconductor networks. The materials properties and quality are assessed by a combination of morphological, compositional and structural analyses.
NM03.04: Narrow Bandgap Nanowires
Session Chairs
Philippe Caroff
Michael A. Filler
Sara Martí-Sánchez
Monday PM, November 26, 2018
Sheraton, 2nd Floor, Back Bay D
3:30 PM - *NM03.04.01
High-Quality Narrow-Band Gap III-V Semiconductor Nanostructures Grown by Molecular-Beam Epitaxy
Jianhua Zhao1
Institute of Semiconductors, Chinese Academy of Sciences1
Show AbstractAmong the III-V group semiconductors, InAs and InSb nanostructures have attracted much attention since they exhibit narrow-band gaps, high electron mobilities, strong spin-orbit couplings and giant g factors. These unique properties make them ideal materials for applications in high-speed and low-power electronics, infrared optoelectronics and especially topological quantum computing. All these applications need not only a high crystal quality but also a high degree control of the material morphology. In my talk, I will firstly give a brief introduction about our work on the successful growth of free-standing single-crystalline InSb nanosheets/nanowires on one-dimensional InAs nanowires stems on Si (111) substrates by molecular-beam epitaxy (MBE) [1,2]. Then I will present the growth of the wafer-scale free-standing high-quality two-dimensional InAs nanosheets also by MBE. These InAs nanosheets show the outstanding electrical and optical properties. Finally, I will forward to our recent progress on the heterostructures composed with the narrow-band gap semiconductor nanostructure and a superconducting aluminum fabricated by an MBE system without exposing to the air during all the growth process [3].
References:
[1] D. Pan, M. Q. Fu, X. Z. Yu, X. L. Wang, L. J. Zhu, S. H. Nie, S. L. Wang, Q. Chen, P. Xiong, S. von Molnár, and J. H. Zhao, Nano Lett., 14 (2014) 1214.
[2] D. Pan, D. X. Fan, N. Kang, J. H. Zhi, X. Z. Yu, H. Q. Xu, and J. H. Zhao, Nano Lett., 16(2016) 834.
[3] D. Pan, J. H. Zhao et al., to be published.
4:00 PM - NM03.04.02
Light Emission from Direct Bandgap Hexagonal Germanium
Erik Bakkers1,3,Elham Fadaly1,Alain Dijkstra1,Floris Knopper1,Luca Gagliano1,Marcel Verheijen1,2,Jos Haverkort1
Eindhoven University of Technology1,Philips Innovation Laboratories2,Delft University of Technology3
Show AbstractGroup IV-Semiconducting materials, especially Ge- rich alloys, with a hexagonal crystal structure have been theoretically predicted to exhibit a direct band gap nature1,2. Density functional theory (DFT) calculations predict a 0.3 eV bandgap for hexagonal Ge and a range from 0.3 to 0.8 eV for hexagonal Ge-rich SiGe alloys. This opens new frontiers towards uniting the electronic and optoelectronic functionalities on a single chip. However, experimentally, hexagonal Ge is an unexplored territory structurally, since Ge and its alloys crystallize naturally in the cubic structure which is optically inactive due to its indirect bandgap nature.
Remarkably, the Nanowire (NW) geometry offers a unique platform for realizing new crystal structures which are inaccessible except under extreme conditions3. Recently, we have developed generic technique in which a core/shell NW template is utilized for transferring the crystal structure in achieving new crystal phases4.
Here, we explore the unique structural and optical properties of Hex- Ge achieved in the WZ-GaAs/Hex-Ge core/shell NW geometry. We demonstrate photoluminescence from pure hexagonal Ge showing clear emission at 3.4 µm at low temperatures and it is measurable up to room temperature suggesting first indications of the direct band gap nature. Further, we demonstrate the tunability of the bandgap via alloying Ge with Si. Also, we explore its interesting crystal structure properties that reveal a new type of crystal defects which have not been observed in a group IV material in the literature before.
References
1 J. Joannopoulos et al., Phys. Rev. B 1973, 7 (6), 2644−2657.
2 A. D and C. E. Pryor, J. Phys.: Condens. Matter 2014, 26 (4), 045801.
3 L. Vincent et al., Nano Lett. 2014, 14 (8), 4828− 4836
4 I. Hauge et al., Nano Lett., 2017, 17 (1), pp 85–90
4:15 PM - NM03.04.03
Growth and Characterization of Hyperdoped Germanium Nanorods and Nanowires
Sven Barth1,Michael Seifner1,Masiar Sistani1,Patrik Pertl1,Fabrizio Porrati2,Ivan Zivadinovic1,Alois Lugstein1,Michael Huth2
TU Wien1,Goethe University Frankfurt2
Show AbstractGermanium nanowires and nanorods have a broad spectrum of potential applications including electronic devices, lithium ion batteries, sensors etc. We present in this contribution the growth of highly crystalline Ge nanowires and nanorods at temperatures as low as 170 °C.[1] These structures grow either via the solution-liquid-solid (SLS) or the vapor-liquid-solid (VLS) mechanism depending on the growth conditions employed. The decomposition of the Ge precursor is catalyzed by the presence of Ga seeds as suggested by the growth temperatures below the onset of the thermal composition of the pure precursor. The compositional and structural characterization of the anisotropic Ge nanostructures has been carried out by different analytical methods including TEM, EDX as well as XRD. The analyses demonstrated the incorporation of unusually high Ga contents of up to 3-4 at% in the Ge. Unusually high metal incorporation in group IV nanowires has been observed for other semiconductor/metal combinations, but the effect on the electronic properties typically not very significant.[2] The electrical characterization at different temperatures of individual Ge nanowires demonstrates a very low resistivity and a quasi-metal like behavior.[3] Temperature treatment at slightly higher temperatures can be used to induce phase separation of this material with metastable composition leading to Ga segregation. We will also demonstrate how to switch between thermodynamically controlled Ge NW growth and the kinetically controlled formation of Ga-hyperdoped Ge.
____
[1] P. Pertl, M. S. Seifner, C. Herzig, A. Limbeck, M. Sistani, A. Lugstein, S. Barth Monatsh. Chem. 2018, DOI: 10.1007/s00706-018-2191-1
[2] O. Moutanabbir, D. Insheim, et.al. Nature 2013, 496, 78.
[3] M. S. Seifner, M. Sistani, F. Porrati, G. DiPrima, P. Pertl, M. Huth, A. Lugstein, S. Barth ACS Nano 2018, 12, 1236.
4:30 PM - NM03.04.04
Selective Patterning of Si/Ge Surfaces and Nanowire Heterostructures via Surface Initiated Polymerization
Amar Mohabir1,Trent Weiss1,Gozde Tutuncuoglu1,Eric Vogel1,Michael A. Filler1
Georgia Institute of Technology1
Show AbstractFunctional devices (e.g., transistors) contain one or more features with nanoscale dimensions. When such devices are to be produced at very large manufacturing rates (e.g., for large-area integrated circuitry), an alternative to top-down patterning is necessary to define key features. In this study, we show how Si and Ge surfaces can be selectively masked using the surface-initiated growth of polymer films. Our approach is particularly useful for patterning axially-encoded Si/Ge nanowire heterostructures. Surface masking of Si, but not Ge, is accomplished in a two-step procedure. Atom transfer radical polymerization (ATRP) of polymethylmethacrylate (PMMA) first occurs from a surface-tethered initiator. The selectivity of initiator attachment leads to thick and thin PMMA layers on Si and Ge surfaces, respectively. Unwanted PMMA on the Ge surface is subsequently removed by a clean-up etch that targets GeOx, but not SiOx, to yield nearly 100% polymerization selectivity. We investigate the role of Si/Ge surface pre-treatment, PMMA polymerization, and post-polymerization cleaning on the resulting polymer properties and surface selectivity with a suite of spectroscopy and microscopy techniques. We also show that selective polymerization is possible on Si/Ge nanowire heterostructures. The ability to mask nanoscale objects in a bottom-up fashion opens up the possibility of nanoscale patterning in a truly scalable manner.
4:45 PM - NM03.04.05
Seeded Nanowire Growth from Lithium Alloys
Sang Yun Han1,Matthew Boebinger1,Neha Kondekar1,Trevor Worthy1,Matthew McDowell1
Georgia Institute of Technology1
Show AbstractSemiconductor nanowires are often grown from metal nanoparticle seeds via the solution-liquid-solid (SLS) or the vapor-liquid-solid (VLS) mechanisms. Although SLS or VLS growth of semiconductor nanowires is common, related wire growth in all metal systems is rare. Here, we report a new synthesis method that results in the spontaneous growth of nano- and microwires from Li-rich bulk alloys containing Au, Ag, or In at relatively low temperatures (<300 °C). Wire growth was induced by heating metal foil bilayers in an argon environment to cause alloying, followed by cooling. Optical microscopy of samples during this heating and cooling procedure showed that wires grew only during cooling. Scanning electron microscopy (SEM), cryo-transmission electron microscopy (TEM), and x-ray photoelectron spectroscopy (XPS) showed that the wires consisted of Au-, Ag-, or In-rich metal tips and polycrystalline LiOH shafts. Based on these results and systematic experiments to determine growth parameters by varying heating temperature, metal molar ratio, and cooling time, we conclude that the wires grow from alloy seed particles as lithium metal and are converted to LiOH during and/or after growth due to exposure to H2O and O2. The growth mechanism involves the traverse of the two-phase region containing Li metal and liquid alloy on the phase diagram, which causes Li growth from the Li-rich alloy particles. The Li needed for growth is supplied to the seed particles via surface diffusion of Li from the Li-rich alloy reservoir below. It is also thought that the growth of passivating LiOH plays a key role in determining the 1-D morphology. While there are a few important differences, such as the source of the growth species, this mechanism is similar to VLS or SLS growth of semiconductor nanowires. These experiments revealing wire growth from Li/metal alloys demonstrate a new, simple, low-temperature method for nanostructure synthesis, and the results indicate that nanowire growth in other all-metal systems is also possible.
NM03.05: Poster Session I: Nanowires
Session Chairs
Jordi Arbiol
Anna Fontcuberta i Morral
Tuesday AM, November 27, 2018
Hynes, Level 1, Hall B
8:00 PM - NM03.05.02
Tuning Composition of PdPt NPs over TiO2 Nanowires for Optimized Catalytic Activities Towards the Oxygen Reduction Reaction
Anderson Gabriel Marques Silva1,Susana Córdoba de Torresi1
Universidade de São Paulo1
Show AbstractThe synthesis of bimetallic nanoparticles supported at metal oxides surfaces represents an emerging strategy to maximize catalytic performances and increase stability of heterogenous catalysts. However, the controllable synthesis of these nanohybrids as well as the systematic role played by the addition of a second metal in their composition over catalytic performances remains unclear. Here, we present an approach based on the optimization of both the support material and active phase to achieve superior catalytic performances in the oxygen reduction reaction, consisting in small PdPt NPs deposited onto TiO2 nanowires. The composition could be systematically tuned by varying the molar ratios between Pd and Pt metal precursors. They displayed an uniform 1D morphology, monodisperse PdPt NPs sizes, no agglomeration, strong metal-support interactions, and high concentration of oxygen vacancies at their surface. These features led to improved activities and stabilities for the Pd25Pt75-TiO2 sample towards the oxygen reduction reaction (ORR) relative to the commercial Pd/C and Pt/C catalysts as well as other reported materials. Moreover, a volcano-type relationship between the activity and the PdPt loading was detected. We suggest that the optimized catalytic activities observed for the Pd25Pt75-TiO2 catalyst are a result of the higher concentrations of oxygen vacancies and Pd(0)/Pt(0) species investigated by XPs analysis. We believe that the catalytic activities and stabilities described herein for the ORR because of the optimization of both the support and active phase may inspire the development of novel catalytic systems towards a wealth of sustainable transformations.
8:00 PM - NM03.05.03
Centimeter Long Nanowires Based on a Polymer Fiber Scaffold for Improved GHz Frequency Telecommunication Devices
Aykut Aydin1,Lu Sun1,Xian Gong1,Roy Gordon1
Harvard University1
Show AbstractBundles of twisted conductive wires are used as effective inductors in communication devices that operate in the lower MHz frequencies. To make such a bundle be useful in the GHz frequency range, the constituent wires need to be around a micron or less in diameter. They also need to be sufficiently long and strong for the twisting process, and each individual wire needs to be coated with an insulator. Nanowires prepared with most conventional methods do not meet these requirements.
We present a new method for the preparation of flexible and centimeter long nanowires using a polymer fiber scaffold. Poly(m-phenylene isophthalamide) fibers are electrospun onto a rotating collector such that single strands of centimeter long fibers with diameters in the range of 300 – 600 nm can be collected. These fibers are sufficiently strong when suspended on frame-shaped holders that they can be coated with various deposition methods. With physical vapor deposition methods, since the deposition follows line-of-sight coverage, the frames can be coated from two opposite sides to maximize the conformality of the layer. Highly conformal layers can be deposited onto the fibers with chemical vapor or atomic layer deposition, and the polymer fibers are thermally stable enough (> 350°C) to survive most such methods.
We have demonstrated coated fibers with a conductive metal layer and an outer insulating layer to produce wires that can be twisted into a bundle to serve in telecommunication devices. We have also demonstrated adding multiple alternating layers of metal and insulator to make core-multishell structures that could have applications in photonics and related fields. Being compatible with a large range of deposition methods, this polymer fiber scaffold offers a new way making centimeter long nanowires with high materials generality.
8:00 PM - NM03.05.04
Growth and Characterizations of Coherent SixGe1-x/Si1-xGex Graded Multishell Nanowire Heterostructures
Jinkyoung Yoo1,Nan Li1
Los Alamos National Laboratory1
Show AbstractHigh-mobility carrier transport channel at nanoscale is an important platform for electronic devices and quantum transport studies. Recent interest on implementation of topological quantum computation with semiconductor nanomaterials requires fabrication of high-mobility conduction channel in nanowires. Group-IV semiconductors (Si and Ge) have been considered candidates for nanowire-based topological quantum computers due to spin-orbit interaction, especially for Ge. However, Si/Ge-based heterostructures were not suitable materials for high-mobility conduction channel, such as III-As-based heterostructures. Recent advances in group-IV materials growth show that strained Ge quantum well embedded in graded Si1-xGexheterostructures in thin film architecture can have high-mobility enough to observe quantum Hall effect. Here, we present the growth and transport characterization of SixGe1-x/Si1-xGex(0<x<1) graded multishell nanowire heterostructures. The nanowire heterostructures show structural coherency. Moreover, the nanowire heterostructures can have several carrier transport paths: core Si wire, sandwiched strained shell, outmost shell by changes of experimental conditions.
The coherent graded nanowire heterostructures were prepared by low-pressure chemical vapor deposition. The core SixGe1-xnanowires were grown by Au-catalyzed vapor-liquid-solid process with silane and germane. Subsequently, Si and SiGe multishell layers were epitaxially grown by chemical vapor deposition. By controlling the ratio of silane and germane the composition of SiGe was controlled. The electrical conductivity type and doping concentration of core SiGe NWs and outmost SiGe shells were controlled by introducing diborane and phosphine. Top-gated single nanowire heterostructure devices with multi-terminals were fabricated by e-beam lithography and metallization processs. Transmission electron microscopy was employed to characterize the structural properties of the interfaces between adjacent layers. Fully single crystalline coherent graded multishell nanowire heterostructures were successfully prepared. The transport characteristics at various temperatures will be discussed in detail.
8:00 PM - NM03.05.05
Influence of Growth Sequence on Single Axial Junction InP Nanowire Solar Cells
Lan Fu1,Ziyuan Li1,Inseok Yang1,Li Li1,Qian Gao1,Jet Chong1,Zhe Li1,Mark Lockrey1,Hark Hoe Tan1,Chennupati Jagadish1
The Australian National University1
Show AbstractIII-V semiconductor nanowire solar cells have attracted significant attention in recent years due to their suitable bandgaps, superior optical and electrical properties, as well as small footprints. Several III-V semiconductor materials such as GaAs, InP, InGaP and GaAsP have been used as single horizontal nanowire solar cells. In particular, because of their very low surface recombination velocity, InP nanowire solar cells have been studied intensively. In this work, axial n-i-p InP nanowires (growth with n-segment first) were fabricated as single horizontal nanowire solar cells, to suppress Zn dopant diffusion leading to better performance than previous reported p-i-n InP nanowire solar cells grown under similar conditions.
The axial n-i-p junction InP nanowires were grown by selective-area metal organic vapor phase epitaxy (MOVPE) technique targeting at the nanowire diameter of 180 nm and array pitch of 800 nm. The growth was carried out in a horizontal flow MOVPE reactor (AIXTRON 200/4) where trimethylindium and phosphine were used as precursors for In and P, respectively. Also silane and diethylzinc were used as n-type and p-type dopant, respectively. The growth starts with n-segment for 10 min and i-segment for 10 min followed by p-segment for 10 min. Single horizontal nanowire solar cells were fabricated by first mechanical transfer to a thermally oxidized Si substrate followed by EBL patterning to define electrodes and wet etching to remove the surface native oxide. Finally, Ti/Au electrodes on nanowires were formed by electron beam evaporation and lift-off.
A series of nano-scale characterization techniques such as photoluminescence, cathodoluminescence, photocurrent mapping and electron beam induced current on the solar cells were employed to characterize the p-n junction configuration and understand the device behavior. It is found that due to the suppression of Zn-diffusion, an improved efficiency up to 7.73% has been achieved in nanowire solar cells with the n-i-p growth sequence compared with the efficiency up to 6.5% achieved in the axial p-i-n nanowire solar cells.
8:00 PM - NM03.05.06
Photon-Triggered Transistor in Bottom-up Silicon Nanowire
Ha-Reem Kim1,Jungkil Kim1,Hoo-Cheol Lee1,Jae Hyuck Choi1,Hong-Gyu Park1
Korea University1
Show AbstractExposing a porous Si segment to light can trigger a current in a Si nanowire (NW) with a high on/off ratio. Using this unique property, photon-triggered NW transistors, photon-triggered NW logic gates, and a single NW photodetection system have been recently demonstrated1. In this work, we develop photon-triggered NW transistors using a more reliable and simple fabrication procedure. We employed the 100-nm-diameter bottom-up Si NWs that possess the n-type high doping level and extremely smooth surface. First, the Si NWs were dispersed on a Si3N4 substrate and PMMA was uniformly coated on the NWs. The PMMA with a length of 360 nm was opened on a NW by electron-beam lithography. Then, the exposed NW region became porous by metal-assisted chemical etching method in which Ag nanoparticles are used as catalyst. Next, the electrical contacts on both ends of the NWs were fabricated by another electron-beam lithography and thermal evaporation. To characterize the device properties, the electrical current was measured while the laser was focused on the porous Si segment. The current level was controlled by the power of incident laser as well. The measured on/off ratio was ~105 at a forward bias of 5 V. In addition, we investigated the porous-segment-length dependent responsivity of the NW device with the porous Si segment. When the porous segment length is shorter than 360 nm, the responsivity decreased because of the high dark current level. Furthermore, we demonstrated the NW transistor device with ten porous Si segments in a single Si NW, using our new fabrication procedure. We believe that our photon-triggered NW transistors offer a new venue towards programmable logic elements and ultrasensitive photodetectors.
1. Jungkil Kim et al. Photon-triggered nanowire transistors. Nat. Nanotech. 12, 963–968 (2017).
8:00 PM - NM03.05.09
AACVD Synthesis of p-n Metal Oxide Nanowires for Gas Sensing—From NP-Decorated to Core-Shell Prototypes
Eduard Llobet1,Eric Navarrete1,Carla Bittencourt2,Polona Umek3,Frank Guell4
Universitat Rovira i Virgili1,Université de Mons2,Jozef Stefan Institute3,Universitat de Barcelona4
Show AbstractMotivation:
Single crystalline, metal oxide semiconductor nanowires (NWs) loaded with metal oxide nanoparticles (NPs) are very promising for developing a new generation of inexpensive, yet highly sensitive and more stable gas sensors.1 By supporting p-type metal oxide NPs on n-type metal oxide NWs, both chemical and electronic sensitization effects can be obtained, which can dramatically tune the response to target gases of the resulting hybrid nanomaterials, thus enabling the engineering of selectivity. Here we show that the aerosol-assisted chemical vapor deposition (AACVD) is a technique that enables growing a wide range of nanostructures. In particular, the synthesis of single crystalline metal oxide NWs supporting homogeneously distributed, mono-modal metal oxide NPs in a wide range of loading levels is studied (from few sparse NPs to a complete coverage leading to core-shell nanostructures). SEM, TEM, XRD, XPS, Raman and PL are used to analyze results. Gas sensing properties (response, selectivity and stability) are obtained and sensing mechanisms are discussed in detail.
Results:
AACVD is run at moderate temperatures and atmospheric pressure, which enables the direct and fast (matter of minutes, rather than hours in high-vacuum) growth of nanomaterials in a wide range of application substrates including MEMS, ceramic or flexible polymeric transducers for achieving chemoresistors. We demonstrate the growth of single crystalline WO3 n-type NWs, loaded with metal oxide NPs of p-type late transition metals (Pt, Pd, Ni, Co or Ir). Single-step or two-step AACVD methods are implemented to achieve a wide range of metal oxide loadings onto WO3 NWs (from under 1% at. to higher than 15% at.), as confirmed by XPS. NWs are up to 20 microns in length and about 50 nm in diameter and NPs are 2 to 5 nm in diameter. While in a single-step AACVD the precursors for NWs and NPs are mixed together, in the two-step AACVD two independent processes are conducted (WO3 NWs are grown at first and then loaded with metal oxide NPs). A two-step process is needed for correctly achieving high loading levels and core-shell nanowires. The selection of late transition metals and the loading levels has enabled us to design highly selective materials for H2, NO2 or H2S with moderate humidity interference and low operating temperatures (from 250oC down to room temperature). The reasons for this superior performance are due to chemical (catalytic) and electronic (modulation of potential barriers at the p-n heterojunction interfaces) sensitization effects that will be discussed in detail.
AACVD is revealed as an excellent approach for growing high-quality 1-D nanomaterials in a flexible and scalable way. The technique allows for fine tuning the gas-sensing properties of nanomaterials, particularly selectivity, paving the way for realizing inexpensive, highly performing devices.
[1] Navarrete, E., Bittencourt, C., Umek, P., & Llobet, E. (2018). J. Mat. Chem. C, 6(19), 5181-5192.
8:00 PM - NM03.05.10
Ag-Decorated ZnSe Nanowires—Charge Transfer and Luminescence Modifications
Aswathi Kanjampurath Sivan1,Lorenzo Di Mario2,Silvia Rubini3,Faustino Martelli1
Istituto per la Microelettronica e i Microsistemi, CNR1,Istituto di Struttura della Materia, CNR2,Istituto Officina dei Materiali, CNR3
Show AbstractBecause of their complementary optical properties, plasmonic nanoparticles (NPs) and semiconductor nanostructures when combined may enhance their opto-electronic properties making them important heterostructures with high potentialities in photocatalytic and photovoltaic applications.1 When the two materials are in close vicinity, indeed, many interactions between the electronic states of the semiconductor and the surface electrons within the metal NPs occur, with interaction enhancement when the electronic states of the two materials are close in energy. Examples of materials with this property are silver NPs and ZnSe, with the localized surface plasmon resonance (LSPR) of silver resonant with the ZnSe band-gap (2.7 eV at RT).2 In this work we investigate how Ag NPs affect the optical properties of ZnSe nanowires (NWs) and give rise to charge carrier transfer from the NPs to the NWs. ZnSe NWs were grown by molecular beam epitaxy at low temperatures (300-350°C) in order to assure a good optical quality.3 A thin Ag film (5 nm) is evaporated on the NW sidewalls and the Ag NPs are obtained by thermal dewetting. Photoluminescence (PL) and fast transient absorption spectroscopy (FTAS) in pump-probe mode with a temporal resolution of 50 fs were carried out on as-grown and Ag-decorated samples. The presence of Ag NPs drastically modifies the low temperature PL spectra of ZnSe NWs. The Near-Band-Edge (NBE) recombination is enhanced and the impurity-related recombination show a shrinking of the relative emission with well-defined band of equally spaced peaks corresponding to the LO-phonon replica of ZnSe. The transient absorption spectra showed an increase of the rise time and a decrease of the decay time of the ZnSe band-gap bleaching signal in the presence of the Ag NPs indicating carrier transfer between the Ag plasmons and the ZnSe NWs, and increased phonon-carrier scattering, respectively. Finally, both the low temperature PL and FTAS show a decrease in the relative intensities of the defect band, typical of ZnSe, in the presence of Ag NPs. The physical origin of these effects will be discussed at the conference. 4
1 S. Linic et al., Nat Materials 10, 911 (2011).
2 D.D. Evanoff and G. Chumanov, Chem. Phys. Chem 6, 1221 (2005).
3 Lin Tian et al., Phys. Rev. B. 94 165442 (2016).
4 This work has received funding from the Horizon 2020 program of the European Union for research and innovation, under grant agreement no. 722176 (INDEED).
8:00 PM - NM03.05.12
Impurity Effects on GaP Nanowire Optical Properties
Bruno da Silva1,Odilon Couto Jr.1,Fernando Iikawa1,Monica Cotta1
University of Campinas1
Show AbstractIII-V semiconductor nanowires have been highlighted as promising structures for high efficiency optoelectronic devices and as an alternative to integration with Silicon technology. However, some challenges remain, as the limitation of gold as catalyst due to the deep levels in Silicon and, more specifically, questions about the optical properties of wurtzite (WZ) GaP nanowires, such as the exact band gap energy and the origin of deep level emissions.
Here we present the optical analysis of hexagonal GaP nanowires grown by chemical beam epitaxy using gold catalysts; we have grown very large WZ GaP nanowires to enhance the very weak signals of the fundamental optical transitions of the hexagonal material. Thus we were able to carry out excitation photoluminescence spectroscopy (PLE), as well as Raman and photoluminescence spectroscopy. We speculate that the broad emission bands are associated to defects, such as gallium vacancies and complex involving phosphor vacancies. This is supported by the results of the optical emission spectra of doped samples, undoped and different growth conditions. We also noted an enhancement of the Donor-Acceptor Pair recombination, compared with other broad emission bands, with impurity doping which is consistent with the presence of the gallium vacancy attributed to the latter bands. In order to avoid impurity effects on the growth dynamics, we have explored aluminum catalyst particles. Since Aluminum does not originate deep levels in silicon, it also may pose as an alternative for III-V semiconductor nanowires integration into current silicon technology.
8:00 PM - NM03.05.14
Self-Catalyzed Growth of GaAsxSb1-x Axial Nanowires on Mono-Layer Graphene by Molecular Beam Epitaxy
Surya Nalamati1,Manish Sharma1,Prithviraj Deshmukh1,David Snyder2,Jeffrey Kronz2,Michael Zugger2,Lewis Reynolds3,Yang Liu3,Shanthi Iyer1
North Carolina A&T State University1,The Pennsylvania State University2,North Carolina State University3
Show AbstractGaAsxSb1-x semiconductor nanowire (NW) arrays are of great interest for the next generation infrared (IR) photodetectors due to the ability to tune the bandgap in this material system from 0.73 eV to 1.42 eV, encompassing the important communication wavelength range. Graphene as a substrate is very attractive due to its favorable material characteristics, namely, high electrical conductivity, optical transparency, mechanical flexibility along with it being cheaper for large area synthesis, making it a potential alternative to conventional III-V substrates. Hence, GaAsxSb1-x NWs on graphene provides a pathway for fabricating next-generation flexible and cheaper SWIR photodetectors. Presence of only weak van der Waals bonds between the layers and absence of dangling bonds in graphene pose a challenge to achieve NWs in an axial configuration. In this work, epitaxial growth of GaAsxSb1-x (x=0.1) NWs by using Ga-assisted molecular beam epitaxy on monolayer graphene/SiO2/Si has been demonstrated. Growth optimization that leads to minimal 2D growth, vertical NWs with good spatial distribution across the sample will be presented. A systematic and detailed study on growth parameters, namely temperature, V/III flux ratio and initial Ga shutter opening time dependencies was carried out. Micro-photoluminescence (µ-PL) measurements on the NWs thus grown under optimized conditions exhibited an emission peak at 1.35eV with full-width half maxima (FWHM) of 69 meV. Further, NWs grown on monolayer graphene substrate manifest higher intensity and lower FWHM compared to nanowires grown on Si/SiO2 substrate with similar growth recipe, suggesting the superior optical quality of the nanowires. Raman spectroscopy reveals the symmetric shape of GaAsxSb1-x LO and TO phonon modes at 260.7cm-1 and 282.2cm-1, respectively. These are redshifted with respect to the corresponding reference GaAsxSb1-x (x=0.075) NW peaks grown on (111) Si substrate suggesting increased Sb incorporation in the NWs. Raman Spectra of graphene exhibits D, G and 2D peaks at 1350 cm-1, 1581 cm-1 and 2674 cm-1 respectively in which a weak D mode is observed, attesting to minimal defects after growth of NWs. The ratio of peak intensities, I2D/IG ~1 is an indication of growth likely occurring on the monolayer graphene. The existence of only (111) and corresponding higher-order reflections in x-ray diffraction spectra corroborate to the vertical alignment of NWs. High resolution transmission electron microscopy and selective area diffraction pattern confirmed the zinc-blende structure of the NWs. The high optical quality of GaAsSb NWs on graphene is promising, with potential application to boost the performance of Sb-based single nanowire devices.
8:00 PM - NM03.05.15
Band Structure and Carrier Dynamics in Single InGaAs and InGaAs/InP Nanowires
Leigh Smith1,Samuel Linser1,Iraj Shojaei1,Giriraj Jnawali1,Howard Jackson1,Amira Ameruddin2,Philippe Caroff2,Hark Hoe Tan2,Chennupati Jagadish2
University of Cincinnati1,The Australian National University2
Show AbstractWe employ transient Rayleigh scattering (TRS) and photoluminescence (PL) to dynamically and statically characterize the band structure of single semiconducting nanowires. Our samples include Wurtzite InGaAs nanowires and InGaAs-InP core-shell nanowires. Employing ultrafast pump and probe pulses, our TRS measurements show a substantial increase in the carrier lifetime because of passivation of the InGaAs surface defects by the InP shell. Optical transitions in both the bare InGaAs core and the core-shell structure exhibit band-to-band transitions which are substantially above the expected Zincblende band edge. We anticipate development of PL measurements in these wires to help identify the fundamental band edge. Thermalization dynamics extracted from our TRS spectra suggest that the core-only wires cool rapidly via optical phonon emission, whereas the core-shell wires cool by a slower process with early optical and later acoustic phonon emission.
We acknowledge the financial support of the NSF through grants DMR 1507844, DMR 1531373 and ECCS 1509706, and the financial support of the Australian Research Council.
8:00 PM - NM03.05.16
Exploring Alternative Catalysts for the Bottom-up Synthesis of Silicon Nanowires
Steffen Strehle1,Ashkan Dashtestani1,Arezo Behroudj1,Ardeshir Moeinian1,Waleed Ahmad1
Ulm University1
Show AbstractSilicon nanowires can be readily grown in a bottom-up manner by utilizing the well established metal-catalyzed vapor-liquid-solid (VLS) synthesis. Within the last decade, various silicon nanowire devices, such as label-free transducers, were demonstrated. However, the use of metal catalysts, such as gold, must be critically reviewed considering for instance device yields, nanowire conformity, metal incorporation as well as cross contamination within the device fabrication process. In this regard, we widely explored alternative strategies in comparison to gold to facilitate reliable and controlled silicon nanowire synthesis and device fabrication. In particular, we studied various catalyst materials like silver, gold alloys, platinum silicides and even metal-free techniques. Here, we were able in all cases to growth silicon nanowires partly with significantly increased epitaxial growth yield. Also p- and n-type doping were realized. The implementation of ultra-thin platinum silicides allowed us for instance to grow silicon nanowires that lack the typical metal catalyst tip presumably based on so-called silicide mediated crystallization rather than VLS growth. In principle, all these alternative methods facilitate nanowire device assembly or growth-in-place strategies. Nevertheless, metal-induced electronic trap formation and surface degradation remain as critical issues. Therefore, we studied further also metal-free synthesis protocols allowing already at the present stage to locally grow nanowires in high density presumably in a self-catalytic manner. Besides growth and morphological aspects, we discuss the nanowire purity, the surface Fermi-level pinning as well as the surface charge carrier concentration. For the nanowire synthesis itself, a home-built low-pressure chemical gas phase reaction system was utilized in the temperature range of 475°C to about 800°C using the precursors SiH4/H2 as well as B2H6 and PH3 for p- and n-type doping, respectively.
8:00 PM - NM03.05.18
1D Nanowires of 2D Silicon-Based Chalcogenide Si2Te3
Jiyang Chen2,Keyue Wu1,Xiao Shen2,Jingbiao Cui2
West Anhui University1,University of Memphis2
Show AbstractSi2Te3 is a 2D material with a unique crystal structure where Si atoms form dumb-bells sandwiched between the Te layers, i.e. Si-Si dimers in the sites between the hexagonally close-packed Te atoms1. Covalent bonds are formed between the Te and Si within each layer while weak van der Waals bonding exists between the layers to form a layered crystal structure. A theoretical study showed that rotation of the Si dimer with four possible orientations within the Si2Te3 layer gives rise to a unique structural variability that could have potential new applications in electronic and optoelectronic devices2. Recently single-crystal Si2Te3 nanoplates are synthesized by chemical vapor deposition (CVD) and thin layers of Si2Te3 were mechanically obtained by using scotch tape to peel the layers off from the CVD microplates3.
In this study, we have for the first time successfully synthesized 1D nanowires of 2D Si2Te3. It was found that the nanowires grow along c-axes, i.e., along the [0001] direction, and is the stack of layered nanosheets with van der Waals force to form the unique one-dimensional structures. Two-dimensional materials preferably grow into thin layers and the stack of the layers by van der Waals force to form a few layers or bulk materials. Though not common, it is possible for the 2D materials such as Sb2Te3 to form nanowires4. The one-dimensional nanowires of 2D materials offer a new horizon for fundamental study as well as new opportunities for applications. Future more, the 1D NWs of 2D Si2Te3 material exhibit a unique resistance switching behavior under an applied potential, which is of great interest for both fundamental understanding and practical applications in ReRAM and memristors.
(1) Gregoriades, G.; Bleris, G. L.; Stoemenos, J. Acta Cryst. 1983, B39, 421-426.
(2) Shen, X.; Puzyrev, Y. S.; Combs, C.; Pantelides, S. T. Appl. Phys. Lett. 2016, 109, 113104.
(3) Keuleyan, S.; Wang, M.; Chung, F. R.; Commons, J.; Koski, K. J. Nano Lett. 2015, 15, 2285.
(4) Lee, J. S.; Brittman, S.; Yu, D.; Park, H. K. J. Am. Chen. Soc. 2008, 130, 6252–6258.
ACKNOWLEDGMENTS
This work was supported by National Science Foundation (DMR-1709528), Natural Science Foundation of Educational Commission of Anhui Province of China (KJ2015A150), and National Natural Science Foundation of China (21377099). Computational resources were provided by the NSF XSEDE under grants TG-DMR 170064 and 170076, and by the High-Performance Computing Facility at the University of Memphis.
8:00 PM - NM03.05.19
Large Scale Uniformity in Electroluminescence Emission of Well-Oriented Nanofin LEDs
Robin Hansen1,Babak Nikoobakht1
National Institute of Standards and Technology (NIST)1
Show AbstractOne-dimensional (1D) nanostructures have been examined for their use in the semiconducting industry as well as numerous fields such as on-chip light sources, nanophotonics and optoelectronics. The ability to produce arrays of such devices on a large scale setting would advance their development and use bringing them to a mass manufacturing scale.
Presented here is a bottom up synthesis technique for the production of semiconducting nanofins on p-GaN (0001) substrate providing an ideal II-VI, II-V, heterojunctions for UV-visible LEDs. The initial growth is a vapor-liquid-solid (VLS) growth process which results in lateral ZnO nanofins that grow epitaxially across a GaN surface. Methods will be discussed on how to energize select nanodevices at the user’s discretion. We show a remarkable uniformity in electroluminescence of nanoscale light sources owed to the control we have created in organizing 1D-nanostructures in their place and direction such that electrical connections can be made to individual nanostructures. In this regard I present steps for the removal of defective nanostructures and the preparation of them for electrical connections. I also present evidence of high quality heterojunctions and their uniformity across a large area based on the sharp electroluminescence UV peaks observed among the fabricated nanoscale light sources. The developed process remains compatible with current semiconducting processes and can be extended for complex device schemes such as computer chips as well as large scale production of miniaturized light sources.
8:00 PM - NM03.05.20
Electrospun Metal Nanofibers for Application in Flexible Transparent Electrodes
Veronika Brune1,Robert Frohnhoven1,Tim Ludwig1,Sanjay Mathur1
University of Cologne1
Show AbstractIn the last decade, in order to replace the market-leading high-cost and brittle indium tin oxide (ITO) as a material for transparent conductive electrodes, all-solution-processed 1D metal nanostructures like nanowires and nanofibers became a promising alternative.
Films of metal nanowires or nanofibers exhibit similar conductivity and transparency as ITO, but at the same time, they show very good retention of conductivity in flexible applications. The main contribution to sheet resistance Rs in transparent metal nanowire films arises from the small contact area at wire-to-wire junctions, where transported electrons are crossing over from one wire to another. Possible surface oxidation of metal nanostructures increases this effect. As a result from this observation, typically metal nanowires with high aspect ratios are desired to decrease the amount of junctions and to increase the pathway length of electron transport without the need to cross these junctions.
Alternatively, the electrospinning method offers a cost-effective and upscalable way to obtain high-aspect ratio nanofibers, which combine ultra-long electron conduction pathways with diameters of around 100 nm. Most metal nanofiber syntheses reported in literature use indirect template assisted methods, in which a metal is deposited onto an electrospun polymer fiber template, that is subsequently dissolved.
In this work, we demonstrate suitable electrospinning precursor systems and their respective calcination/reduction processes for the direct synthesis of conductive copper and silver nanofiber networks from metal salts. To prevent especially copper nanofibers from oxidation, also core/shell and intermetallic essays are discussed.
8:00 PM - NM03.05.22
Lattice Mismatch Strain and Confinement in Nanoscale Si/SiO2 Structures Fabricated Using Thermal Oxidation
Erin Vaughan1,Danhong Huang1,Clay Mayberry1,Ashwani Sharma1
Air Force Research Laboratory1
Show AbstractThe transport behavior of semiconductor materials is influenced by lattice-mismatch-induced interfacial (Si/SiO2) strain coupled with confinement in the nanoscale regime in such a way that the carrier transport properties are beneficially affected. These properties in semiconductors are thought to change gradually from bulk to nanoscale. In the transition region the governing physics changes from classical to quasi-quantum to quantum, and the electrons begin to exhibit more wave-like behavior than particles, and thus react to narrow-paths and interface effects. Additionally, the single discrete charge becomes a significant fraction of the total current. Further, the established macroscopic nature of resistance, capacitance, and inductance changes to a more exotic microscopic one in this regime. Although others have described anomolous effects in scaled versions of Si device structures on their electronic and optical properties as compared to bulk devices, a detailed experimental study describing the incremental transitioning effects of scaling that led to these anomolous effects has not yet been conducted. In this work, we report on the fabrication and characterization of nanoscale planar, wall-like, and wire-like Si/SiO2 nanoscale structures. As the Si nanostructure dimensions were scaled down to the quantum regime by thermal oxidation of the Si, changes to the band structure and carrier effective mass were observed by both optical and electrical techniques. Thermal oxidation of the Si region is a method that is both highly controllable and effective for fabricating high-quality nanostructures. The oxidation process improves surface roughness at the interface, while also drawing impurities and contaminants away from the core and interface. Photoluminescence measurements showed changes in the peak energies, which illustrates changes in the band structure, as the Si/SiO2 dimensions are scaled. Metal-semiconductor-metal devices containing these nanostructures were fabricated to further characterize the material properties as a function of scaling. Room temperature dark current measurements showed that conductivity increased as the Si dimensions were reduced. This result is indicative of decreased resistivity and increased mobility and carrier velocity. A decrease in photoconductivity response was observed in samples with Si regions smaller than about 30 nm, which can be attributed to confinement effects on the hole mobility. Transient-time response measurements were also performed to examine the carrier generation and recombination behavior as a function of scaling. Signal rise times decreased for both carrier types by an order of magnitude as Si dimensions were reduced from 200 to 20 nm, meaning that the carrier velocity is indeed increasing with smaller scale structures. This result is indicative of decreased Si band gap energy and carrier effect mass.
8:00 PM - NM03.05.23
Engineering the Wetting Behaviour of Gallium Nanodroplets and Correlation with GaAs Nanowire Orientation
Lea Ghisalberti1,Rajrupa Paul1,Akshay Balgarkashi1,Lucas Guniat1,Wonjong Kim1,Martin Friedl1,Craig Carter2,Anna Fontcuberta i Morral1
Ecole Polytechnique Federale de Lausanne1,Massachusetts Institute of Technology2
Show AbstractIII-V nanowires (NWs) represent promising building blocks for new generation devices in fields such as electronics, optoelectronics, photovoltaics and quantum computation[1][2][3]. Among the possible growth techniques available, the vapor-liquid-solid (VLS) approach provides the possibility to modify the crystal phase and growth directions by engineering the catalytic droplet[4][5].
So far most studies have focused on Au-catalyzed VLS growth. Here we focus on self-catalyzed Ga-assisted growth. We modify the contact angle of Ga by modifying the surface energy of the substrate. In a combined theoretical and experimental approach, we identify the range of possible shapes and contact angle of the droplets that can be obtained by modification of the underlying substrate.
Among the surface coatings considered are SiO2, TiO2, TiO, MoO(x), SiN and graphene. We demonstrate what materials combinations reduce the wetting of the Ga nanodroplets. Finally we correlate the contact angle with the orientation and growth direction of the NWs. This work opens new avenues for a full control of the growth direction and orientation of nanowires and thus for a integration with a large variety of substrates.
[1] A. Iunesco and H. Riel Nature 479, 329 (2011)
[2] Krogstrup. Riel Nature Photonics 7, 4 (2013)
[3] J. Alicea et al Nature Physics 7, 412 (2011)
[4] D. Jacobsson, et al. Nature 531, 317 (2016).
[5] Matteini et al. Growth. Crystal Growth & Design 15, 3105–3109 (2015).
8:00 PM - NM03.05.24
GaAs-(In, Al)GaAs Core-Shell Nanowire Lasers on Silicon
Daniel Ruhstorfer1,Thomas Stettner1,Tobias Kostenbader1,Andreas Thurn1,Jochen Bissinger1,Hubert Riedl1,Michael Kaniber1,Gregor Koblmueller1,Jonathan Finley1
Walter Schottky Institut1
Show AbstractSemiconductor nanowire (NW) lasers are nanoscale coherent light sources that exhibit a small footprint, low-threshold lasing characteristics, and properties suitable for monolithic integration onto Si photonic circuits. An important milestone on the way towards novel on-chip photonic functionalities is the integration of individual, deterministically addressable NW lasers on Si waveguides with efficient coupling and mode propagation in the underlying photonic circuit.
In this presentation, we demonstrate the monolithic integration of single GaAs-based NW lasers directly onto lithographically defined Si ridge waveguides (WG). When subjected to optical excitation, the observed lasing behavior shows clear “s-shape”-characteristics, linewidth narrowing and threshold values down to 19.8±1 µJ/cm2, which is the lowest value reported to date for this kind of integrated lasing structure [1]. The lasing mode of individual NW lasers is shown to couple efficiently into propagating modes of the underlying orthogonal Si WG, preserving the spectral characteristics during mode propagation in the WG in sound agreement with Finite-Difference Time-Domain (FDTD) simulations. Using a WG structure with a series of mask openings along the central mode propagation axis, we further illustrate the out-coupling properties of both spontaneous and stimulated emission and demonstrate propagation of the lasing mode over distances > 60 µm, despite absorption in the silicon dominating the propagation losses [1].
By replacing the bulk GaAs active gain medium in the NW-laser structure by multiple InxGa1-xAs quantum wells (MQW), the emission wavelength can be shifted towards telecommunication bands in order to reduce the absorption losses in silicon. In total, seven 8 nm thick QWs are embedded in 10 nm thick (Al)GaAs barriers. Starting from an In-molar fraction of nominally 15%, lasing emission shows a distinct red shift (>80 meV) compared to NW-laser structures which host pure GaAs MQWs as gain medium [2]. By successively increasing the nominal In-molar fraction up to ~40%, while controlling the incorporation of In by growth temperature, we demonstrate that lasing can be achieved close to 1.2 eV (~1050 nm) [3]. We further show that controlling emission wavelength and lasing characteristics depends sensitively on a number of structural properties, which are evaluated by correlated scanning transmission electron microscopy (STEM) and atom probe tomography (APT) analysis of the coaxial NW-MQW laser structure.
[1] T. Stettner, et al., ACS Photonics 4, 2537-2543 (2017)
[2] T. Stettner, et al., Appl. Phys. Lett. 108, 011108 (2016)
[3] T. Stettner, et al., submitted (2018)
8:00 PM - NM03.05.25
Vapor-Solid Selective Area Molecular Beam Epitaxy and Doping of Catalyst-Free GaAs Nanowires on Silicon
Daniel Ruhstorfer1,Simon Mejia1,Hubert Riedl1,Jonathan Finley1,Gregor Koblmueller1
Technische Universität München1
Show AbstractIII-V semiconductor nanowires (NWs) have gained significant interest due to their potential of monolithic integration on silicon to create various different nanoscale devices on-chip. For all the prospective devices utilizing NWs, control of the doping profiles is key and directly affects performance when designing the necessary pn-junctions. In common vapor-liquid-solid (VLS) grown NWs, doping has proven to be difficult due to non-uniform incorporation of dopants during growth [1] and the reservoir effect that is caused by the droplet catalyst. Particularly in GaAs, where in planar samples the growth of n-type material directly from the vapor phase using the amphoteric dopant silicon is well established, so far only p-type material could be realized for VLS-grown NWs. Literature from liquid phase epitaxy points out that the preferential incorporation of Si dopants on Ga lattice sites only occurs for temperatures beyond 860°C [2], which are inaccessible in VLS molecular beam epitaxy.
In this contribution, we present our recent advances in establishing the selective area molecular beam epitaxy of completely catalyst-free Si-doped GaAs NWs on silicon. We achieve this by applying a high temperature surface treatment to our SiO2 masked nanoscale apertures before growth, which transforms the usual 7x7 surface of (111)-silicon to a 1x1-As terminated surface reconstruction. The GaAs NWs are then grown in a high arsenic pressure regime, in which we find the NW aspect ratio to increase with increasing V/III flux ratio and with decreasing mask opening diameters, achieving peak aspect ratios greater than 20. By varying the growth temperature, we manage to exceed a total NW length of 1μm for 1h of growth time. While the yield of undoped NWs decreases for smaller mask openings, adding a high doping flux of silicon increases the yield to over 95% and decreases fluctuations in length and diameter drastically [3].
In TEM, our NWs show a high density of twinned segments, indicating a twinning induced growth mechanism where the twin induced rotation of the crystal structure exchanges fast and slowly growing facets, leading to enhanced axial growth rates. We discuss how the influence of doping affects this mechanism so that the experimentally observed increase in yield and uniformity can be explained.
In addition, we investigate the Raman spectra of the Si-doped GaAs NWs with various doping levels for signatures of the site distribution of the amphoteric silicon dopants while material quality and carrier density is probed by photoluminescence spectroscopy and electrical properties are characterized by NW-FET measurements.
[1] J. Dufouleur, et. al., Nano Lett. 10(5), 1734-1740 (2010).
[2] P. D. Greene, J. Crystal Growth 50, 443 (1980).
[3] D. Ruhstorfer, et. al., in preparation (2018).
8:00 PM - NM03.05.27
Detection of Cellular Traction Forces Using GaP-GaInP Nanowires
Zhen Li1,Christelle Prinz1,Karl Adolfsson1,Magnus Borgstrom1
Lund University1
Show AbstractCellular traction forces play a crucial role in many cellular processes, including deformation, division and differentiation. We have previously demonstrated that vertical nanowire arrays can be used for assessing cellular traction forces. Compared to other measurement methods, nanowires have an ultra-small (< 100 nm) diameter and can therefore improve the spatial resolution of force measurements. In our first attempt, we used GaP nanowires, functionalized with organic fluorescent dyes for measuring cellular forces during neuronal growth (1). However, the fast bleaching of the dyes limited the acquisition time of our experiments.
Here we use nanowires with a GaP base and a photoluminescent GaInP tip with negligible bleaching (2) to measure the traction forces of MCF-7 and MCF-10A cells (i.e. cancer and normal-like epithelial breast cells). A high spatial resolution force map is created using automated image analysis based on the localization of the photoluminescent tips of the nanowires. We show that the force distribution and magnitude differ between MCF7 breast cancer cells and MCF10A normal-like breast epithelial cells, and that monitoring traction forces can be used to investigate the effects of anticancer drugs (3).
8:00 PM - NM03.05.30
Chitosan-Templated Bimetal Catalysts Loading on Porous Metal Oxide Nanofibers—Exceptionally Improved Gas Sensing Performance
Il Doo Kim1,Yong Jin Jeong1
Korea Advanced Institute of Science and Technology1
Show AbstractMetallic particles prepared from chitosan-metal complexes (CS-M) possesses very small size (< 5 nm) and high dispersibility because they are encapsulated by chitosan molecules. Due to these advantageous properties, CS-M have been frequently applied in catalysis, e.g. for hydrogenation, air cathode, and removal of dyes. Similarly, semiconducting metal oxides (SMOs) based gas sensor also requires highly dispersed small-sized catalysts to significantly enhance sensing performance. Thus, CS-M can be regarded as highly useful templates for functionalization of catalysts on SMOs based gas-sensing materials. Moreover, since chitosan decomposes at high temperature, it can generate numerous mesopores on supporting SMOs, which facilitate surface gas reactions. In this work, for the first time, we demonstrate chitosan-templated catalysts on one-dimensional metal oxide scaffolds as superior chemiresistors, e.g. for human breath analyzer and indoor air monitoring system. First, as a breath analyzer, we synthesized chitosan-templated Pt nanocatalyst loaded mesoporous SnO2 nanofibers by combining chitosan-Pt complex with electrospinning route. Due to the well dispersed ultrasmall (~2.6 nm) Pt catalysts and enhanced mesoporosity by decomposition of chitosan template, CS-Pt loaded SnO2 NFs showed outstanding response (Rair/Rgas = 141.92 at 5 ppm), excellent selectivity, long-term stability, and fast response (12 s)/recovery (44 s) speed toward 1 ppm of acetone at 350 °C and human breath humidity condition (90% RH). Second, we extended catalysts from single metal (Pt) to heterogeneous metal oxides (NiO-Fe2O3) by using chitosan-Ni/Fe dual complexes. Moreover, by controlling ratio of Sn precursor to polymer in electrospinning solution, we developed SnO2 fiber-in-tube (FIT) structure, thus resulting in formation of NiO-Fe2O3 sensitized mesoporous SnO2 FITs. NiO-Fe2O3 loaded SnO2 FITs exhibited unparalleled response (Rair/Rgas = 7.44), superior cross-selectivity, and extremely fast response speed (12 s) toward 0.2 ppm of formaldehyde gas at 350 °C and indoor air humidity condition (30% RH). The strategy employed in this work can pave the way for highly reliable and simple sensitization of catalytic particles on sensing layers.
8:00 PM - NM03.05.31
Effect of Annealing on the Microstructure and Properties of GaSb Nanofibers Induced by Ions Irradiation
Yipeng Li1,Guang Ran1,Yijia Guo1,Chao Ye1,Penghui Lei1
Xiamen University1
Show AbstractThe binary compound semiconductor GaSb is one of candidate materials for manufacturing high-frequency, low-power electronic devices. As a III-V compound semiconductor material with a band gap of 0.725 eV (300 K) and a lattice constant of 0.61 nm, GaSb semiconductor devices have advantages over conventional GaAs or InP devices in that they can achieve high-frequency operation while reducing power consumption, and have great potential in the fields of data processing, communication technology, imaging, and sensing. Amorphous GaSb nanofibers were induced by ion irradiation of bulk GaSb single-crystal wafers. The nanofibers possess special optoelectronic properties after recovering lattice damage by furnace annealing. It makes GaSb semiconductors gain highly potential applications in the field of optoelectronic device fabrication. At room temperature, Fe+ ion beam irradiation of GaSb at 400 keV with the fluences of 1×1016 Fe+/cm2. Amorphous nanofiber structure was successfully induced on the surface of GaSb. The thickness of the nanofiber layer is about 10 μm, and the diameter of fiber robs is around 20 nm. Furnace annealing was used to perform a series of annealing experiments. It is found that GaSb crystal lattice recovered by solid phase epitaxy regrowth, and the disordered amorphous structure can be transformed into ordered crystal structure at 600 °C for 30 min. The Raman spectra of GaSb nanofibers before and after annealing were explored. It shows that the Raman intensity of the GaSb LO phonon absorption peaks decreased after ion beam irradiation. And two new modes are observed at ~150 cm-1and ~114 cm-1, respectively from the unannealed and annealed GaSb samples related to the Alg and Eg mode of Sb–Sb bond vibration. In the Raman spectra of GaSb nanofibers annealed at the temperature of 600 °C, a strong peak was found at 237 cm-1 and a weak peak at 227 cm-1, which correspond to the GaSb LO and TO modes, respectively.
8:00 PM - NM03.05.32
Silver Nanowire Based Flexible Transparent Electrodes with Different Drying Conditions
Seobum Chu1,Dongwook Ko1,Hyojin Song1,Jongbok Kim1
Kumoh National Institute of Technology1
Show AbstractITO (Indium Tin Oxide), which is the most typical transparent electrode, has excellent electrical conductivity and transmittance and is applied to various optoelectronic devices. However, it is inappropriate as next generation transparent electrode because it is not flexible. Ag nanowire (AgNW) based transparent electrode with excellent optical and electrical properties, great flexibility is a strong candidate to replace ITO. To construct AgNW based transparent electrode, people generally spin-coat AgNW solution on the substrate and then dry it at hotplate to evaporate the solvent. However, some substrates are weak to heat and requires different drying methods.
Here, we investigate how drying methods affect surface structures and physical properties including the electrical and optical properties in AgNW based transparent and flexible electrodes. Specifically, we spin coated polymethyl methacrylate (PMMA) on the cleaned glass substrate to make easy separation of the AgNW from the glass. Then, the AgNW was spin-coated on the substrate at 1000 rpm for 1 minute. As drying methods for AgNW film, we adopted three different approaches: i) drying it on hotplate, ii) natural drying it in air and iii) drying it under vacuum. When drying it on hotplate, drying time was fixed at 1 min and temperature was from 50oC to 120oC. In case of natural drying and drying under vacuum, drying time was from 5 min to 30min at room temperature, respectively. Then, NOA 63, a photocurable polymer, was spin-coated on it, followed by UV curing and peeling it to complete flexible electrode fabrication. The surface of AgNW based electrodes was observed by AFM and SEM, and their transmittance was analyzed using a UV-Visible-NIR spectrometer. In surface roughness, we found that room temperature-dried and vacuum-dried transparent electrodes are more rough than that of the heat-dried transparent electrode. In the transmittance, the heat-dried and room temperature-dried and vacuum-dried transparent electrode has almost the same transmittance regardless of drying time. We also measured the conductivity of various AgNW based electrode using 4-point sheet resistance meter. The conductivity of the heat-dried transparent electrode tends to increase with increasing drying temperature. However, the conductivity of the transparent electrodes which were dried at room temperature and vacuum tended not to increase. Then, we measured contact angle on the electrodes. The contact angle of the transparent electrode dried at 120oC was considerably decreased compared to the other conditions.
As a result, we prepared AgNW based electrodes under different drying condition and characterized their electrical, optical, surface properties and contact angle characteristics. Then we found that drying at room temperature can generate AgNW based electrodes with comparable conductivity and transmittance to vacuum drying and heat-drying.
8:00 PM - NM03.05.36
Role of Wire-to-Wire Junction Resistance in Percolation Resistivity of Transparent, Conductive Metal Nanowire Networks
Shreshtha Mishra1,Junying Li1,Jeremy Hicks1,Tsung-Ying Tsai1,Ant Ural1
University of Florida1
Show AbstractThere has been significant research interest recently in random networks of one-dimensional (1D) elements, such as carbon nanotubes, graphene nanoribbons, and metal nanowires, for next-generation transparent conductors. In particular, silver and copper nanowire networks exhibit high transmittance, low sheet resistance, mechanical flexibility, and fast deposition. These unique properties make metal nanowire networks promising candidates to replace indium tin oxide (ITO), which suffers from brittleness, scarcity, high cost, and slow deposition.
Monte Carlo simulations are employed to calculate the resistivity of metal nanowire networks, which is governed by percolation transport. In most simulations, it is assumed that the resistance of the wire-to-wire junction is much larger than that of the nanowire itself, resulting in a junction resistance-dominated network. Although this is the case for carbon nanotube networks, recent experiments have shown that, for metal nanowire networks such as silver, the junction resistance can be significantly lowered by post-deposition treatments such as thermal annealing, plasmonic welding, joule heating, and mechanical pressing. In such cases, the nanowire resistance becomes comparable to the junction resistance and can no longer be ignored. When the junction resistance approaches zero, the network becomes nanowire resistance-dominated, which is the lowest resistance limit for a given set of nanowire and device parameters. Furthermore, by controlling the junction resistance, the resistivity of the network can be tuned, opening up further applications such as resistive switching.
In this work, we perform systematic Monte Carlo simulations to study the effect of the wire-to-wire junction resistance on the resistivity and percolation critical exponents of metal nanowire networks. In particular, we compute the network resistivity as a function of the wire-to-wire junction resistance over a span of six orders of magnitude, ranging all the way from a junction resistance-dominated to a nanowire resistance-dominated network. We study this effect when other nanowire/device parameters are also varied, namely nanowire density and length, device length and width, nanowire alignment, and nanowire curviness. We find, for example, that the effect of curviness on resistivity decreases for nanowire resistance-dominated networks. We also investigate the effect of the wire-to-wire junction resistance on the percolation critical exponents over a wide range of nanowire and device parameters. We find that the junction resistance plays a critical role in determining both the resistivity and the critical exponents of metal nanowire networks.
These studies illustrate how the junction resistance affects the macroscopic resistivity of the network. They also show that Monte Carlo simulations are an essential tool for providing insights into the percolation resistivity of transparent, conductive metal nanowire networks.
8:00 PM - NM03.05.37
Second Harmonic Generation on Hydrothermal Grown ZnO Nanowires
Gen Long1,Mostafa Sadoqi1,Katarzyna Ozga2,J. Jedryka2,Iwan Kityk2
Saint John's University1,Czestochowa University Technology2
Show AbstractWe report a non-linear optical study on ZnO nanowires grown on FTO (Fluorine doped Tin Oxide) substrate for the first time. Zinc oxide (ZnO) nanowire was grown by hydrothermal method on pre-cleaned, pre-seeded (0.01M zinc acetate in Methanol) FTO substrates, placed facedown in the aqueous solution of 0.025M zinc nitrate hydrate and 0.025M HMTA (Hexamethylenetetramine) at 80°C for two hours. The diameters (30~50nm) diameters, lengths (500nm~1 μm) and density of ZnO nanowires could be controlled by varying seeding concentration, growth temperature and growth time.
Non-linear optical measurement was done using a system of mirrors M1, M2, M3 stable for the power densities up to 1.5 GW/cm2. The laser fundamental beam of Nd:YAG laser (7 ns, power energy up to 90 mJ; beam profile diameter varying within the 2-4 mm) was frequency-doubled by a-BiB3O6 second harmonic crystals. Second harmonic generation was observed on the ZnO-nanowire-grown substrates. It was noted the SHG pattern showed same pattern as the ZnO nanowire growth pattern. The general origin of the observed effect is caused by local acentricity of the titled compounds. Further studies will be done on nanostructures based devices.
8:00 PM - NM03.05.40
Gating Electron Flow of Passivated Conductive Nanowires for Polymer Nanocomposites of Resistance Switching Capability
Sang-Soo Lee1,Woojin Jeon2,Youngjin Kim3
Korea Institute of Science and Technology1,Dankook University2,Univ Grenoble Alpes3
Show AbstractAs an alternative for DRAM, a new memory named as resistance switching random access memory (RRAM) has been highly examined, and several studies to impart flexibility to RRAM have been reported, like as to use a flexible polymer which possesses resistance switching capability. However, the reported results using resistance switchable polymers usually exhibited severe problems such as rather poor performance and complex molecular structure requiring highly complicated synthesis and extremely low yield.
To suggest promising alternative to realize flexible RRAM, a resistance switchable polymer nanocomposite has been prepared employing one-dimensional (1D) nanofillers which are composed of two components; the one is permanently conductive core providing facile electron transport, and the other is a skin layer to gate electron flow delivered through the conductive core. When embedded in dielectric polymer matrix, fillers of one-dimensional shape (i.e. nanowires) above percolation limit tend to form a highly connected network-like structure which can contribute as deformable pathways for electron transfer. As a result, the polymer nanocomposite by mixing of the resistance switchable nanowires with dielectric polymer matrix successfully exhibited a resistance switching behavior of high reliability and On/Off ratio, along with flexibility due to the presence of 1D nanowire networks.
The advantages of our approach include simple and low cost fabrication procedure along with sustainable performances suitable to resistance switching memory application.
8:00 PM - NM03.05.42
Using Ultrathin Parylene Films as an Organic Gate Insulator in Nanowire Field-Effect Transistors
Adam Micolich1,Jan Gluschke1,Jakob Seidl1,Roman Lyttleton1,Damon Carrad1,2,Jack Cochrane1,Sebastian Lehmann3,Lars Samuelson3
UNSW Australia1,University of Copenhagen2,Lund University3
Show AbstractWe demonstrate the use of the organic polymer parylene (poly p-xylylene) as an ultra-thin (~20 nm), lithographically-patterned gate insulator for use in nanoscale transistors. Parylene is well known for its biocompatibility and is FDA approved for human implantable devices, which sees it widely used for medical implant encapsulation. It is also common as an environmental protection coating for printed circuit boards and other industrial electronics. In these applications the parylene films are much thicker, typically 0.5 to 50 microns. Even where parylene has been used as a transistor gate insulator, for example in organic semiconductor transistors [1], the film thickness is ~200 nm to avoid pinholes from adversely affecting the device. Indeed, ultra-thin parylene is often thought unsuitable due to pinhole issues, we show this issue can be surmounted for the tiny interfacial areas in nanoscale devices.
We used a custom-built parylene deposition system to put a ~20 nm thick conformal coating onto a 50 nm diameter InAs nanowire field-effect transistor [2]. This device relies on two key properties of parylene: the ability to deposit directly from the gas-phase and its high solvent resistance. The former enables conformal deposition like commonly used oxides, e.g., SiO2, Al2O3 and HfO2, deposited by atomic layer deposition. Notably, parylene deposition can be performed onto chemically-treated semiconductor surfaces without destroying the surface chemistry in contrast to ALD. The solvent resistance means parylene is amenable to resist-based lithographic patterning, enabling the fabrication of devices as simple as top-gate transistors and as complex as the gate-all-around (GAA) transistors. An added advantage is that parylene is readily etched by oxygen plasma, removing the need for the toxic hydrofluoric acid etches used with oxides. Our gate-all-around transistors give sub-threshold slopes as low as 140 mV/decade and on-off ratios exceeding 1000 at room temperature with low gate leakage (<20 pA) and high yield.
The material and fabrication processes should be transferrable to a variety of other semiconductor materials, including graphene, transition metal dichalcogenides and topological insulator systems, as well as a variety of other nanoscale device designs. The ability to deposit ultra-thin parylene films and pattern them at the nanoscale with standard lithographic techniques opens the way to their more widespread use in nanoscale electronics. The intrinsic biocompatibility of parylene means this work is particularly relevant to bioelectronics applications from neural sensing to medical implants.
[1] Podzorov et al. Applied Physics Letters 82, 1739 (2003).
[2] Gluschke et al. Nano Letters 18, 4431 (2018).
8:00 PM - NM03.05.43
p-GaAs Nanowire Transistors with Near-Thermal Limit Gating
Adam Micolich1,Rifat Ullah1,Frank Meyer1,Jan Gluschke1,Shagufta Naureen2,Philippe Caroff2,3,Peter Krogstrup4,Jesper Nygård4
UNSW Australia1,The Australian National University2,Delft University of Technology3,University of Copenhagen4
Show AbstractModern integrated circuits are heavily reliant on complementary architectures featuring both n- and p-type transistors to minimise power consumption. Continued miniaturisation spurred the development of nanowire CMOS, most recently focussing on III-V nanowire field-effect transistors (NWFETs) integrated on Si to obtain high performance at low cost. Progress has been better for n-type than for p-type. Near-thermal limit gating performance has been obtained for n-type NWFETs. Integration on Si and GHz operation have also been demonstrated. Challenges with growth, doping and fabrication of high-quality gates and ohmic contacts have impeded progress for p-type NWFETs.
Candidates for p-type III-V NWFETs include GaSb, GaAs, In(Ga)As, InP and InSb. In-based materials are hard to deploy because surface state effects make them naturally n-type. Thus the prevailing p-type material is GaSb. It can be challenging to grow, it is also natively p-type due to antisite defects, meaning it cannot also be used for n-type applications. GaAs is natively intrinsic and can be doped to make n- and p-type devices. However, reliable low resistance contacts have been a roadblock for p-GaAs NWFETs [1].
We have developed p-GaAs NWFETs with near-thermal limit gating, low contact resistance and competitive frequency response with strong potential for nanowire CMOS applications. The key to low resistance ohmic contact was adding a heavily Be-doped GaAs shell to the nanowire. We obtain contact resistances as low as 30 kΩ for a shell acceptor density NA = 1.5 x 1019 cm-3 using unannealed AuBe contacts [1]. This shell doping is so high that conventional metal-oxide gates fail [2], however we found two clever ways to solve this.
The first involves ionic-gating, which not only still enables switching but gives a sub-threshold swing of 75 mV/dec, within 25% of the thermal limit, and comparable with n-GaAs nanowire MOSFETs. This highlights the strong gate effect obtained from an eletrolyte-gate but these gates also suffers poor time response.
The second involves carefully etching the shell at the gate location to make a p-GaAs nanowire MESFET [3]. The advantage is that the gate is self-insulating due to the GaAs Schottky barrier. Our device gives strong performance compared to single horizontal p-GaSb nanowire MOSFETs [4], with typical sub-threshold swing of 62 mV/dec, within 4% of the thermal limit, on-off ratio ~105, contact resistance ~30 kΩ and high-fidelity ac operation up to 10 kHz, opening the path to all-GaAs nanowire complementary circuits with simplified fabrication and improved performance.
[1] A.R. Ullah et al., Nanotechnology 28, 134005 (2017).
[2] A.R. Ullah et al., Phys. Rev. Mater. 2, 025601 (2018).
[3] A.R. Ullah et al., In press for Nano Letters (doi: 10.1021/acs.nanolett.8b02249).
[4] A.W. Dey et al., Nano Letters 12, 5593 (2012).
8:00 PM - NM03.05.45
Gated Nanopore with Embedded Electrodes for DNA Translocation Control and Sequencing
Yuan Wang1,Joshua Sadar1,Quan Qing1
Arizona State University1
Show AbstractNanopore DNA sensing is becoming the most promising candidate of the third-generation sequencing techniques, aiming at single-molecule level readout and high throughput. Both biological and solid-state nanopore systems have been widely investigated in past decades. However, current researches on two types of DNA sequencing devices reveal that the translocation speed is still 1-2 orders of magnitude too fast and the signal specificity is not yet good enough for successful sequencing. In this study, we report an unique design of in-plane nanopore structure with self-aligned nano-electrodes to explore effective control of DNA translocation using local transverse electric field and investigate recognition tunneling readout for the DNA sequencing. Our scalable fabrication strategy will enable a new nanopore platform for biopolymer manipulation and analysis.
8:00 PM - NM03.05.46
Band Structure of Wurtzite InAs Nanowires Measured by Polarized Photocurrent Spectroscopy
Leigh Smith1,Seyyedesadaf Pournia1,Giriraj Jnawali1,Howard Jackson1,Hark Hoe Tan2,Chennupati Jagadish2
University of Cincinnati1,The Australian National University2
Show AbstractWe use polarized photocurrent spectroscopy to measure the optical band gap and valence band structure of wurtzite InAs nanowires. The nanowire device was fabricated from 50nm diameter nanowires dispersed on a Si/SiO2 substrate, and two titanium/aluminum metal pads were deposited at either end of the nanowires using photolithography and liftoff. Using tunable excitation light polarized along the long axis of nanowire and perpendicular to that, the band structure of this hexagonal wurtzite material was revealed. According to theory, the transition from the first valence band to the conduction band in a wurtzite structure is only allowed for the light perpendicularly polarized to the c-axis (long axis) of the nanowire. In contrast, carriers can be optically excited from the second and third valance bands to the conduction band with either polarization. The data shows a 0.43eV fundamental band gap at room temperature, 70 meV greater than the zincblende structure, as expected. In addition, the splittings between the valence bands are measured and appear to be consistent with theory.
We acknowledge the financial support of NSF through grants DMR 1507844, DMR 1531373, and ECCS 1509706, and the financial support of Australian Research Council.
Symposium Organizers
Michael A. Filler, Georgia Institute of Technology
Kimberly Dick Thelander, Lund University
Anna Fontcuberta i Morral, École Polytechnique Fédérale de Lausanne
Jordi Arbiol, ICREA and Institut Català de Nanociència i Nanotecnologia
Symposium Support
Applied Materials
INDEED Network
NM03.06: Guided and Selected Area Growth
Session Chairs
Jordi Arbiol
Peter Krogstrup
Sara Martí-Sánchez
Tuesday AM, November 27, 2018
Sheraton, 2nd Floor, Back Bay D
8:30 AM - *NM03.06.01
Guided Nanowire Optoelectronics
Ernesto Joselevich1
Weizmann Institute1
Show AbstractThe large-scale assembly of NWs with controlled orientation on surfaces remains one challenge toward their integration into practical devices. During the last few years we reported the growth of perfectly aligned, millimeter-long, horizontal NWs of GaN [1], ZnO [2], ZnSe [3], ZnTe [4], CdSe [5], CdS [6], CsPbBr3 [7] and other materials, with controlled crystallographic orientations on different planes of sapphire [1-7], SiC [8], quartz [9], and spinel [10]. The growth directions and crystallographic orientation of the NWs are controlled by their epitaxial relationship with the substrate, as well as by a graphoepitaxial effect that guides their growth along surface steps and grooves. As a proof of concept for future applications, we demonstrated the massively parallel “self-integration” of NWs into circuits via guided growth [11]. Here we will show how guided nanowires with complex morphologies and heterostructures can be used for the bottom-up fabrication of nano-optoelectronic devices, including photodetectors, photodiodes and photovoltaic cells [12].
References
[1] Science 2011, 333, 1003.
[2] ACS Nano 2012, 6, 6433.
[3] Adv. Mater. 2015, 27, 3999.
[4] J. Phys. Chem. C, 2016, 120, 18087.
[5] ACS Nano 2017 ,11, 213.
[6] J. Am. Chem. Soc., 2017, 139, 15958.
[7] Nano Lett., 2018, 18, 424.
[8] Nano Lett. 2013, 13, 5491.
[9] ACS Nano 2014, 8, 2838.
[10] J. Phys. Chem. C 2014, 118, 19158.
[11] Proc. Nat. Acad. Sci. USA 2013, 110, 15171.
[12] ACS Nano 2017, 11, 6155.
9:00 AM - NM03.06.02
Crystallographic Mapping of Guided Nanowires by Second-Harmonic Generation Polarimetry
Regev Ben-Zvi1,Lior Neeman1,Dan Oron1,Ernesto Joselevich1
Weizmann Institute of Science1
Show AbstractThe growth of horizontal nanowires (NWs) guided by epitaxial and graphoepitaxial relations with the substrate is becoming increasingly attractive owing to the posibility of controlling their position, direction and crystallographic orientation. In guided NWs, as opposed to the extensively characterized vertically grown NWs, there is an increasing need for understanding the relation between structure and properties, specifically the role of the epitaxial relation with the substrate. Furthermore, the uniformity of crystallographic orientation along guided NWs and over the substrate has yet to be checked. Here we perform highly sensitive second-harmonic generation (SHG) polarimetry of polar and nonpolar guided ZnO NWs. We optically map large areas on the substrate in a nondestructive way, and find that the crystallographic orientations of the guided NWs are highly selective and specific for each growth direction with respect to the substrate lattice. In addition, we perform SHG polarimetry along individual NWs and find that the crystallographic orientation is preserved along the NW in both polar and nonpolar NWs. However, while polar NWs show highly uniform SHG along their axis, nonpolar NWs show a significant change in the local nonlinear susceptibility along a few microns, reflected in a reduction of 40% in the ratio of the SHG along different crystal axes. We suggest that these differences may be related to strain accumulation along the nonpolar wires. We find that SHG polarimetry to be a powerful tool to study both selectivity and uniformity of crystallographic orientations of guided NWs with different epitaxial relations.
9:15 AM - NM03.06.03
Direct Integration of Multifunctional Nanowire and Nanofiber Networks on a Single Chip for Selective Gas Detection
David Graf1,Ashish Lepcha1,Aida Raauf1,Albert Queraltó1,Rishabh Garg1,Matthias Grosch1,Thomas Fischer1,Sanjay Mathur1
University of Cologne-Inorganic Chemistry1
Show AbstractThe high surface-to-volume ratio as well as the typically high crystallinity and directional charge carrier transport of metal oxide NWs are beneficial for the use in chemo-resistive gas sensors. Semiconducting n-type SnO2 nanowire networks have been site-selectively deposited on gas sensor platforms by chemical vapor deposition (CVD) approach based on a catalyst-mediated vapor-liquid-solid (VLS) growth mechanism. Compared to classical screen-printed SnO2 sensors, the direct integrated nanowire network demonstrated superior sensing performance in terms of sensitivity and response time. To date, selective detection of target gas molecules in complex gaseous compositions or in presence of high humidity is quite challenging. We improved the lack of selectivity toward gaseous species through surface decoration with metal oxide (VO2, Nb2O5) or noble metal (Rh, Pd) nanoparticles by a second CVD step and investigated the sensing mechanism. For this approach, we developed tailored volatile molecular precursors based on bidentate heteroarylalkenolate and enaminonate ligands. To improve the sensing performance under high humidity, which is very important for clinical breath analyses, we electrospun a nanofiber mesh consists of zeolite microcrystals (Mordenite, ZSM-5 and BEA) embedded in thermal stable polymer on top of the sensor. The hydrophilic porous nanofiber mesh acts as water vapor adsorbing or selective filter material to improve the sensitivity and selectivity.
Moreover, we fabricate ternary metal oxide (BiFeO3, LaFeO3, PrNbO4 and Sn2Nd2O7) nanofibers on top of the sensor platform, which exhibit excellent selective sensing characteristics. The selective synthesis of the perovskite and pyrochlore materials were achieved by deploying bimetallic alkoxide precursors. Gas sensing measurements demonstrated the highly sensitivity towards SO2 below 0.5 ppm of ferrite materials and the selective H2 detection of pyrochlore Sn2Nd2O7.
9:30 AM - NM03.06.04
Controlling Morphology and Composition of Self-Assembled InAsP and InAlAs Nanowires on Monolayer Graphene Substrates
Mohadeseh Baboli1,Michael Slocum1,2,Alessandro Giussani1,Hyun Kum1,3,Thomas Wilhelm1,Stephen Polly1,Seth Hubbard1,Parsian Mohseni1
Rochester Institute of Technology1,Wright-Patterson Air Force Base2,Massachusetts Institute of Technology3
Show AbstractMonolithic integration of high crystal quality III-V semiconductors with two-dimensional (2-D) monolayer nanosheets can be achieved via growth of high aspect ratio nanowire (NW) structures using the pseudo-van der Waals epitaxy (vdWE) approach. In this regime, growth of dislocation-free NWs is accommodated by weak vdW forces between a 2-D nanomaterial, with no surface dangling bonds, and the III-V epilayer. However, the monolayer substrate offers limited possibilities for arrangement of the overlaying crystal based on the lattice constant of the III-V compound, the finite number of atomic positions on the 2-D surface, and the limitations imposed by the binding energy of adatoms at available residence sites. Here, we present self-assembly of vertically-aligned, high aspect ratio InAsyP1-y, InxAl1-xAs, and core-shell InAsP-InP NW arrays on single layer graphene (SLG) via vdWE using metalorganic chemical vapor deposition (MOCVD). By altering growth temperature (TG) and molar flow ratio of precursors (ρ), compositional and morphological tuning of hybrid ternary III-V-on-2-D nanomaterials systems is realized. For InAsyP1-y NWs, the hydride precursor molar flow ratio (ρPH3) is varied from 0 to 0.98 at growth temperatures of 650 °C and 700 °C. The morphology and number density of NWs, as well as parasitic island coverage, are observed to be independent of ρPH3. Although growth at TG = 700 °C enables higher solid-phase P-incorporation, increasing temperature also adversely affects NW number density. To overcome this challenge, heterostructured InAsP/InAs NWs are grown in a two-temperature growth regime with InAs bases formed at TG-InAs = 650 °C, followed by InAsP segments formed at elevated TG-InAsP ≥ 700 °C. This approach permits growth of high number density NWs with InAsyP1-y segments having composition y ~ 0.80. Higher P-content segments are grown as shell layers on existing InAsP core segments. Next, the dependences of InxAl1-xAs NW morphology, verticality, and number density on growth temperature and composition are investigated. At constant TG = 600 °C, composition dependences are studied by varying the metalorganic precursor molar flow ratio (ρTMAl) between 0 and 0.75. Similarly, at constant ρTMAl = 0.50, temperature dependences are studied in the 600 °C ≤ TG ≤ 700 °C range. Optimal conditions are presented for uniform-diameter and vertical InAlAs NWs at TG = 650 °C and ρTMAl = 0.50. A growth mechanism for vdWE of ternary InAsP and InAlAs NWs is proposed to relate the observed trends for NW number density and morphology to lattice coordination and binding energy of growth species on SLG. We anticipate the use of such hybrid III-V-on-2-D nanosystems in low-cost and flexible optoelectronic device applications.
9:45 AM - NM03.06.05
Surface-Guided Halide-Perovskite Nanowires—New Building Blocks with Unusual Properties for Optoelectronics
Eitan Oksenberg1,Ella Sanders1,Ronit Popovitz-Biro1,Lothar Houben1,Ernesto Joselevich1
Weizmann Institute of Science1
Show AbstractMetal-halide perovskites (MHPs) are remarkable optoelectronic materials due to a rare combination of low-cost fabrication and exceptional properties. Recently, nanowires of MHPs have emerged as promising building blocks for various optoelectronic application and as an intriguing one-dimensional system for investigating and modeling fundamental MHPs properties. Both for research and applications, controlled and well-defined nanowire systems are highly advantageous, and at times a real necessity. Here we employ a surface-guided approach to grow horizontally aligned arrays of single-crystal CsPbBr3 nanowires. The nanowires grow with well-defined facets and a uniform crystallographic orientation to form aligned arrays with 6-fold and 2-fold symmetries. We highlight the advantages of such arrays for fundamental research, as we investigate their optical properties, and present a unique perspective on photon-transport processes and anomalous diameter-dependent emission shift. In addition, we demonstrate the potential of planar MHPs nanowires as building blocks for functional devices by fabricating photodetectors that exhibit faster response times than any CsPbBr3-based photodetectors reported so far. Arrays of horizontally aligned MHPs nanowires are a promising platform for investigating the intriguing properties and potential applications of these unique materials.
NM03.07: Selected Area Growth and Nanowire Arrays
Session Chairs
Kimberly Dick Thelander
Peter Krogstrup
Sara Martí-Sánchez
Tuesday PM, November 27, 2018
Sheraton, 2nd Floor, Back Bay D
10:30 AM - *NM03.07.01
GaN Nanowire Devices Grown with Selective Area Epitaxy
Kris Bertness1
National Institute of Standards and Technology1
Show AbstractWe have developed a highly flexible process for selective-area growth of GaN nanowires on silicon substrates with polarity-controlled buffer layers. With this process, fully selective nanowire growth can be obtained by molecular beam epitaxy in both dense arrays or for isolated nanowires, enabling a wide range of device architectures. Self-shadowing of growth fluxes can be eliminated in isolated nanowires, allowing for synthesis of junctions and heterostructures with radial geometries. In this talk, we examine first the optical and electrical characteristics of nanowire LEDs grown by this technique, which have an n-type core and a conformal p-type shell layer. Electrical contact is made to the core through the GaN nucleation layer and to the p-type shell via a top surface metallization layer, allowing for measurement of single nanowires or parallel measurement of multiple nanowires. Under forward bias, these nanowire LEDs produce electroluminescence at 380 nm, corresponding to donor-acceptor-pair recombination from electrons injected in the p-type shell. In this design, however, the shell thickness is comparable to the minority carrier diffusion length so that most electrons pass through the p-type shell to the contact without recombining with holes, and efficiencies are low. We have demonstrated dramatically improved light emission by introducing heterostructures such as replacing GaN with AlGaN in the p-type shell. We will also discuss using selective epitaxy to place these nanowire LEDs on AFM cantilever tips by growing and processing the LEDs on silicon-on-insulator substrates and then etching cantilevers out of the substrate. Finally, we will present data that suggests the array structure produces strong surface phonon-polariton interactions.
11:00 AM - NM03.07.02
Selective Area Growth of InxGa1-xAs Nanowires on HfO2 Templates for Highly Scaled nMOS Devices
Paloma Tejedor1
Instituto de Ciencia de Materiales de Madrid (ICMM), Consejo Superior de Investigaciones Científicas1
Show AbstractThe replacement of the strained Si channel in n-metal-oxide-semiconductor-field-effect-transistors with III-V compound semiconductors, particularly InxGa1-xAs, is considered nowadays the best alternative to improve the drive current at low supply voltages in high-performance CMOS applications at sub-10 nm-technology nodes. As the device is scaled down, the reduction of the source/drain contact geometries results in current crowding and a significant increase in access resistance. Mitigating this effect is hence one of the most difficult challenges to fabricate highly scaled III-V devices. In this work, we present the development of a self-aligned process based on selective epitaxial regrowth of InxGa1-xAs (x=0-1) raised source and drain nanowire structures on etched recessed areas adjacent to a nanopatterned high-k dielectric, i.e. HfO2, using conventional and atomic H-assisted MBE as key process to integrate high mobility III-V materials in 3D device architectures.
In the first part of this work we studied the interaction of atomic H with the surface of HfO2 prior to the epitaxial process in ultra-high vacuum, by using AFM, ToF-SIMS, ARXPS and HR-TEM. The study showed that in the 350-400 C temperature range in-situ cleaning with atomic H effectively reduces the concentration of the major impurities on the HfO2 surface, which occurs through a layer-by-layer etching reaction with activation energy of 23 Kcal.mol-1. The second part of the work was devoted to the investigation of several fundamental aspects of the selective epitaxial growth of InxGa1-xAs nanowires on HfO2/GaAs templates fabricated by interferometric lithography. Special emphasis has been placed on the impact of atomic H on the process window for III-V selective growth, the nucleation and growth dynamics, the relief of lattice mistmatch in the open trenches and the structure and composition of the epilayers grown on the prepatterned substrates. Selective growth has been observed for all values of x between 0 and 1. HRTEM images have revealed the conformality of the growth and the absence of microtrench formation near the HfO2 mask edges. Our results have demonstrated that the use of atomic H lowers the temperature process window for selective growth down to 400 C, due to the lower energy of nucleation required on the H-terminated III-V surface and the blocking of HfO2 active sites by H, which inhibits the nucleation of the III-V compound on the masked areas. HRXRD measurements have shown that the best crystal quality corresponds to InxGa1-xAs samples grown at 500 C, with little differences between samples grown by H-assisted and conventional MBE. Finally, those InxGa1-xAs alloys grown on H-treated HfO2 patterned substrates exhibit a higher uniformity in chemical composition (absence of In segregation) and full strain relaxation for x≧0.5.
Financial support by the Spanish Government under grant MAT2016-78433 and by CSIC under grant 20188E058 is greatly acknowledged.
11:15 AM - NM03.07.03
Ordered GaAs p-i-n Radial Junction Nanowire Arrays on Silicon
Wonjong Kim1,Léo Balembois1,Jelena Vukajlovic-Plestina1,Gozde Tutuncuoglu1,Dmitry Mikulik1,Pablo Romero1,Lucas Guniat1,Martin Friedl1,Anna Fontcuberta i Morral1
EPFL1
Show AbstractSemiconducting nanowires (NWs) are expected to play an important role on third-generation photovoltaics. The vertical configuration of the NW is shown to be promising for enhancing absorption cross-section due to resonances and light-concentrating effect1,2. In this work, we present in-depth studies on self-assisted GaAs NW arrays grown on silicon by molecular beam epitaxy. Reproducible high yield (> 85 %) of vertical NW arrays3 enables us systematic doping studies on our GaAs NW arrays. Although there are several groups reporting NW array based photovoltaic devices4,5, to date there have been very few reports on MBE grown ordered GaAs NW array on silicon6. We will present our latest results on our GaAs NW array-based devices and discuss the growth and doping mechanism, comparing our findings to previous results obtained for self-assembled GaAs NW7,8. We demonstrate experimentally the effect of device performances on inter-wire spacing, doping concentration of the NW core and shell within the array system which can be of fundamental importance for the realization of NW based photovoltaic devices.
[1] P. Krogstrup et al. Nature Photon 7, 306 (2013)
[2] M. Heiss et al. Nanotech. 25 014015 (2014)
[3] J. Vukajlovic-Plestina et al. Nano Lett. 17 (2017)
[4] J. Wallentin et al. Sience (2013)
[5] I. Åberg et al. IEEE J. Photovoltaics (2016)
[6] J. P. Boulanger et al. IEEE J. Photovoltaics (2016)
[7] C. Colombo et al. Appl. Phys. Lett. (2009)
[8] J. Dufouleur et al. Nano Lett 10 (2010)
11:30 AM - NM03.07.04
The Geode Process—A Route to the Large-Scale Manufacturing of Functionally-Encoded Semiconductor Nanowires
Maritza Mujica1,Gozde Tutuncuoglu1,Victor Breedveld1,Sven Behrens1,Michael A. Filler1
Georgia Institute of Technology1
Show AbstractFuture large-area electronic and photonic technologies will require the manufacturing of materials and devices at very high rates without sacrificing nanoscale control of structure and composition. Semiconductor nanowires can be produced with exquisite spatial control of composition and morphology using the vapor-liquid-solid (VLS) mechanism that, unfortunately, remains limited to very small manufacturing rates. Here, we introduce the Geode process to synthesize functionally-encoded semiconductor nanowires at throughputs orders of magnitude beyond the state-of-the art. Central to the Geode process are sacrificial, porous-walled, seed particle-lined silica microcapsules, whose interior surface serves as a high-surface area growth substrate. Microcapsules protect the growing nanostructures, are produced with a scalable emulsion templating technique, and are compatible with large-scale chemical reactors. We will show how microcapsule structure and drying is influenced by silica nanoparticle type and concentration, emulsification parameters, and nanoparticle cross-linking agent. We will also demonstrate the synthesis of Si nanowires with programmable dopant profiles on the microcapsule interior, which not only shows the versatility of the process, but also allows the impact of precursor gas transport limitations to be characterized.
11:45 AM - NM03.07.05
In Situ Reflectometry Measurements and Simulations of Highly Oriented Vertical VLS Growth of Germanium Nanowire Assemblies
Michael Braun1,Lucas Guniat2,Anna Fontcuberta i Morral2,Paul McIntyre1
Stanford University1,École Polytechnique Fédérale de Lausanne2
Show AbstractAligned arrays of semiconducting nanowires have drawn significant interest, in part because of their relevance for end-of-roadmap electronic and nanoscale optoelectronic devices. In all these applications, the in-situ analysis of nanowire growth is advantageous, both for understanding fundamental aspects of the growth kinetics and for process monitoring. While several methods have been commercialized for thin film growth monitoring, laser reflectometry analysis of nanowire growth is a more novel application. In this study, we report an in-situ method of monitoring the vapor-liquid-solid (VLS) growth of germanium nanowires via a simple laser and detector system, operating at an oblique angle. The monitoring system is mounted on a lamp-heated, cold-wall chemical vapor deposition (CVD) chamber. Previous studies have reported on either vertical reflection from nano-patterned nanowire arrays,1 or grazing reflection from highly non-vertical random nanowire arrays with a broad range of diameters.2 In contrast, we have grown highly vertical (≥ 99.9 %) randomly dispersed nanowire arrays with a range of controlled diameters and areal densities, and at a range of growth pressures. These conditions enable us to analyze the nucleation time, growth quality, and growth rate of the same samples for growth times beyond 30 minutes. Analysis was based on fitting the exponential decay of the signal and oscillation period of the successive constructive/destructive interference effects.
The experimental measurements are compared to simulation via a novel evolution of numerical finite difference frequency domain (FDFD) simulations as a function of growth time. These simulations are performed in two and three dimensions as well as with supercells of nanowires of non-identical spacing. The supercells attempt to separate artifacts from photonic crystal effects of the periodic simulation compared to the random experimental arrays. Simulation results are compared to a simple thin film effective-index model as a gauge of the impact from resonant modes and enhanced scattering from nanoscale features.
1M. Heurlin, N. Anttu, C. Camus, L. Samuelson, and M. Borgström, "In Situ Characterization of Nanowire Dimensions and Growth Dynamics by Optical Reflectance," Nano Lett. 15 (2015), 3597−3602.
2T. Clement, S. Ingole, S. Ketharanathan, J. Drucker, and S. Picraux, "In situ studies of semiconductor nanowire growth using optical reflectometry," Appl. Phys. Lett. 89, 163125 (2006).
NM03.08: Thermal Transport and Phonon Engineering
Session Chairs
Anna Fontcuberta i Morral
Ernesto Joselevich
Sara Martí-Sánchez
Tuesday PM, November 27, 2018
Sheraton, 2nd Floor, Back Bay D
1:30 PM - *NM03.08.01
Thermal Phonon Engineering with Nanowires
Martin Maldovan1
Georgia Institute of Technology1
Show AbstractIn recent decades, the improved ability to manipulate electrons and photons has made possible remarkable technological developments in electronic, optoelectronic, and energy applications. Contrarily to electrons and photons, a similar degree of control over thermal phonons (i.e. thermal lattice vibrations) has not yet been achieved. Considering the remarkable success on using electronic and photonic materials to manipulate electrons and light, it is certainly valuable to extend this knowledge to lattice thermal vibrations and create new approaches to manipulate heat flow.
In this talk, I discuss semiconductor nanowires (NWs) as a powerful material platform for manipulation of thermal phonons and control of heat flow. I will show how the rational design of structural and material properties of nanowires can be used to tailor the thermal phonon transport properties. Nanowire atomic structure and composition, surface characteristics, porosity, and morphology are among the physical variables that can be exploited to control thermal energy transfer. This “thermal phonon engineering” of nanowires can be leveraged to achieve unprecedented control over nanoscale heat conduction.
2:00 PM - NM03.08.02
Thermal Transport in Si Nanowires with Axially Modulated Diameters
Gozde Tutuncuoglu1,Abhinav Malhotra1,Sampath Kommandur1,Shannon Yee1,Martin Maldovan1,Michael A. Filler1
Georgia Institute of Technology1
Show AbstractSemiconductor nanowires, because their nanoscale structure can be precisely engineered, offer exciting opportunities to control thermal transport. Despite initial demonstrations that morphology, diameter, and surface roughness can modify the thermal conductivity of Si nanowires, these effects remain poorly understood and difficult to rationally engineer. In this work, we combine state-of-the-art nanowire synthesis, thermal transport characterization, and modeling to understand, predict, and control heat transport in Si nanowires. The synthesis of nanowires with axially-modulated diameters is accomplished by combining the vapor-liquid-solid (VLS) mechanism with the post-growth selective etching of axially-encoded doped segments. A single nanowire, suspended four-bridge thermal transport method eliminates the impact of contact resistance on the measured thermal conductance. Simulations using the complete frequency- and temperature-dependent solution to the phonon-Boltzmann equation permit an accurate description of phonon-surface interactions. We find that thermal conductivity decreases as the modulated diameter decreases and it can be further engineered by the aspect ratio of the diameter-modulated section. We also determine the relative importance of backscattering and wave effects. By connecting local nanowire diameter and morphology to phonon transport and the resulting thermal properties, our work paves the way for the rational design of future thermal materials.
2:15 PM - NM03.08.03
Understanding the Kink Effect on Thermal Transport Through Nanowires
Yang Zhao1,Lin Yang1,Qian Zhang1,Deyu Li1
Vanderbilt University1
Show AbstractTransport mechanisms underlying heat transfer in micro/nanoscale structures are of fundamental interest and critical for a broad range of applications, including thermoelectrics, electronic device thermal management, and data storage. In the past two decades, the effects of the characteristic size, surface roughness and acoustic phonon softening on thermal transport through nanostructures have been studied, which provides powerful strategies to alter the thermal transport properties of nanomaterials. Here we show that kinked morphology could be a new degree of freedom to tune thermal conduction in nanowires and further disclose the phonon transport mechanisms in kinked nanowires.
From experimental studies, we found that the thermal conductivity of kinked boron carbide nanowires can be reduced by up to 36% as compared to corresponding straight nanowires of similar diameters and carbon concentrations. Analysis indicates that this level of reduction means that the kink structure poses ~30 times larger thermal resistance than the corresponding straight nanowire segment of an equivalent length. The pronounced kink resistance is attributed to the strong backscattering of highly focused phonons in the kink region, which is supported by the interesting discovery that defects in the kink region, instead of posing resistance, actually assist phonons passing through the kink by increasing phonon scattering rates at the kink. To further explore the kink effect, we further conducted measurements on kinked silicon nanoribbons with multiple kink structures. Compared to boron carbide nanowires, a single kink in the silicon nanoribbon poses only ~1.2 times thermal resistance because of its significantly lower elastic anisotropy. However, a maximum thermal conductivity reduction of 21% can be achieved by having multiple kinks in the silicon nanoribbons.
To further disclose phonon transport mechanisms in kinked nanowires, we performed non-equilibrium molecular dynamics simulations to model thermal transport through straight and kinked silicon nanowires. Results clearly demonstrate that back scattering of phonons from the free surface of the kinked region is the underlying mechanism for the additional kink resistance. Furthermore, by adding heavy isotope atoms into the kink region, we verify the experimental observation that defects can indeed assist phonon transmission through the kink region via scattering phonons into the other branch of the kink.
2:30 PM - NM03.08.04
Significant Effects of Electron-Phonon Interactions on the Lattice Thermal Conductivity of Quasi-1D NbSe3 Nanowires
Lin Yang1,Yi Tao2,Jinyu Liu3,Chenhan Liu2,Qian Zhang1,Yang Zhao1,Zhiqiang Mao3,Yunfei Chen2,Deyu Li1
Vanderbilt University1,Southeast University2,Tulane University3
Show AbstractThe interaction between electrons and phonons is a fundamental process in solids and plays critical roles in various physical phenomena. While the effects of electron-phonon (e-ph) interactions on electronic properties of condensed matter have been extensively studied, its contribution to lattice thermal conductivity is still in debate and quantitative analyses have been largely lacking. Through systematic studies of the transport properties of niobium triselenide (NbSe3) nanowires, we show the significant influence of e-ph coupling on the lattice thermal conductivity (κp), which provides direct evidence on the importance of e-ph scattering in κp.
NbSe3 belongs to a class of van der Waals (vdW) materials with quasi-one-dimensional (quasi-1D) crystal structures, where covalently-bonded molecular chains assemble together via vdW forces. Owing to the restricted dimensionality, the density of free electrons in NbSe3 spontaneously develops a wave-like variation due to a lattice distortion when temperature drops below a critical value (TCDW), which is called charge density wave (CDW). The variation of charge carrier concentration upon the onset of CDW results in the spontaneous change of e-ph coupling strength, which is reflected as the abnormal peaks in the extracted lattice thermal conductivity.
To confirm that e-ph interactions cause the distinct signatures in κp, we combine first-principles calculations with a phenomenological model to extract the contribution of e-ph scattering. Extensive modeling efforts show that without considering e-ph scattering, κp follows the typical shape for crystalline materials with a smooth profile in the whole temperature range. However, with the e-ph scattering term introduced, the modeled κp can fit the experimental data remarkably well. The perfect match of the shape for the two abnormal peaks strongly indicate that it is indeed the e-ph scattering that leads to the distinct signature in κp. Moreover, for nanowires with smaller transverse dimensions, the peaks in κp are less significant due to competing scattering mechanisms, which is also well captured by the theoretical model.
The observed abnormal peaks in κp provide solid evidence to answer the long-standing, fundamental questions of whether and how e-ph interactions affect phonon transport. Given the ubiquitous nature of e-ph coupling in semiconductors and metals, this discovery will lead to new understanding of transport processes in microelectronic, photovoltaic and optoelectronic devices, which will enable further improved device design and performance.
2:45 PM - NM03.08.05
Influence of Current Density on Universal Conductance Fluctuations in GaN Nanowires
Patrick Uredat1,Pascal Hille1,Jörg Schörmann1,Martin Eickhoff2,Matthias Elm1,Peter Klar1
Justus Liebig University Giessen1,University of Bremen2
Show AbstractSemiconducting III-V nanowires are not only an auspicious material system for future nanoelectronic devices, such as nanoscaled field-effect transistors, light-emitting diodes or other optoelectronic applications, but also an intriguing model system to study quantum interference effects in mesoscopic systems.
Here, we present investigations of the magnetotransport properties of single Ge-doped GaN nanowires grown by plasma-assisted molecular-beam epitaxy. After the growth the nanowires were detached from the substrate and transferred to a wet-oxidized Si(100) substrate. The GaN nanowires are about 90 nm to 140 nm in diameter and about 1.5 µm in length. Electrical contacts to single GaN nanowires were prepared by a combination of photo- and electron-beam lithography. At low temperatures the doped GaN nanowires exhibit universal conductance fluctuations (UCF) and a weak localization effect. For the classification of the transport regime the phase-coherence length was determined in different ways from the quantum-interference effects. As the phase-coherence length is solely defined by inelastic scattering events the phase-coherence is independent of the current applied. Nevertheless, we show, that the magnitude of the conductance fluctuations rms(ΔG) is strongly affected by the applied current density resulting in an alleged reduction of the obtained phase-coherence length. The decrease of the magnitude rms(ΔG) with increasing current density occurs by allowing more k-states close to the Fermi energy to contribute to the transport and thus to smear out the UCF. The observed behavior somewhat resembles the observed reduction of the UCF with increasing temperature. Additionally, we provide a theoretical model to describe the influence of applied current density on the conductance fluctuations which enables to obtain the carrier concentration and carrier mobility of a single Ge-doped GaN nanowire.
NM03.09: Transport and Energy Conversion
Session Chairs
Michael A. Filler
Martin Maldovan
Sara Martí-Sánchez
Tuesday PM, November 27, 2018
Sheraton, 2nd Floor, Back Bay D
3:30 PM - *NM03.09.01
Thermal-to-Electric Energy Conversion Near Ideal Efficiency Limits and Its Potential Use in Light-Energy Harvesting
Heiner Linke1
Lund University1
Show AbstractIt has been predicted [1,2] that thermoelectric energy conversion based on ideal energy filters can, in principle, be performed near thermodynamically ideal efficiency limits, but this prediction has never been experimentally verified. Using a quantum dot (QD) embedded into a semiconductor nanowire, we directly measured the engine’s steady state electric power output. Taking into account also the calculated electronic heat flow, we find that at maximum power conditions the electronic efficiency is in agreement with the Curzon-Ahlborn efficiency, and that the overall maximum electronic efficiency is in excess of 70% of Carnot efficiency. [3] I will describe how these results may be used to enhance energy conversion efficiency also in solar cells, by harvesting non-equilibtium electronic energy based on photothermoelectric principles [4], and will show first results demonstrating a high open-circuit voltage [5].
[1] Mahan, G. & Sofo, J. The best thermoelectric. Proceed. Nat. Acad. Sc. 93, 7436–7439 (1996).
[2] Humphrey, T. E. Newbury, R. Taylor, R. P. & Linke, H. Reversible quantum Brownian heat engines for electrons. Phys. Rev. Lett. 89, 116801 (2002).
[3] Martin Josefsson, Artis Svilans, Adam M. Burke, Eric A. Hoffmann, Sofia Fahlvik, Claes Thelander, Martin Leijnse, Heiner Linke: A quantum-dot heat engine operated close to thermodynamic efficiency limits. arXiv:1710.00742 (to appear in Nature Nanotechnology (2018)
[4] Limpert, S. Bremner, S. & Linke, H. Reversible electron–hole separation in a hot carrier solar cell. New J. Phys. 17, 095004 (2015).
[5] Limpert, S., Burke, A., Chen, I.-J., Anttu, N., Lehmann, S., Fahlvik, S., et al. (2017). Single-nanowire, low-bandgap hot carrier solar cells with tunable open-circuit voltage. Nanotechnology, 28(43), 434001
4:00 PM - NM03.09.02
Transport Phenomena and Thermoelectric Properties in Modulation-Doped GaAs/AlGaAs Core-Shell Nanowires
Sergej Fust1,Jonathan Becker1,Damon Carrad1,Dominik Irber1,Jakob Seidl1,Anton Faustmann1,Bernhard Loitsch1,Gerhard Abstreiter1,Jonathan Finley1,Gregor Koblmueller1
Technische Universität München1
Show AbstractGenerating electricity from waste heat has the potential to have a noticeable impact on our ecological footprint, provided the conversion efficiency is high enough. Semiconductor nanowires (NW) are central to this effort since electrical and thermal effects can theoretically be decoupled in 1D systems. By pushing the system into the ballistic transport regime, a simultaneously high electrical conductivity and Seebeck coefficient can be achieved, while surface phonon scattering ensures a low thermal conductivity. However, realizing ballistic transport in nanowires is problematic since the large surface-to-volume ratio responsible for an increased phonon scattering also usually increases electron scattering and prevents ballistic 1D transport. This encourages the development of completely new approaches for designing efficient nanowire thermoelectrics.
A suitable platform for circumventing this problem is presented in this work, employing radial modulation-doped core-shell NWs. Our studies are based on Si-delta doped high-mobility GaAs/AlGaAs core-shell NW heterostructures, which hold the potential for both high-performance steep-slope NW-field effect transistors (NWFET) [1] and for in-depth investigations of low-temperature quantum transport [2]. Top-gated NW-FETs were used to study the quantum transport characteristics at low temperature (4-7 K) on a set of core-shell NWs with different GaAs core diameter. During pinch-off we observe clear plateau-like signatures, consistent with the depopulation of quasi-1D subbands as confirmed by correlated simulations for the range of core diameters investigated [2]. Subsequent Seebeck effect measurements show distinct spikes in the Seebeck voltage as a function of applied gate voltage and different heater powers which correspond to the 1D-like plateaus in conductivity [3]. Furthermore, thermal conductivity measurements were carried out on suspended NWs using Raman spectroscopy [4], to probe the effect of the surrounding AlGaAs barrier on phonon scattering and reductions in the thermal conductivity of GaAs NWs at room temperature. We found that Si delta-doped GaAs/AlGaAs core-shell NWs exhibit reduced thermal conductivity with respect to their uncapped pure GaAs NW counterpart, being in the range between 7 and 12 W/(m K)[3]. Ongoing experiments aim to extract systematically the Seebeck coefficient to ultimately provide quantitative results for the figure of merit ZT.
References
[1] S. Morkötter, et al., Nano Lett. 15 (5), 32953302 (2015)
[2] D. Irber, et al., Nano Lett. 17, 4886-4893 (2017)
[3] S. Fust, et al., in preparation (2018)
[4] M. Soini, et al., Appl. Phys. Lett. 97, 263107 (2010)
4:15 PM - NM03.09.03
Flexible Nanowire LED—Optimization of White Light Based on Phosphor Down Conversion
Nuño Amador Méndez1,Nan Guan1,Dai Xing1,Hezhi Zhang1,Akanksha Kapoor2,Catherine Bougerol3,Lorenzo Mancini1,Martin Foldyna4,Subrata Das5,Sudipta Som6,François Julien1,Joel Eymery2,Christophe Durand2,Maria Tchernycheva1
Center of Nanoscience and Nanotechnology1,Université Grenoble Alpes CEA2,Université Grenoble Alpes CNRS3,LIPCM-CNRS4,National Institute for Interdisciplinary Science and Technology5,National Taiwan University6
Show AbstractFlexible light sources and displays are key emerging technologies highlighted in the Photonics 2020 roadmap. Today, this market is dominated by organic semiconductors. Despite their low cost, the organic devices cannot compete with inorganic devices in terms of lifetime and efficiency. Still the mechanical rigidity of the inorganic semiconductor thin films limits their application in the areas where bended surfaces or flexible devices are required. To make them flexible, the emitter size should be reduced.
Semiconductor nanowires attract a strong attention for fabricating LEDs with new functionalities. The high material quality of the nanowires results in advantageous optical and electrical properties. In addition, they have a small footprint and are mechanically flexible. Therefore, semiconductor nanowires are excellent candidates for optoelectronic applications requiring high efficiency and mechanical flexibility.
In this presentation, we report the fabrication and characterization of flexible white nanowire LEDs [1]. The devices rely on the blue electroluminescence from the InGaN/GaN nanowires, which is downconverted by micro-sized phosphors in a PDMS layer.
The nanowires are grown by MOVPE technique without catalyst on c-sapphire substrates. Seven core/shell InGaN/GaN quantum wells are radially overgrown around the n-doped GaN stems and covered with a p-doped GaN shell. To process flexible LEDs, semiconductor nanowires are encapsulated into a PDMS, mechanically peeled-off from their growth substrate and the resulting membrane is contacted with a flexible transparent silver nanowire mesh. A PDMS cap doped with micro-phosphors is added on top of the nanowire LED. To optimize the quality of the white light (namely, the chromaticity coordinates, the CCT and CRI), different phosphors were investigated. Namely, orange-emitting Sr1.95Eu0.04Tb0.01Si5N8 (1 wt% Sr3N2) coated by 2.5 wt% Mg(OH)2 and yellow Y2.95Ce0.05Al5O12 (1wt% BaF2) phosphors were used and different thicknesses of the capping layer were analyzed. The resulting electroluminescence of the flexible devices was recorded under different injection current. The pumping blue nanowire LED wavelengths was also changed from λ≈430 to λ≈460 nm by adjusting the In content in the quantum wells.
The chromaticity coordinates of the white LED previously reported [1] were x=0.3011 and y=0.4688 with a CCT and CRI of 6306 K and 54, respectively. Increasing the mass aspect ratio of micro-phosphors/PDMS of the capping layer we reached a CRI value of 91. An overall improvement of the white light quality, is achieved by optimizing the pump wavelength and the type of micro-phosphors. The best-achieved values were located in x=0.3028 and y=0.3577 with a CCT and CRI of 6629 K and 88, respectively.
4:30 PM - NM03.09.04
Surface Passivation Study of Single p-i-n Doped InP Nanowire Solar Cells Using EBIC
Lukas Hrachowina1,Magnus Borgstrom1
Lund University1
Show AbstractPhotovoltaics enable the direct conversion of solar energy to electricity and thus play an important role in sustainable energy production. Nanowires have several superior properties compared to their bulk material and with a specific pattern of a nanowire array it is possible to reach similar efficiencies like thin films by using only a fraction of the material. In order to utilise the potential of nanowire solar cells, electron-beam-induced current (EBIC) has been used to characterise as-grown wires. However, it has been shown that due to the high surface-to-volume ratio the surface passivation of InP nanowires plays a crucial role, even though the surface recombination velocity of native InP is quite low.
In this study, as-grown p-i-n doped single InP nanowire solar cells are compared with nanowires passivated by a selection of atomic layer deposited oxides in a nanoprobe system inside a SEM. In this way, key parameters for solar cells can be measured without the need to process samples into functional solar cells. Furthermore, EBIC is used to optimise the junction in the nanowires for immediate feedback on the carrier generation. The gathered information will extend knowledge about InP surface passivation and help to manufacture InP nanowire solar cells with higher efficiencies.
4:45 PM - NM03.09.05
Global Optimization of GaAs Nanowire Solar Cells on Silicon
Jacob Krich1,Kyle Robertson1,Ray LaPierre2
University of Ottawa1,McMaster University2
Show AbstractThe efficiency of nanowire solar cells is particularly sensitive to device parameters including the nanowire height, diameter, doping levels, and passivation, requiring careful optimization to enable the highest efficiencies. We present a coupled opto-electronic model of an array of passivated axial-junction GaAs nanowire solar cells on silicon substrates. For the optical model we utilize a modified formulation of rigorous coupled wave analysis (RCWA), which we call the continuous variable formulation (CVF). The CVF is designed to reduce the Gibbs phenomenon and yield more accurate local fields relative to conventional RCWA implementations. From the results of the optical model, we extract carrier generation rates, which are fed into the industry-standard self-consistent coupled Poisson and drift-diffusion solver, Synopsys Sentaurus. We use this combined wave-optics and electrical model to perform fully automated, global optimization of device parameters. We show the efficiency gains that are possible by optimizing the nanowire diameter, array period, emitter and intrinsic region thicknesses, and doping concentrations.
NM03.10: Poster Session II: Nanowires
Session Chairs
Kimberly Dick Thelander
Michael A. Filler
Wednesday AM, November 28, 2018
Hynes, Level 1, Hall B
8:00 PM - NM03.10.01
Silicon Nanofibers Formed at Room Temperature Following Laser Irradiation of Silicon in SF6
Jeffrey Warrender1,Quentin Hudspeth1,Philippe Chow1,Stephen Bartolucci1,Austin Akey2,Joshua Maurer1
U.S. Army ARDEC - Benet Laboratories1,Harvard University2
Show AbstractSilicon nanowires and fibers are conventionally prepared through chemical vapor deposition growth off of a metal catalyst at elevated substrate temperature. Such structures are especially of interest for lithium ion battery anodes and may also be useful for chemical sensing, in both cases due to their very high surface area. In this presentation we report on the fabrication of nanoscale silicon fibers grown under highly unusual conditions. We find that, following nanosecond laser ablation of a silicon surface in SF6 gas, an extended soak in the chamber for several months at room temperature results in the formation of a dense “spaghetti”-like network of silicon nanofibers all over the exposed surface of the wafer, including outside of the laser-irradiated areas. These fibers contain oxygen and fluorine, and show evidence of aluminum-containing spherical particles at their tips. Removal of the wafer shortly after irradiation shows no evidence of fibers, indicating that the structures are not formed during the laser irradiation. Moreover, other specific conditions must be met: the experiment must be performed in an aluminum chamber, the specimen must sit in the same environment the entire time, and the total irradiation must be sufficiently long. We posit a mechanism whereby the laser ablation forms a long-lived radical containing silicon and fluorine, which etches aluminum from the chamber walls. This then deposits on the silicon surface and acts as a catalyst for nanowire growth. We report on experimental support for this model obtained from gas-phase measurements at several post-ablation intervals.
8:00 PM - NM03.10.02
Highly Stable Copper Nanowire Network Transparent Electrodes
Husnu Unalan1,Sevim Polat Genlik1,Dogancan Tigan1,Sahin Coskun1,Kerem Ercan2,Yusuf Kocak2,Emrah Ozensoy2
Middle East Technical University1,Bilkent University2
Show AbstractSilver nanowires are the first to commercialize and the most widely studied nanowire type among all scalably synthesized metal nanowires. Copper nanowires (Cu NWs), on the other hand, are not far behind the silver nanowires and hold great promise due to their lower cost and comparable electrical conductivity in the network form. Oxidation is the biggest barrier in front of the large scale utilization of Cu NWs. Bulk copper itself is also susceptible to oxidation and several methods have been proposed for its protection. Inspired from bulk copper, in this work, we utilized benzotriazole (BTA) as an organic corrosion inhibitor to improve the stability of Cu NWs. High aspect ratio Cu NWs are synthesized by an environmentally benign hydrothermal method and highly transparent and conducting Cu NW networks (20 ohm/sq sheet resistance at a transmittance of 88%) are fabricated through spray deposition. Following the deposition of Cu NW networks, they are spin coated with a solution of BTA for passivation. Long term stability of the passivated electrodes under ambient and severe humidity conditions are systematically investigated and compared to that of bare control samples. It is found that BTA inhibits the formation of an oxide layer on Cu NWs for at least one year of storage under ambient conditions. In addition, no significant change in sheet resistance of passivated Cu NW networks is observed (R/R0 < 1.1). High humidity conditions accelerated the degradation of bare Cu NW network electrodes and they become insulating after 2 days under 70% RH condition, while they become insulating after a day under 90% RH. On the other hand, initial sheet resistance of BTA protected samples is maintained up to 10 days under 70% RH condition, while it is maintained for 7 days under 90% RH. Moreover, attenuated total reflectance infrared spectroscopy (ATR-IR) is used to monitor the thermal stability of BTA for the protection of Cu NW networks and BTA is found to be thermally stable up to 125 °C. All in all, this highly effective and simple strategy to improve the stability of Cu NW networks will certainly open new avenues for their large scale utilization in various optoelectronic devices.
8:00 PM - NM03.10.03
Improvement in Uniformity of Type-II p-GaAsSb/n-InAs Nanowires on GaAs Substrates by the Insertion of GaAs Segments in Position-Controlled VLS Method
Kenichi Kawaguchi1,2,Tsuyoshi Takahashi1,2,Naoya Okamoto1,2,Masaru Sato1,2,Michihiko Suhara3
Fujitsu Limited1,Fujitsu Laboratories Ltd.2,Tokyo Metropolitan University3
Show AbstractType-II GaAsSb/InAs heterostucture nanowires (NWs) are attractive as a component of next-generation electronics such as efficient tunnel transistors [1] and high-sensitivity diodes [2]. We have shown that such hetero NWs can be grown on GaAs(111)B substrates using position-controlled vapor-liquid-solid (VLS) growth method that have a good compatibility with device process [3]. However, it was found that the patterned substrate conditions affected the uniformity of the NWs, due to the fact that InAs NWs and GaAs substrate are a lattice-mismatched material combination. In this work, therefore, we investigated the insertion of GaAs NW segments aiming at improving the uniformity of type-II GaAsSb/InAs NWs.
SiN-mask-patterned GaAs(111)B substrates with Au catalysts were used as growth substrates. The diameter of Au catalysts was varied from 40 to 80 nm, and pattern pitch was varied from 250 to 1000 nm. NW growth was performed using MOVPE.
In conventional InAs NWs grown directly on patterned GaAs substrate at 430°C, some growth sites did not have vertical NW but had anomalous growth. And, the number of such sites increased with the increasing diameter of Au catalysts. This is considered to be due to the fact that the increased strain energy defined by the area of InAs/GaAs interface prevented crystallization in VLS mode growth. In such a circumstance, anomalous nucleation seemed to easily occur at the edge of SiN masks.
n-GaAs segments were inserted before the growth of n-InAs segments, using the same growth temperature of 430°C. The yield of vertical NWs drastically improved for all pattern conditions. The diameter of GaAs segment were more than 200 nm, while that of InAs segment was well controlled by Au catalysts. Therefore, the shape of InAs/GaAs NWs was like a bottle. The larger diameter of GaAs segments comes from a short migration length of Ga atoms at 430°C. As the growth temperature of InAs/GaAs NWs was increased from 430°C to 490°C, the diameter of GaAs segments decreased, and the length of InAs NWs increased, while keeping the uniformity of NWs.
Type-II p-GaAsSb/n-InAs heterostructure NWs were grown by using InAs/GaAs NWs with high uniformity. p-GaAsSb segments were grown at 520°C. The uniformity of NWs was maintained after the growth of p-GaAsSb segments, and well-organized, 2-dimentional arrays of hetero NWs were obtained for the all pattern conditions. Conductive properties of NWs were characterized using scanning capacitive microscopy. The formation of p-n junctions at the GaAsSb/InAs interface was clearly observed.
To summarize, we successfully fabricated type-II p-GaAsSb/n-InAs NWs with high uniformity. These results are promising to develop high-performance NW devices. This work was supported by JST CREST Grant Number JPMJCR16Q3, Japan.
References
[1] E. Memisevic et al., IEDM Tech. Dig., pp. 1-4 (2016).
[2] T. Takahashi et al., Compound Semiconductor Week 2018, Fr2B7 (2018).
[3] K. Kawaguchi et al., Appl. Phys. Express 11, 025001 (2018).
8:00 PM - NM03.10.04
Ultralight Gold Nanowire Aerogels
Fang Qian1,Alyssa Troksa1,Tyler Fears1,Tom Braun1,Michael Nielsen1,Sergei Kucheyev1,Theodore Baumann1,T. Yong Han1,Michael Bagge-Hansen1
Lawrence Livermore National Laboratory1
Show AbstractWe report the first ultralight gold aerogel monoliths with tunable densities down to single-digit mg/cc. Free-standing aerogels are fabricated by freeze-casting of gold nanowire suspensions to produce gold aerogels with densities between 6 to 40 mg/cc. Electron microscope studies revealed that the aerogels consist of interconnected, high-aspect ratio gold nanowires, with diameters of ~5 nm, forming a robust percolated network. Energy-dispersive X-ray spectroscopy together with X-ray photoelectron spectroscopy confirm that the aerogels are comprised of high-purity gold. We further investigated the effect of altering the initial solvent composition on the resulting pore structures of gold aerogels, as exemplified by aqueous and water/tert-butanol solutions. The results show an abrupt transition from hierarchical porosity across different length scales to a uniform, nanosized pore geometry. We also measured distinct mechanical properties between the two structures. Compared to previous methods of gold foam fabrication, our method does not involve the use of foreign organic scaffolds or metal alloys to achieve structural robustness, and therefore enables potential applications where high-purity, ultralight gold materials are required such as catalysis, electrode materials and sensors. This work was performed under the auspices of the U.S. Department of Energy Lawrence Livermore National Laboratory under Contract DE-AC52-07NA27344.
8:00 PM - NM03.10.05
The Effect of Misfit Strain on Phase Transition Behaviors and Electron Transport Properties in Vanadium Dioxide Low-Dimensional Structures
Yanqing Zhang1,Weiming Xiong1,Yue Zheng1
Sun Yat-sen University1
Show AbstractVanadium dioxide (VO2), as a typical metal-insulator transition (MIT) material, exhibits a transition from insulating (M1, M2 and T) to metallic (R) phase. The transition accompanied by the great changes in conductance, optical transmittance and mechanical properties, which make it has potential applications in electrical and optical switches, etc. When the structure of VO2 reduces into low dimension, (e.g., nanowire (NW), nanobelt (NB), nanodot (ND), etc.), some novel physical properties different from its bulk material could be observed because of the size and surface/interface effects. However, the MIT behavior and electron transport properties of VO2 low-dimensional structures (LDSs), especially the LDSs grown on the substrate and affected by the mismatch strain, are still lack and should have further investigations.
In this work, abundant VO2 LDSs (i.e., zero-dimensional NDs, one-dimensional NWs, NBs and two-dimensional nanoplatelets (NPs)) were fabricated by pulsed laser deposition. The effects of each deposition factor, i.e., substrates, deposition temperature, oxygen pressure, pulse number and annealing process, on the growth of VO2 LDSs were systematically investigated. The results demonstrate that the NWs and NBs favor to grow on <0001> Al2O3 and <001> SiO2 substrates, respectively. By adjusting the oxygen pressure, the length/diameter ratio of NW can be conveniently controlled. Interestingly, vertically-grown NWs and NPs were also fabricated by controlling the pulse number and annealing process. High resolution transmission electron microscopy images and selected area electron diffraction patterns confirm the high crystallinity of the NW, vertically-grown NW and NP. Importantly, the MIT behaviors and electron transport characteristics were studied by temperature-dependent X-ray diffraction and current-voltage measurement, respectively. The results clearly reveal that the properties of VO2 LDSs are strongly correlated to the mismatch strain between LDSs and substrate lattice. Under the strong effect of substrate, LDS (i.e., NW) transforms from an insulating T phase to another insulating M2 phase without the coexistence of these two phases. Under the relative weaker effect of substrate, two insulating (i.e., M1 and M2) phases could coexist in LDSs (i.e., NB, vertically-grown NW and NP) during the phase transition process, and the transition temperature could also be affected. Intriguingly, the discrepant electron transport properties corresponded to the MIT behaviors were also observed in NW and NB. The results show that the M1 and M2 phases compete with each other in the NB during the phase transition process owing to the interaction of VO2 and substrate lattice.
This work provides a simple and controllable technique for fabricating VO2 LDSs, and an efficient method for controlling the transition temperature and electron transport properties through the morphology of VO2 as well as the misfit strain from the substrate.
8:00 PM - NM03.10.06
Understanding the Composition of Ternary III-V Nanowires Forming from Quaternary Au-Based Liquid Melts
Jonas Johansson1,Egor Leshchenko1,Masoomeh Ghasemi1,2,Vladimir Dubrovskii3
Lund University1,Persian Gulf University2,ITMO University3
Show AbstractSemiconductor nanowires are a promising class of nanoscale objects whose properties are dramatically different from the bulk counterparts. Thanks to their unique properties, nanowires hold great promise for nanotechnology with many nanoelectronic, optoelectronic and energy harvesting applications. Such versatility is explained by the possibility of modulating nanowire physical properties by tuning their structure, size, morphology, and chemical composition [1]. The majority of research on nanowire growth technology is devoted to binary systems, especially to GaAs nanowires, while ternary nanowires are less studied. To fully realize the NW potential prospects and expand their functionality, the construction of more complex materials with precise composition control is required. So, the ability to control the composition of ternary nanowires enables almost unlimited bandgap engineering. However, it is near to impossible to control the composition of ternary nanowires without understanding of underlying growth mechanisms and modeling.
In this perspective, we present an analytical approach for understanding and tuning the composition of a ternary solid material nucleating from a quaternary liquid melt. The calculations are based on the two-component nucleation theory with realistic descriptions of all the considered phases. We apply this theory to nucleation-limited regime of the vapor-liquid-solid Au-catalyzed and self-catalyzed growth. Within the model, the solid composition is obtained as a function of the liquid composition for the In-Ga-As-Au, Al-Ga-As-Au, In-Ga-Sb-Au and In-Sb-As-Au systems. The results show that the ternary nanowire composition can be controlled over a wide range by modulating the composition of the catalyst droplet and temperature except for systems with high pseudobinary interaction parameters. For such systems (InxGa1-xSb and InxGa1-xAs), formation of ternary NWs is thermodynamically forbidden in a wide range of solid compositions at relevant temperatures due to the miscibility gaps.
The current contribution presents an important step for the fundamental understanding of the ternary NW formation. However, a direct comparison between the obtained results and experimental data is seriously hampered because of unknown liquid composition during the growth. That is why we developed a simple kinetic model which gives a relationship between the vapor and liquid phases. Combination of this kinetic vapor-liquid model with the thermodynamic liquid-solid model allows us to skip the liquid phase and model growth of ternary nanowires using available experimental data such as the flux ratios and the nanowire composition.
References
[1] E.D. Leshchenko, M. Ghasemi, V.G. Dubrovskii, J. Johansson, CrystEngComm 20 (2018) 1649-1655
Acknowledgements
EDL and JJ gratefully acknowledge financial support from the European Union’s Horizon 2020 research and innovation program under the Marie Sklodowska-Curie grant 722176 (project acronym INDEED).
8:00 PM - NM03.10.07
In-Situ Epitaxial Semiconductor-Superconductor Hybrid Nanowire Networks Grown by Molecular Beam Epitaxy
Sabbir Khan1,Joachim E. Sestoft1,Filip Krizek1,Yu Liu1,Tomaš Stankevič1,Peter Krogstrup1
Niels Bohr Institute, University of Copenhagen1
Show AbstractIII-V semiconductor nanowire networks are promising candidates for high speed electronics and quantum applications. In this study, we investigate a suitable platform for epitaxial growth of scalable semiconductor nanowire networks. We consider two possible directions for nanowire networking, firstly, bottom up free-standing nanowire networks grown via the vapor-liquid-solid (VLS) method1 and secondly, selective area grown (SAG) nanowire networks from lithography defined patterns in dielectric masks2. For InAs/InSb VLS nanowire networks, we engineer an InAs (100) substrate by making (111) B faceted trenches or (111) B faceted SAG and later use this (111) B faceted platform for growing VLS nanowire networks with high yield and scalability using molecular beam epitaxy (MBE). We also show SAG nanowire junctions with different directions and structures, in a lithography defined windows, which is certainly another promising route for scalability. Both directions are appropriate platforms for quantum transport measurements using in-situ epitaxial growth of superconductors (aluminum in our case) on the semiconductor nanowires.
References
1 S. Gazibegovic et al, Nature 548, (2017).
2 F. Krizek et al, arXiv:1802.07808, preprint (2018).
Acknowledgement
The project was supported by the European Union Horizon 2020 research and innovation program under the MSCA grant agreement No 722176, Microsoft Station Q, the European Research Council (ERC) under the grant agreement No.716655 (HEMs-DAM ), and the Microsoft Quantum project.
8:00 PM - NM03.10.08
Synthesis and Characterization of NiO/SnO2 Heterostructures
David Maestre1,María Taeño1,Ana Cremades1
Facultad de CC. Físicas, Universidad Complutense de Madrid1
Show AbstractCombining nano- and microstructures of different semiconducting oxides in order to synergize their properties is gaining increasing attention in recent years [1-3]. In particular, fabrication of p-n heterojunctions in 1-dimensional structures has been attested as one of the most promising strategies to develop optoelectronic devices with enhanced applicability in photocatalysis and solar cells, the fabrication of which is still a challenging task in material science. Different approaches have been used in the fabrication of heterostructures, usually involving subsequent growth stages based in the bottom-up paradigm. In this work, NiO/SnO2 heterostructures have been fabricated in a single step following a catalysis free vapor-solid process which avoids the use of catalyst or external substrates. Individual SnO2 and NiO micro- and nanostructures have been also fabricated as a reference. SnO2 is a well-known n-type material with demonstrated applications in gas sensing, catalysis or optoelectronics. On the other hand, NiO is one of the very few p-type wide bandgap semiconducting oxides with potential applicability in electrochromic devices, sensors, magnetic devices, batteries, catalysis and supercapacitors. NiO/SnO2 heterostructures can overcome the limitations of the original binary oxides and broadened their applicability, which motivates this study. In the vapor-solid process used in this work, a controlled mix of metallic Sn and Ni pressed into a pellet is used as precursor, and thermal treatments were performed at 800°C during 10 hours under a controlled Ar flow. Following this method, a large concentration of nanowires, some microns length, grow on the surface of the treated pellet. X-ray diffraction (XRD) and Raman spectroscopy, in combination with energy dispersive x-ray spectroscopy (EDS) and scanning electron microscopy (SEM) confirm the presence of rutile SnO2 nanowires grown on NiO micrograins with cubic rock-salt strucuture. The luminescence of these heterostructures is dominated by the characteristic emission of SnO2, which consists of bands at 1.94 and 2.25 eV, related to the presence of oxygen vacancies, and a band at 2.58 eV associated with surface defects. NiO presents emissions at 1.45 eV and a complex emission at 2.45 eV due to Ni vacancies. Variation of the precursors, mixture ratio and parameters of the thermal treatment lead to changes in the growth of the heterostructures.
[1] G. Sánchez-Criado, J. Segura-Ruiz, M.H. Chu, R. Tucoulou, I. López, E. Nogales, B. Méndez, and J. Piqueras. Nano Lett. 14, 5479-5487 (2014)
[2] M. Wang, J. Han, Y. Hu, R. Guo, Y. Yin. Appl. Mat. & Interf. 8, 29511-29521 (2016)
[3] C. Bueno, D. Maestre, T. Díaz, M. Pacio, A. Cremades. Materials Lett. 220, 156-160 (2018)
8:00 PM - NM03.10.09
Study of Medium Influence in Solvothermal Synthesis of BiSI Nanorods and the Challenges in Their Application in Ionising Radiation Detection
Maia Mombrú Frutos1,Ivana Aguiar1,Maria Perez Barthaburu2,Laura Fornaro2
Universidad de la Republica1,Universidad de la República2
Show AbstractBiSI is a ternary semiconductor which can be employed in X and gamma ray detection at room temperature due to the following properties: band gap of 1.57eV, density of 6.4g/cm3, and absorption coefficient for 60keV radiation of 5.6cm2/g. This work deals with the synthesis mechanism of BiSI nanorods by the solvothermal method, followed by the study of the fabrication conditions to obtain BiSI pellets, and finally it determines the response to ionizing radiation of prototype detectors built with the pellets. The solvothermal synthesis uses Bi2S3 and I2 as precursors, 180°C temperature, and 20 h reaction time. The media used was either H2O or ethylene glycol (EG). The pellets were fabricated using the synthesized BiSI, varying the applied pressure and heat treatment (HT) conditions. Prototype detectors were built with the pellets by evaporating Au as contacts and attaching Pt wires with Aquadag and encapsulating them with acrylic. X-ray diffraction was used to identify the products phase and study the preferred orientation of the nanorods in the pellets. The morphology and size of the BiSI nanorods were studied under a transmission electron microscope, while the micro structural properties of the pellets were studied by scanning electron microscopy. For the detectors the dark current density (jo) and response to different doses of a 241Am source were studied with j-V curves with forward biasing. The electrical properties were related to the microstructure of the pellets. The solvothermal method yielded pure crystalline BiSI when EG was the medium, while with H2O remnant Bi2S3 was found. The decreasing of reaction times proved that Bi2S3 is not dissolved either in EG nor H2O, indicating that Bi2S3 works as a self-sacrificing template in the formation of BiSI. Furthermore, the Bi2S3 employed has a nanorod morphology, and in both cases BiSI grew in nanorod shape, although for BiSI with EG, amorphous carbon particles were also observed. The pressure seems to induce a preferred orientation of the nanorods within the pellet, but no difference was observed between the fabrication conditions. Alternatively, HT of pellets produced a change in morphology only for BiSI with EG. The jo measured for BiSI with H2O was in the order of mA, and no further characterizations were made, for these detectors were not suitable for room-temperature detection. For BiSI with EG, the jo of the HT pellet was one order of magnitude lower than for the pellets without it, and its response to the 241Am source, linear, with a signal to noise ratio of 7 for 20V. Finally, resistivities were calculated, and the best value obtained was in the order of 1011Ω-cm for HT sample. While carbon particles appeared to be a disadvantage for detector performance, they lowered jo, allowing for ionizing radiaton detection at room temperature. Further work is to be conducted to orient nanorods parallel to the electric field, which would improve charge carrier transport and hence detector performance.
8:00 PM - NM03.10.10
Axially Modulation of Metal-Insulator Phase Transition of VO2 Nanowires by Graded Doping Engineering for Optically Readable Thermometers
Pai Geng1,Dejun Kong1,Pengcheng Chen1,Run Shi1,Chun Cheng1
Southern University of Science and Technology1
Show AbstractTemperature measurement is essential to a variety of scientific experiments and technological application. Quantities of thermometers which were used for thermal sensing at macroscopic length scales have been developed and produced. However, it's still challenging to do the in situ and quantitative temperature measurement of nanoscale objects with a convenient and simple approach. In this work, we demonstrate a new type of optically-readable VO2 nanowire-based thermometer. By changing the conditions of reaction, the hydrothermal synthesis of intrinsic H-doping VO2 (M) nanowires has been achieved. During the hydrothermal reactions, the concentration of hydrogen doping can be adjusted by changing the concentration of reductive agent and filling ratio. After annealing treatment, the dopants or vacancies in as-grown hydric VO2 nanowires can be redistributed or eliminated. Because of the hydrogen doping through hydrothermal fabrication and the hydrogen engineering via a post-annealing process, the single-domain VO2 nanowires obtains a unique axially-gradient phase transition behavior, which makes the advanced thermometer possible. The optically-readable VO2 nanowire-based thermometer is user-friendly and appropriate to microscopic size. What's more, it also has ultra-high relative sensitivity (≈17.4% K) and temperature resolution(≈0.026K) via optical microscope. It can even achieve an extremely high resolution of (≈10-5K) when combining with transmission electron microscope (TEM). The advanced thermometer we demonstrated enables the sensitive monitoring of the thermal environment of small space or the temperature of even nanoscale structure which greatly facilitates the nanoscale scientific experiments and technological application.
References
(1)Guo, H.; Khan, M. I.; Cheng, C.; Fan, W.; Dames, C.; Wu, J.; Minor, A. M. Vanadium Dioxide Nanowire-Based Microthermometer for Quantitative Evaluation of Electron Beam Heating. Nat. Commun. 2014, 5.
8:00 PM - NM03.10.11
Hydrothermal Synthesis of Highly Ordered Monoclinic Phase VO2 Nanowire Array and Research of Microactuator Application
Dejun Kong1,Pengcheng Chen1,Pai Geng1,Run Shi1,Chun Cheng1
Southern University of Science and Technology1
Show AbstractSince 1975, the vanadium dioxide has gradually attracted intensive attention for its special metal-insulator transition (MIT), which is followed by amazing changes in electrical, optical and mechanical properties. Based on the change of volume along the structure, Armando Rua in 2010 used polycrystalline thin film of VO2 and Si to produce the double-layer chip, which will occur significant bending when it reaches the phase transition temperature [1]. Besides, Wu also carried out outstanding works based on VO2 bimorph micro-actuator in recent years, like micro-actuator with large amplitude and high power density [2]. These results show that the double-layer chip has a good prospect on the micro-actuator with large displacement, high speed, and large strength, revealing the potential applications on the micro flow valve, micromanipulator, and shape memory structure. However, the application and development of VO2 micro-actuator encountered some obstacles. Polycrystalline films due to grain boundaries, strain-stress and composition ratio will lead to unstable performance of the micro-actuator and the difficulty to further improve it [3]. In comparison, super-aligned VO2 nanowires can effectively improve that [4]. While the current high temperature thermal evaporation method has many disadvantages, such as high power consumption, low yield, and not easy transfer of products from the substrate. To overcome these problems, we propose an approach that using V2O5-H2C2O5 aqueous system to produce the precursor solution under the hydrothermal reaction. Then a layer of antimony is deposited on the smooth surface of quartz substrate by PVD method, next the substrate is inverted into the precursor solution to make the nanowire array growing. In a conclusion, this method could make a large-scale preparation of super-aligned VO2 nanowire arrays. The average length of the array is about 30 μm with 100 nm of diameter, and the density is very large with very high purity. It also solves the transfer problem due to the array growing on the smooth surface, then we use the special polymer to take off the array from the substrate to make films with consistent orientation. Thereby, we could assemble an actuator with the films to do further research.
Reference:
[1] Morin, F., Oxides Which Show a Metal-to-Insulator Transition at the Neel Temperature. Physical Review Letters 1959, 3 (1), 34-36.
[2] Liu, K.; Cheng, C.; Cheng, Z.; Wang, K.; Ramesh, R.; Wu, J., Giant-amplitude, high-work density microactuators with phase transition activated nanolayer bimorphs. Nano letters 2012, 12 (12), 6302-8.
[3] Cao, J.; Wu, J., Strain effects in low-dimensional transition metal oxides. Materials Science and Engineering: R: Reports 2011, 71 (2-4), 35-52.
[4] Kevin, W.; Chun, C.; Edy, C.; Guan, J.; Liu, K.; Wu, J., <Performance Limits of Microactuation with vanadium dioxide as a solid engine.pdf>. Acs Nano 2013, 7 (3), 17.
8:00 PM - NM03.10.14
Homoepitaxial Growth of GaN Nanorods via Irregular Masks
Chang Hsun Huang1,Wei I Lee1,Yi-Chia Chou1
National Chiao Tung University1
Show AbstractGallium nitride (GaN) is widely explored for applications in optoelectronics and high-power electronic devices [1]. For GaN nanostructures, the high-aspect-ratio characteristic combined with quantum confinement reveal the feasibility for light-emitting diodes and lasers to control light extraction with tunable bandgap [2]. Among several nanostructures of GaN, the rods of it grown without metal catalyst have shown strain relaxation and are more suitable for nanofabrication process without metal contamination. Moreover, GaN nanorods (NRs) with c-orientation provide non-polar and semi-polar facets for reducing the quantum-confined Stark effect (QCSE) and thus increase the emission efficiency and intensity of optical devices [3].
In this work, we propose an uncomplex and low cost approach using an irregular mask for GaN NR fabrication on GaN substrate via hydride vapor phase epitaxy (HVPE). The grown GaN NRs are isolated by uncoalesced SiO2 islands, served as irregular mask, and they grew along c-orientation with high aspect ratio. The maximum growth rate of GaN NRs can be achieved of ~1 µm/min. Furthermore, we demonstrate the growth mechanism of GaN NRs under various growth parameters. In addition, in-situ etching effect during the growth of GaN NRs is also discovered. The structural and optical properties of the GaN NRs will be demonstrated in the paper.
References
[1] S. Nakamura. "The roles of structural imperfections in InGaN-based blue light-emitting diodes and laser diodes." Science 1998, 281, 956-961.
[2] Y. H. Ra et al. "High-Quality Uniaxial InxGa1–xN/GaN Multiple Quantum Well Nanowires on Si(111) Grown by Metal-Organic Chemical Vapor Deposition and Light-Emitting Diode Fabrication" ACS Appl. Mater. Interfaces 2013, 5, 2111-2117.
[3] C. Y. Huang et al. "Collective Lasing Behavior of Monolithic GaN–InGaN Core–Shell Nanorod Lattice under Room Temperature " Nano Lett. 2017, 17, 6228-6234.
8:00 PM - NM03.10.15
Highly Luminescent Double-Heterojunction Nanorods
Gryphon Drake1,Moonsub Shim1
University of Illinois Urbana-Champaign1
Show AbstractColloidal semiconductor double-heterojunction nanorods are promising materials for electroluminescent applications due to their favorable band structure and enhanced light outcoupling efficiency compared to core/shell quantum dots. However, their photoluminescence quantum yield is less than half of the best core/shell structures. It has been shown previously that Zn-oleate used to grow the ZnSe shell on CdS-CdSe heterojunction nanorods also induces etching and regrowth of CdSe, in particular as multiple Cd-rich CdZnSe dots on the sides of the nanorod. Here, we show that this process can be controlled by varying the size of CdS-CdSe nanorod seed as well as the rate of ZnSe growth in competition with the etching process. We demonstrate significant improvements in ensemble emission linewidth and photoluminescence quantum yield while retaining the advantageous structure and functionality of double-heterojunction nanorods as emitting materials in light emitting diodes with low turn-on voltage and high brightness.
8:00 PM - NM03.10.16
Tunable Morphology and Doping of ZnO Nanowires by Chemical Bath Deposition Using Metal Nitrate
Estelle Appert1,Pierre Gaffuri1,2,Odette Chaix-Pluchery1,Laetitia Rapenne1,Mathieu Salaün2,Vincent Consonni1
Univ Grenoble Alpes, CNRS, Grenoble INP, LMGP1,Univ Grenoble Alpes, CNRS, Institut Néel2
Show AbstractOver the last decade, ZnO nanowires (NWs) have been used for a wide variety of optoelectronic devices, including UV photodetectors and solar cells. For all these applications, their electrical properties, such as their conductivity and mobility, should be controlled as much as possible. ZnO is intrinsically n-type owing to the high density of oxygen vacancies and hydrogen and can intentionally be n-doped, for example, by aluminium. The doping of ZnO NWs has however been mainly performed by vapor deposition techniques. In the present work, ZnO NWs are doped with different metals by using the low-cost, low-temperature, and easily implemented chemical bath deposition (CBD) technique. Metal nitrate is added in various concentrations to the standard precursors (i.e. zinc nitrate and HMTA [1]) in deionized water. It is shown by scanning and transmission electron microscopy (TEM) that this addition completely modifies the structural morphology of ZnO NWs. The formation mechanisms are thoroughly investigated and supported by thermodynamic simulations. The incorporation of metal dopants is further investigated by energy dispersive x-ray spectrometry using scanning TEM. In particular, temperature-dependent Raman spectroscopy measurements show the occurrence of additional modes, indicating the presence of the related dopants [2].
+ Author for correspondence: [email protected]
[1] R. Parize et al., J. Phys. Chem. C 120, 5242 (2016).
[2] C. Verrier et al., J. Phys. Chem. C, 121 (6), pp 3573–3583 (2017).
8:00 PM - NM03.10.17
Polarity-Dependent Selective Area Growth of ZnO Nanorods by Chemical Bath Deposition
Estelle Appert1,Thomas Cossuet1,Jean-Luc Thomassin2,Fabrice Donatini3,A. M. Lord4,Julien Pernot3,5,Vincent Consonni1
Univ Grenoble Alpes, CNRS, Grenoble INP, LMGP1,Univ Grenoble Alpes, CEA-Grenoble, INAC-PHELIQS-LATEQS2,Univ Grenoble Alpes, CNRS, Institut NEEL3,University of Swansea4,Institut Universitaire de France5
Show AbstractControlling the growth and properties of ZnO nanowires (NWs) is critical for their efficient integration into nanoscale engineering devices [1]. Owing to the nature of the wurtzite structure, ZnO exhibits a spontaneous polarization along the c-axis. The resulting polarity is known to affect the growth and properties of ZnO single crystals and epitaxial films [2], but the polarity-induced effects are mostly unknown in NWs. While ZnO NWs grown by vapor phase techniques are Zn-polar, ZnO NWs grown by chemical bath deposition (CBD) can be of either O- or Zn-polarity [3], which opens the way for more deeply investigating these effects. In this context, we thoroughly address the issue of the polarity-dependent growth and properties of ZnO NWs by CBD following the selective area growth approach [4]. To leave polarity as the only varying parameter, ZnO NWs are grown under identical conditions, during the same run of experiment on O- and Zn-polar ZnO single crystals patterned by electron beam lithography with the same pattern consisting of fifteen different domains combining a wide range of hole diameters and periods. Well-ordered O- and Zn-polar ZnO NW arrays with high structural uniformity are grown on all the domains. The comparison of their typical dimensions unambiguously reveals that Zn-polar ZnO NWs have significantly higher growth rates than O-polar ZnO NWs for all the fifteen domains [4]. The origin of the difference is discussed in the light of surface configurations and interactions in aqueous solution at the top polar c-faces of the ZnO NWs. Additional electrical characterizations of the NWs using four-point probe resistivity measurements are performed on single O- and Zn-polar ZnO NWs [5]. These findings show the relevance of considering polarity as an important quantity to control the growth and physical properties of ZnO NWs by CBD.
+ Author for correspondence: [email protected]
[1] J. Michallon et al., Nanotechnology 26, 75401 (2015)
[2] J. Zúñiga-Pérez et al., Appl. Phys. Rev. 3, 41303 (2016)
[3] V. Consonni et al., ACS Nano 8, 4761-4770 (2014)
[4] T. Cossuet et al., Langmuir 33, 6269-6279 (2017)
[5] T. Cossuet et al., submitted (2018)
This work was partly supported by the French RENATECH network through the CIME-Nanotech and PTA technological platforms in a cleanroom environment. Funding by the Carnot Institute Energies du Futur through the projects CLAPE and ECOLED is also acknowledged.
8:00 PM - NM03.10.18
Control of Droplet Dynamics During Crystallization of Self-Catalyzed GaP Nanowires
Anton Pishchagin1,Frank Glas1,Jean-Christophe Harmand1,Fabrice Oehler1,Andrea Cattoni1
Centre de Nanosciences et Nanotechnologies (C2N), CNRS, Université Paris-Sud, Université Paris-Saclay1
Show AbstractSemiconductor nanowires, with diameters of a few tens of nanometers and lengths up to several microns, are nowadays commonly fabricated from a large range of semiconductor materials. The remarkable physical properties of these objects open large prospects of original applications, some of which have already been demonstrated. However, their full potential will only be achieved if their physical properties can be precisely tailored. In addition to controlling the geometry, crystal structure and doping of the nanowires, it is often necessary to fabricate regular arrays of identical nanowires and to modulate properties locally to confer novel functionalities to each nanowire.
Novel functionalities could be obtained by achieving quantum confinement in nanowires. It is known that in GaAs quantum confinement occurs for a size below 25 nm. But due to several reasons, in particular, difficulties in obtaining very small group III droplets on the substrate, etc., fabricating very thin nanowires by the self-catalyzed vapor-liquid-solid technique is still a challenge.
One way of obtain a high yield of thin III-V nanowires is to interrupt and resume the growth during standard epitaxy process. This technique leads to fabricating thinner nanowires on the top on thicker ones. The dynamics of the droplet consumption and controlling droplet’s parameters during crystallization process play a crucial role in this technique.
In this work, we investigate the crystallization of Ga droplets on the top of self-catalyzed GaP nanowires. The nanowires were grown on patterned SiOx/Si(111) substrates. The substrates contain patterns with different pitches and hole size. After 2 minutes of Ga deposition without As flux and 20 minutes of nanowire growth at a nominal V/III ratio ~1.2 and temperature ~600°C, we have performed a crystallization step under various P and Ga fluxes. We find that the rate of droplet consumption depends on the local environment of each nanowire. In the patterns with small pitch (< 500 nm), where each nanowire is closely surrounded by other nanowires, the droplet is consumed faster than for patterns with bigger pitches (as well as droplet on nanowires at the border on the patterned area with small pitch).
We propose a simple model describing the evolution of droplet contact angle and radius during crystallization. This model can be used for predicting the geometry of the final crystallized droplet for given III-V fluxes and crystallization time.
These data are important for developing the strategy for growing thin nanowires with controlled diameter and length on the top on a thicker stem. The understanding of the processes occurring during crystallization should also allow us to fabricate diameter-modulated nanowires and axial compositional and crystal-phase heterostructures within.
This project has received funding from the European Union’s Horizon 2020 research and innovation programme under the Marie Sklodowska-Curie grant agreement No 722176.
8:00 PM - NM03.10.19
ALD Seed Layers Study for the Growth of Single Crystal Wurtzite ZnO Nanowires
Alejandro Galan1,Andrew Gallant1,Budhika Mendis1,Feras Alkhalil2,Dagou Zeze1,Del Atkinson1
Durham University1,PragmatIC Printing2
Show AbstractThis paper discusses the interaction and effect of different atomic layer deposition (ALD) parameters on the properties of a seed layer used to grow zinc oxide (ZnO) nanowires (NWs) by chemical bath deposition1 (CBD). Independent ALD2 thin film deposition parameters and their effects on the growth of ZnO NWs are available in the literature. However, to the best of our knowledge, there is little known about the combined influence of these parameters on the NW growth.
ZnO is a direct wide bandgap semiconductor (3.37 eV) with a high exciton binding energy3 (60 meV). It can be synthesized in many different one-dimensional morphologies4. ZnO NWs are one of the most attractive due to some of their intrinsic properties, e.g. their high surface area and aspect ratio. The combination of electrical properties and 1D morphology makes ZnO NWs a very promising material for a wide variety of applications such as field effect transistors, water treatment, sensors or solar cells.
ALD is a thin film deposition technique based on the stacking of deposited atomic or molecular layers from the precursors, which yields thin films (around 30 nm in this work) with conformal surface coverage and composition control. In ALD, different precursors (diethyl zinc and water in this case) are pulsed alternately under a constant flow of nitrogen gas with a purge time when only nitrogen flows. Each precursor chemisorbs to the previous layer of the film, forming atomic layers. Thus, an ALD cycle consists of the sequence of pulses and purges of the precursors. It is essential to have control over the different properties of the film such as composition, crystallite size, roughness or thickness as they have a direct effect on the properties of the as-grown NWs (orientation, size and crystallography). These properties are controlled by tuning the deposition parameters, e.g. temperature, number of cycles or the pulse and purge times.
The CBD process involves two chemicals, the zinc source (Zn(NO3)2*6H2O) and the reducing agent, hexamethylenetetramine. These reagents are dissolved in DI water before the substrate with the seed layer is submerged in the solution. Various characterization techniques such as scanning and transmission electron microscopy (SEM & TEM), x-ray diffraction (XRD), x-ray photon spectroscopy (XPS) and photoluminescence (PL) were utilized to investigate the properties of the NWs and to study the changes resulting from the thin film deposition parameters. This investigation thus demonstrates the controlled growth of single crystal wurtzite phase ZnO NWs using this low-cost process.
[1] Syrrokostas, G. Crystal Growth & Design 2016, 16 (4), 2140-2150.
[2] Kim, H.. Chemistry of Materials 2017, 29 (7), 2794-2802.
[3] Janotti, A. Reports on Progress in Physics 2009, 72 (12).
[4] Xu, S. Nano Research 2011, 4 (11), 1013-1098.
8:00 PM - NM03.10.20
Nanowire Network Based Multifunctional All-Optical Logic Gates
Vladislav Khayrudinov1,He Yang1,Veer Dhaka1,Hua Jiang1,Anton Autere1,Harri Lipsanen1,Zhipei Sun1,Henri Jussila1
Aalto University1
Show AbstractAll-optical nanoscale logic components are highly desired for various applications because light may enable logic functions to be performed extremely quickly without the generation of heat and crosstalk. All-optical computing at nanoscale is therefore a promising alternative but yet requires the development of a complete toolbox capable of various logic functionalities. Herein, we demonstrate nanoscale all-optical switches by exploiting the polarization dependent light emission property of crossbar InP and AlGaAs nanowire networks. These networks can perform various logic operations, such as AND, OR, NAND, and NOR binary logic functions. Furthermore, based on these logic operations, our networks successfully enable all-optical arithmetic binary calculations, such as n-bit addition. Our results underscore the promise of assembled semiconductor nanowire networks as a building block of on-chip all-optical logic components for future nanophotonics.
8:00 PM - NM03.10.21
Development of Hybrid Structures of Silver Nanowire Electrodes for the Electrodynamic Screen (EDS) Films to Mitigate Energy Yield Loss Incurred by Solar Collectors Due to Soiling
Annie Rabi Bernard1,Ryan Eriksen1,Malay Mazumder1
Boston University1
Show AbstractOver the last few years, silver nanowire (AgNW) has gained prominence as the ideal material for transparent electrodes owing to it's high conductivity, transmission efficiency and availability over other transparent conductive electrode materials. Enhancement of the stability of AgNW in an outdoor environment is currently widely researched, since the nanowires prove to be short-lived when exposed to harsh on-field conditions over an extended period of time . This study aims at establishing environmentally stable and viable AgNW electrodes of an Electrodynamic Screen (EDS) film, by the inclusion of one or more protective layers of zinc Oxide (ZnO) prepared in lab in a 1) stacked structure where the AgNW electrode has a layer of ZnO on top for protection or a 2) sandwiched structure wherein the AgNW electrode is covered by ZnO layers, protecting it from the top and bottom. A second experiment to include a protective polymer based overcoat layer atop the AgNW electrodes of the EDS film is also discussed here. The EDS film technology consists of an ultrathin glass layer on which rows of parallel electrodes are deposited. The EDS film, when retrofitted on solar collectors, has proved to be a viable solution to mitigate the energy-yield loss caused by soiling. Concerns regarding the presence of electrodes that could block a section of the incoming light to the solar collector and thereby potentially decreasing the output of the solar cell are addressed by using AgNW as it is a transparent conducting ink material.When dust particles are in contact with the optical surface, the EDS film charges the dust particles electrostatically, applies an electrostatic repulsion force to levitate the dust layer and removes it with a sweeping action by an electromagnetic traveling wave. Measurements of the dust removal efficiency of the EDS film will be performed after extended/accelerated exposure to UV light, high humidity, and moisture. Testing procedures of the hybrid AgNW electrode structures consist of calculated UV exposure measurements in lab as well as field testing setup, while checking the conductivity and performance of the electrodes of the EDS in terms of its efficiency in removing dust. The electrodes will be activated by a three phase voltage ranging upto 1.2 kilo volts and tests will be done to ensure the viability of the electrodes when subjected to such high voltage. EDS film thus developed will also be subjected to high temperature and humidity conditions simulated in an environmental chamber installed in lab. A complete water immersion test will also be performed in order to ensure functionality and efficiency of the EDS film in the case of rain.
8:00 PM - NM03.10.22
Electrodeposition of Nanowires into Silica and Alumina Templates with Pore Sizes Down to 2 nm
Andrew Hector1
University of Southampton1
Show AbstractSupercritical fluids have low surface tension and viscosity, so provide high mass transport rates in nanoporous structures. However, due to low dielectric constants and the need to work at elevated temperature and pressure there is little published work on electrodeposition from supercritical systems. The deposition of tin nanowires from supercritical difluoromethane into 13 and 55 nm anodic alumina templates will be described. The size limits of the technique have also been tested with tin deposition into ~2 nm pore mesoporous silica templates, and the evidence for deposition into these very small pore systems will also be described.
8:00 PM - NM03.10.23
Synthesis of Folate Receptor Targeting Coaxial PDA-FA/P(MAA-co-EGDMA) Nanotubes for Drug Delivery
Sezin Sayin1,Ali Tufani1,Gozde Ozaydin Ince1,2,3
Sabanci University1,Sabanci University Nanotechnology Research and Application Center (SUNUM)2,Center of Excellence for Functional Surfaces and Interfaces (EFSUN)3
Show AbstractNanostructures have an important role in drug delivery application. Biocompatible and biodegradable nature makes polymeric nanocarriers preferable. Functional and responsive polymers can be loaded without degradation of drug molecules. Polymeric nanotubes result in longer residence time and greater activity due to cylindrical shapes. The scope of this study is to synthesize pH responsive coaxial nanotubes with folate receptor targeting for cancer treatment applications. Coaxial nanotubes are fabricated with the outer side of polydopamine-folic acid and inner side of p(MAA-co-EGDMA). Initiated chemical vapor deposition (iCVD) technique involving free radical polymerization is used for pH responsive, non-soluble poly(methacrylic acid-co-ethylene glycol dimethacrylate) p(MAA-co-EGDMA) nanotubes fabrication. Conformal deposition is achieved by anodized aluminum oxide (AAO) template. Due to vapor phase synthesis, disadvantages of solution–based fabrication methods as solvent toxicity can be prevented in iCVD fabrication. Structures and compositions of nanotubes are characterized by scanning electron microscopy and Fourier transform infrared spectroscopy. Thickness measurements and swelling behavior are investigated by ellipsometry. Drug loading and release mechanisms are studied using model drug molecule.
8:00 PM - NM03.10.25
Template-Free Synthesis of Highly Luminescent Organic Nanorods by Air Oxidation of para-Substituted Anilines
Yoonsang Park1,Woosung Kwon2
Pohang University of Science and Technology1,Sookmyung Women's University2
Show AbstractOxidation of aniline provides useful multi-dimensional organic nanostructures with a wide variety of morphologies and sizes ranging from the nanometer scale to the micrometer scale. In this study, novel one-dimensional luminescent organic nanorods (ONs) were synthesized through air oxidation of para-substituted anilines without any shape-guiding surfactants. These ONs show highly crystalline rod-like structures due to π-π interactions between the phenazine-like structures which are formed by air oxidation of para-substituted aniline. Also, ONs show bright, photostable, deep-red luminescence with high quantum efficiency (up to 46%). From photoluminescence spectroscopy, absorption spectroscopy, time-correlated single photon counting, and time-dependent density functional theory calculation, it is revealed that their luminescence are originated from the phenazine-like structures. Finally, we demonstrate the potential application of our ONs as a freestanding color filter for deep-red-light-emitting devices that could be applied for color pixels of future displays. Our study provide a new strategy for the synthesis of luminescent organic nanomaterials, and show their potential for optoelectronic applications.
8:00 PM - NM03.10.26
Rational Design of Hierarchical Nanosheet-Based SnO2 Nanotubes Functionalized with Pt Catalyst for Application in Exhaled Breath Sensor
Hee-Jin Cho1,Ji-Soo Jang1,Won-Tae Koo1,Dong-Ha Kim1,Il Doo Kim1
KAIST1
Show AbstractTailoring of semiconducting metal oxides (SMOs) with hierarchical nanostructures is of importance to accurately detect sub-ppm concentrations of biomarkers for easy diagnosis of specific diseases through exhaled breath analysis. Therefore, several researches have been reported to maximize the surface area and porosity of gas sensing layers since the pore size and pore distribution significantly affect the penetration of biomarker molecules into the sensing layers, whereas a large surface area provides more reactive sites, thereby enhancing the sensitivity.
In this work, efforts were made to improve the sensitivity and the selectivity toward acetone detection using one-dimensional (1-D) SnO2 nanotubes. First, we prepared hierarchical SnO2 nanostructures, which consisted of hollow nanotubes in the core and the two-dimensional (2-D) nanosheets on the shell. The nanosheet-based hollow SnO2 nanotubes were synthesized by a combination of electrospinning approach and hydrothermal method. The carbon nanofibers were fabricated as a scaffold for the 1-D structure. Then the growth of SnO2 nanosheets and the removal of core carbon nanofibers were achieved by the hydrothermal route followed by high temperature calcination. The nanosheet-based pure hollow SnO2 nanotubes exhibited highly sensitive acetone sensing property (Rair/Rgas = 25 at 5 ppm). Secondly, to further improve the sensitivity and selectivity, the catalytic functionalization was performed. The Pt functionalized nanosheet-based SnO2 nanotubes exhibited superior acetone detection capability under highly humid condition similar to that of exhaled breath (95% RH). The enhanced sensing characteristics suggested a significant potential for precise detection of acetone in exhaled breath using the Pt functionalized nanosheet-based SnO2 nanotubes.
8:00 PM - NM03.10.28
Perovskite Nanocatalysts Sensitized SnO2 Fiber-in-Tube Scaffold Toward Superior Formaldehyde Gas Sensors
Joon-Young Kang1,Ji-Su Jang1,Won-Tae Koo1,Dong-Ha Kim1,Il Doo Kim1
Korea Advanced Institute of Science and Technology1
Show AbstractSensitive and selective gas detection of low concentration (even at sub–ppm level) have been required in various fields for indoor air quality and accident prevention. Among various chemical gas sensing materials, electrospun one–dimensional semiconducting metal oxides (SMOs) are considered as ideal building blocks, due to their large surface area, high porosity, and facile synthesis process. To further enhance their sensing performances, catalytic functionalization is essential in SMOs-based gas sensors. Conventionally, noble metal catalysts, such as Pt, Pd, and Au, have been widely studied to promote the gas sensing properties of SMOs by means of electronic and/or chemical sensitization. However, nanoscale noble metals are readily agglomerated and degraded during long operation cycles at high sensing temperature. Therefore, a new catalytic material with high thermal stability and low cost is highly desired for SMOs-based chemiresistiors.
In this work, highly porous SnO2 fiber-in-tubes (FITs), which are sensitized with perovskite oxides, i.e., La0.75Sr0.25Cr0.5Mn0.5O3-δ (LSCM) particles as chemical sensitizers, are used as a superior formaldehyde (CH2O) sensing layer. LSCM particles affected the morphologies of electrospun Sn precursor/polymer composite fibers during the calcination step, resulting in the fiber-in-tube (FIT) SnO2 structures with high surface area and porosity. Furthermore, LSCM particles with a high oxygen vacancies concentration and a large work function significantly provide surface oxygen species (O-) and promote electron depletion on the surface of SnO2, leading to the dramatic resistance variation. As a result, LSCM-loaded SnO2 FITs (LSCM@SnO2 FITs) provide remarkably high response to formaldehyde (Rair/Rgas = 26.50 to 5 ppm) and excellent selectivity against interfering gases (H2S, C7H8, NH3, C2H6O, C8H10, CO, and C5H12), even without using noble metal catalysts. Particularly, the role of complex composition of LSCM particles, played in the oxygen supply effect of LSCM particles, and the synergistic effect with FIT structures, were first described in this work. These observations demonstrate the potential use of LSCM@SnO2 FITs for real-time monitoring of indoor air quality, especially toward formaldehyde, which is not accurately detected by conventional metal oxide based sensors.
8:00 PM - NM03.10.29
Templated Synthesis of Dy-BiFeO3 Nanotubes and Assessment of Its Photocatalytic Performance as Compared with Nanoparticulate Systems
Carlos Ostos1,Lina Castro1
University of Antioquia1
Show AbstractIn recent years semiconductor photocatalysis under visible light irradiation has become of great importance as an alternative technology for environmental remediation. Despite the intensive efforts on developing semiconductor materials for improving the efficiency on these tasks, there is still a shortage in the development of an efficient photocatalyst. At this point, nanostructured BiFeO3 a p-type semiconductor with a small band gap (2.2 eV) emerges as a promising material with multifunctional properties for harnessing in photocatalytic applications. Nevertheless, the good photocatalytic performance is strongly correlated with the design of the semiconductor at the nanoscale. Among various structures, one-dimensional (1D) nanostructured BiFeO3 photocatalysts are very interesting owing to their unique properties. To mention some, 1D geometry provides fast and long-distance electron transport. Furthermore, the high length-to-diameter ratio enhances the light absorption. And finally, 1D nanostructures have a larger specific surface area as compared with corresponding commonly used nanoparticulate material. The remarkable characteristics of the material make it of great significance to investigate into its potential photocatalytic activity.
For comparison purposes, in this work we present the synthesis of both 0D and 1D systems of Bi1-xDyxFeO3 (0 ≤ x ≤ 0.15), with structural configurations that offer important multifunctional characteristics. Moreover, the replacement of Dy3+ ions into the Bi3+ sites, has the purpose of optimizing the physical properties of the material and encouraging its performance in the degradation of organic compounds in diluted aqueous media.
The synthesis of phase-pure Bi1-xDyxFeO3 0D systems was systematically monitored via sol-gel route and the dimensional parameters of 1D systems were regulated from their assembly in commercial and lab made anodized aluminium oxide (AAO) templates. The desired geometrical features of the lab made templates were selected by means of Box-Behnken experimental design. After selecting the best pore features, the templates were immersed into aqueous and non-aqueous precursor solutions, with the aim to assess the wettability of templates and its influence into 1D morphologies. The microstructural characterization of the as obtained Bi1-xDyxFeO3 (0 ≤ x ≤ 0.15) 0D and 1D systems was assessed by means of XRD, SEM, TEM and BET. Finally, the photocatalytic activity by the Rhodamine β degradation as a test molecule, demonstrates that tubular structures supported in commercial AAO with 15% of Dy substitution had the greater performance in comparison with one dimensional structures supported in lab made templates and the assesed 0D systems. The rates of degradation reported in this work are higher than the reported before for bismuth ferrite one-dimensional structures.
8:00 PM - NM03.10.30
Coaxial Copper Nanowire Network Core—Polypyrrole Shell Nanocomposites as Supercapacitor Electrodes
Husnu Unalan1,Mete Batuhan Durukan1,Sevim Polat Genlik1,Dogancan Tigan1
Middle East Technical University1
Show AbstractMetallic nanowires hold significant potential to be used in energy storage devices, such as batteries and supercapacitors due to their high surface area and electrical conductivity. Among metallic nanowires, silver nanowires so far dominated the literature due to their reproducible, controllable and large scale synthesis. Copper nanowires on the other hand are still at their infancy; but, hold strong promise to replace silver nanowires due to their comparable optoelectronic properties and low cost. In this work, hybrid nanocomposite electrodes with copper nanowire core and polypyrrole shell (Cu NW/PPy) were fabricated and electrochemically characterized. Hybrid nanocomposite electrodes were fabricated through spray deposition of Cu NW networks onto glass substrates, followed by electrochemical deposition of PPy onto Cu NW network. Electrodeposition was performed onto Cu NWs by applying a constant current in an electrolytic solution containing benzotriazole (BTA), oxalic acid and pyrrole monomer. Capacitive behavior of the hybrid coaxial nanocomposites was investigated through cyclic voltammetry, galvanostatic charge-discharge and impedance spectroscopy. Cu NWs facilitated the charge transfer and PPy behaved both as a pseudocapacitive material and as a protective shell to improve the electrochemical stability of Cu NWs. Preliminary analysis shows a specific capacitance of 0.12 mF/cm2 at a scan rate of 100 mV/s in 1 M LiClO4 in PC. Thorough analysis on the electrochemical stability and capacitive behavior of the fabricated nanocomposite electrodes will be presented in conjunction with different electrochemical coating parameters and different electrolytes.
8:00 PM - NM03.10.31
Electrochemical Phosphate Sensors Using Silver Nanowires Treated Screen Printed Electrodes
Md Tawabur Rahman1,Md Faisal Kabir1,Ashim Gurung1,Qiquan Qiao1
South Dakota State University1
Show AbstractEssential biomolecules of the human body and plant growth depend upon the proper amount of phosphate ions. Phosphorus has critical values in both agricultural and biomedical applications. There is a need for inexpensive, portable, repeatable, highly sensitive, and field deployable sensors with wide detection range to monitor the health of water system and field soil. This paper aims to develop an electrochemical phosphate sensor using novel ammonium molybdate tetrahydrate/silver nanowires (AMT/AgNWs) modified screen printed electrode (SPE) for phosphate detection to achieve simplicity, high sensitivity, wide detection range, and high repeatability and portability. The cyclic voltammetry measurements exhibited the sensitivities of AMT modified SPE without and with AgNWs are 0.1 μA/μM and 0.71 μA/μM, respectively. The use of highly conductive AgNWs significantly increased the sensitivities of the AMT/SPE. Besides, AgNWs and AMT modified SPE (AMT/AgNWs/SPE) showed a very wide detection range of 5 μM–1 mM. The maximum relative standard deviation of around 5% confirms the repeatability of the proposed sensor. This paper suggests that AMT/AgNWs/SPE is promising for simple, low-cost, and portable phosphate ion detection.
8:00 PM - NM03.10.32
Control the One-Dimensional Growth of PbSe Nanorods Through Dipole-Dipole Interaction
Yiteng Tang1,Zhoufeng Jiang1,Paul Roland2,Randy Ellingson2,Wenhui Wan3,Yugui Yao3,Fan Zhang4,Liangfeng Sun1
Bowling Green State University1,University of Toledo2,Beijing Institute of Technology3,The University of Texas at Dallas4
Show AbstractLead selenide (PbSe) represents a promising thermoelectric material owing to its high thermoelectric figure of merit. This property is expected to be further enhanced in anisotropic one-dimensional structures. A few research groups have synthesized colloidal PbSe nanowires/nanorods previously, where the one-dimensional oriented attachment was identified to be the primary growth mechanism. However, the microscopic mechanism of the growth is still poorly understood.
In our experiments, we demonstrated that long nanorods could only grow in reaction solution while the PbSe quantum dots were terminated by short ligands. If the quantum dots are terminated by long ligands, few nanorods are formed. This means that the attaching process can be turned on or off by tuning the length of surface ligands on the quantum dots, i.e. the dipole-dipole interaction between them. Using the amount of surface charge obtained experimentally by another research group, we calculated the dipole-dipole interaction energy between the quantum dots in the reaction solution and compared it with the thermal energy at the reaction temperature. We found that the calculated dipole-dipole interaction energy is above/below the thermal energy when the short/long ligands were used to terminate the PbSe quantum dots, which is consistent with the experimental results. Not only it reveals that the dominant growth mechanism is the one-dimensional oriented attachment of quantum dots, but also it proves that the length of the surface ligands on the quantum dot can control the process.
The reaction temperature also has a significant effect on the growth of nanorods. At higher reaction temperature, the dominant growth mechanism shifts from kinetics (oriented attachment) to thermodynamics. In the latter case, no nanorods but large quantum dots are formed.
The synthesized nanorods have a high photoluminescence quantum yield of more than 30% and photoluminescence lifetime near 1 microsecond. Both indicate that the nanorods have low defects and a high quality.
8:00 PM - NM03.10.33
Cadmium Telluride Nanowires Growth for Photovoltaic Application
Manuel Apollo1,Wojciech Stepniowski2,Massimo Tormen3,Kenneth Durose1
University of Liverpool1,Lehigh University2,ThunderNIL Srl3
Show Abstract
There is a global drive to increasing the efficiency, performance and cost effectiveness of renewable energy sources including the photovoltaic (PV) devices. Currently the PV market is dominated by silicon (Si) solar cells technology. Although their performances, they suffer technological limitations, such as an indirect bandgap and low absorption coefficient.
An alternative material like cadmium telluride (CdTe), a II-VI semiconductor, exhibits a direct bandgap of approximately 1.45eV, which enables optimal absorption of the solar radiation. Therefore high efficiency CdTe solar cells are thinner and more economically convenient than Si solar cells[1].
In addition, it is possible that nanostructures may be used to create new kinds of devices with enhanced optical performance over existing structures. It is the aim of our project to explore these opportunities, in particular the possibility of growing nanowires (NWs) in the template assisted method, using aluminum oxide membranes or nanoimprints supplied by ThunderNIL Srl.
Once obtained the templates, different techniques relating the nanostructures growth (sublimation, VLS, CSS, sputtering, spin coating) will be explored.
The nanostructures so obtained will be characterized via XRD, SEM, UV-UVS-IR. It is the aim to then fabricate and test working solar cell devices from the nanowire materials via impedance analysis, current-voltage, capacitance voltage, PV performance (Air Mass 1.5).
Our goal is to demonstrate that is possible to manufacture high performant and cost effective CdTe nanostructured solar cells.
References:
[1] Green, Martin A., et al. "Solar cell efficiency tables (version 50)." Progress in Photovoltaics 25.NREL/JA-5J00-68932 (2017)
[2] Stepniowski, Wojciech J. and Marco Salerno. "Fabrication of nanowires and nanotubes by anodic alumina template-assisted electrodeposition." Manufacturing Nanostructures, 321-357(2014).
8:00 PM - NM03.10.34
Facile, Sing-Step Hydrethermally Synthesized Sb-Doped ZnO Nanord Arrays with Highly Improved Efficient UV-Sensing Properties
Donghyung Kim1,Hongseon Song1,Minki Baek1,Minseok Lee1,Dokyoung Kim1,Kijung Yong1
Pohang University of Science and Technology1
Show AbstractThe synthesis of electrical and optical property-modulated, low-dimensional metal oxide semiconductors has been adopted for the development of nanodevices. However, conventional modulation methods, such as doping and alloying, generally require complex and expensive processes, such as multi-step high-temperature reactions, gas-phase growth, high-vacuum processes, etc. Alternatively, in the current study, the facile and cost-effective synthesis of Sb-doped ZnO nanorod arrays (NRAs) is achieved using a simple hydrothermal growth process at 95 °C. Through a single-step reaction, Sb atoms are substitutionally doped at the Zn atom sites with control of the Sb concentration. Sb dopants and Sb-induced oxygen vacancies increase the electron concentration in the ZnO NRAs, enhancing the electrical conductivity of the ZnO NRAs and inducing the further adsorption of ambient oxygen molecules on the nanorod surface. Upon UV irradiation of the highly oxygen-adsorbed, Sb-doped ZnO NRAs, the desorption of oxygen induces greater conductivity changes compared to the undoped samples. Based on this enhanced resistivity change, UV sensor devices were fabricated, and an improved reversible UV sensing performance was observed, with a ~9-fold enhancement in the photocurrent of the ZnO NRAs after Sb doping. Moreover, UV sensing is achieved even under an extremely low bias of 10-6 V, suggesting the promising application of this material in extremely low-power UV sensor devices.
8:00 PM - NM03.10.35
Polarization-Sensitive Single-Crystal Sb2Se3 Nanowire Motor
Xiaojun Zhan1
The University of Hong Kong1
Show AbstractAntimony selenide (Sb2Se3), a non-toxic earth-abundant promising absorber material for light harvesting, is inherently one dimensional crystal structure, covalent band within the chain and van der waals bond out of the chain. Such anisotropy has been studied for crystal oriented solar cell device and strong in-plane asymmetry of sb2se3 nanosheets. However, all of recently reported light-stimulated nano/micromotor systems haven’t utilized the polarized light, potential substitutional channel to wavelength or light intensity. Here an atomic smooth axis-oriented single-crystal Sb2Se3 nanowires are successfully prepared through vapor transport deposition method (VTD) possessing higher electrical conductivity than hydrothermal synthesized and bulk film counterparts and polarized response of incident light. Furthermore, coupled with a layer of conformal N type zinc oxide (ZnO), polarized light intrigued nano/micromotors’ motion is achieved for the first time. Besides the solar cell device behaviors of single-crystal Sb2Se3 nanowire and bulk Sb2Se3 film are compared and suggest the experimental limit for crystal oriented Sb2Se3 solar cells.
8:00 PM - NM03.10.36
Influence of Gold Catalyst on the Growth of Titanium Nitride Nanowires
Dhananjay Kumar1,Panupong Jaipan1,Chandra Shekar Reddy Nannuri1,Nikhil Mucha1,Adele Moatti2,Jagdish Narayan2,Svitlana Fialkova1
North Carolina Agricultural and Technical University1,North Carolina State University2
Show AbstractA systematic study was conducted to investigate the influence of Au catalyst thickness and Au nanodots size on the growth and morphological properties of Titanium Nitride (TiN) nanowires. The Au nanodot size has a significant influence on the diameter and length of the TiN nanowires. The fabrication of TiN nanowires induced by gold catalyst was successfully achieved at 800 °C. The nanowires were found to have their diameters in the range of 9 nm to 13 nm and their lengths from 96 nm to 430 nm. Our study has shown that Au nanodots with diameters in the range of 10-13 nm allows favorable conditions for TiN nanowire growth. On the other hand, the Au nanodots size with a diameter less than 10 nm are not able to induce the growth of TiN nanowires due to a high activation barrier to grow a nanowire with a small radius of curvature even in the presence of the catalyst. Furthermore, if the nanodot size is larger than 14 nm, the particle tends to behave as a bulk gold and loses its catalytic activity. The existence of a lower and upper threshold limit in the Au nanodots size is marked by the absence of TiN nanowire formation in both regions.
Symposium Organizers
Michael A. Filler, Georgia Institute of Technology
Kimberly Dick Thelander, Lund University
Anna Fontcuberta i Morral, École Polytechnique Fédérale de Lausanne
Jordi Arbiol, ICREA and Institut Català de Nanociència i Nanotecnologia
Symposium Support
Applied Materials
INDEED Network
NM03.11: Oxide Nanowires and Energy Applications
Session Chairs
Jordi Arbiol
Heiner Linke
PengYi Tang
Wednesday AM, November 28, 2018
Sheraton, 2nd Floor, Back Bay D
8:30 AM - *NM03.11.01
Nano-Heterostructures Based on Oxide Nanowires—Synthesis and Characterization
Bianchi Mendez1
University of Complutense1
Show AbstractOxide nanowires are an attractive family of functional materials that offer a lot of versatility in the applications: optical and mechanical resonators, lasing, sensors, photo-catalysis, solar cells, and biomedical and healthcare usages, to name a few. Besides, when it comes to the nanoscale, they can adopt a wide range of morphologies within the quasi-one dimension (nanowires, nanobelts, or nanorods). Some of the current challenges are focused on getting controllable doping, controllable luminescence or controllable architectures. In this last case, it would be worthy of attention to explore novel nano-heterostructures by making junctions of different oxides and/or even different dimensionality. We have experience on the study of physical properties of single oxides nanowires, and in particular their optical properties. Here, we report the synthesis and characterization of complex nanostructures of oxide nanowires in which Ga2O3 and Zn2GeO4 nanowires combine with SnO2 particles, flakes or wires. The synthesis method is the thermal evaporation of the suitable chemical precursors on a catalyst-free basis via a vapor-solid mechanism. One of the key points to get the selected architectures is the presence of certain impurities, which influences the growth direction of the axis nanowire. This is important since the central oxide nanowire serves as substrate for the nano-oxides grown on it. The growth model of the nano-heterostructures will be discussed with the aid of high-resolution transmission electron microscopy.
9:00 AM - NM03.11.02
Bottom-up Engineering of Hematite Nanowire Heterostructures for Photoelectrochemical Water Splitting
PengYi Tang1,2,HaiBing Xie1,LiJuan Han3,Carles Ros2,Marti Biset Peiro2,José Ramón Galán-Mascarós3,4,Teresa Andreu2,Juan Morante2,Jordi Arbiol1,4
Institut Català de Nanociència i Nanotecnologia (ICN2), CSIC and BIST1,Catalonia Institute for Energy Research (IREC)2,Institute of Chemical Research of Catalonia (ICIQ), The Barcelona Institute of Science and Technology (BIST)3,ICREA, Pg. Lluís Companys 234
Show AbstractThe development of technologies for H2 production or CO2 reduction strongly relies on an abundant supply of protons and electrons liberated by water oxidation. [1-2] Therefore, photoelectrochemical (PEC) water oxidation is an important anodic half-cell process in the development of a sustainable artificial solar fuel system. In the PEC devices design, coupling water oxidation catalysts with active photoanode materials has become the most promising methodology, since the attachment/integration of the catalyst on the semiconductor light absorbers could kinetically facilitate interfacial charge transfer reactions.
In this contribution, we have fabricated ITO/Fe2O3/Fe2TiO5/FeNiOOH multi-layers nanowire heterostructures via combination of sputtering, hydrothermal, ALD, photo-electrodepositon methods for photoelectrochemical (PEC) oxygen evolution application. Structural, spectroscopic and electrochemical investigations disclose that the origin of the superior catalytic performance is owing to the interfacial coupling effect of ITO underlayer (Sn doping and conductivity promoter), ultrathin Fe2TiO5 coating (Ti doping, energetics and surface state density modulation) and FeNiOOH eletrocatalyst (varying surface state energy level). [2]
Meanwhile, an alternative earth-abundant CoFe prussian blue analogues (CoFe PBA) is incorporated in core-shell Fe2O3/Fe2TiO5 type II heterojunction nanowires as photoanodes for PEC water oxidation. The observed photocurrent is improved from 0.12 mA cm-2 to 1.25 mA cm-2 at 1.23 V vs. RHE under illumination by involvement of ultrathin Fe2TiO5 layer and CoFe PBA WOCs coating. Further investigation of the PEC mechanisms via photoelectrochemical impedance spectroscopy unveils that the enhanced PEC performance of hematite nanowires is attributed to the enhanced charge transfer efficiency owing to the tuned energy level and density of surface state. [3-4]
References
[1] Félix Urbain, Pengyi Tang, Nina M. Carretero, Teresa Andreu, Luís G. Gerling, Cristóbal Voz, Jordi Arbiol, Joan R. Morante, Energy & Environmental Science, 10, 2256-2266 (2017).
[2] Pengyi Tang, HaiBing Xie, Carles Ros, LiJuan Han, Martí Biset-Peiró, Yongmin He, Wesley Kramer, Alejandro Perez-Rodriguez, Edgardo Saucedo, Jose Galan-Mascaros, Teresa Andreu, Joan R. Morante, Jordi Arbiol, Energy & Environmental Science, 10, 2124-2136 (2017).
[3] Lijuan Han, Pengyi Tang, Alvaro Reyes-Carmona, Barbara Rodriguez-Garcia, Mabel Torrens, Joan Ramon Morante, Jordi Arbiol, Jose Ramon Galan-Mascaros, Journal of the American Chemical Society, 138, 16037-16045 (2016).
[4] PengYi Tang, LiJuan Han, Paul Paciok, Marti Biset Peiro, Hong-Chu Du, Xian-Kui Wei, Lei Jin, Hai-Bing Xie, Qin Shi, Teresa Andreu, Joan Ramon Morante, Mónica Lira-Cantú, Marc Heggen, Rafal E. Dunin-Borkowski, José Ramón Galán-Mascarós, Jordi Arbiol, to be submitted.
9:15 AM - NM03.11.03
Surmounting the Carrier-Transport and Stability-Bottleneck of III-Nitride Nanowire Solar Water-Splitting Device for Efficient and Sustainable Hydrogen Generation
Mohammad Chowdhury1,Hong Guo1,Zetian Mi1,2
McGill University1,University of Michigan–Ann Arbor2
Show AbstractHydrogen generation from the two of the most abundant free resources available on earth, i.e. sunlight and water via photocatalytic water splitting, is a very appealing approach for the crucial societal transition to a clean and sustainable energy resource future. High-efficiency devices for photovoltaic-assisted photoelectrochemical (PEC) water splitting and electrolysers are reported in conductive electrolytes with selective pH adjustments. However, direct splitting of pure or sea water with significantly enhanced device-longevity at concentrated sunlight holds enormous promise for hydrogen generation at pH-neutral condition without any external bias, sacrificial reagent or conductive electrolytes. Progress in this field has been limited by the low photocatalytic efficiency of conventional metal-oxide materials. We have recently demonstrated that nearly defect-free GaN-based nanostructures can meet the thermodynamics for overall water splitting (OWS) [1]; and by tuning the surface Fermi-level through controlled Mg-dopant incorporation, the apparent quantum yield for solar-to-hydrogen conversion can be enhanced by nearly two orders of magnitude under UV [2] and visible light illumination [3-4]. In this work, we demonstrate multi-band InGaN nanosheet photochemical diode (PCD) structures, which can induce spontaneous charge carrier separation and steer them toward distinct redox sites for water oxidation and proton reduction reaction. During the synthesis of InGaN PCD nanosheets, p-type dopant (Mg) concentrations are rationally tailored, which induces a large built-in electric field between the two parallel surfaces. Due to the presence of a net built-in potential (~300 meV) along the lateral dimension, the two surfaces are enriched with photo-generated holes and electrons to perform water oxidation and proton reduction reactions, respectively [5]. With spatially separated catalytic sites and reduced carrier recombination, the nanoscale PCDs exhibit stoichiometric H2 and O2 evolution, with a production rate of ~1.62 mmol h-1cm-2 and ~0.784 mmol h-1cm-2, respectively, which is equivalent to a solar-to-hydrogen efficiency over ~3%. We are currently devoloping a novel III-Nitride nanostructured device on Si wafer which, when decorated with suitable co-catalyst nanoparticles on surfaces, can demonstrate unprecedented performance-stability in photochemical water splitting reaction - the longest ever measured for any semiconductor photocatalysts or photoelectrodes without protection/passivation layers in unassisted solar water splitting with an STH >1%. With further structural and surface engineering of the nanowires, we aim to enhance the solar-to-hydrogen efficiency in the range of 5-10%.
[1] D. Wang et al., Nano Lett. 11 (6), 2353 (2011).
[2] M. G. Kibria et al., Nat. Commun. 5, 3825 (2014).
[3] F. A. Chowdhury et al., APL Mater. 3, 104408 (2015).
[4] M. G. Kibria et al., Nat. Commun. 6, 6797 (2015).
[5] F. A. Chowdhury et al., Nat. Commun. 9:1707 (2018).
9:30 AM - NM03.11.04
GaN Nanowire Arrays for Photocatalytic Applications
Julia Winnerl1,Sabrina Artmeier1,Richard Hudeczek1,Martin Stutzmann1
Technische Universität München1
Show AbstractIn recent years, group III-nitride nanowires (NWs) have attracted increasing interest for device fabrication due to their high crystal quality, large surface-to-volume ratio and their waveguide character. The favorable energy position of their bands with respect to many oxidation and reduction reactions and their chemical stability under harsh environments make them interesting candidates for photocatalytic applications, e.g. water splitting or CO2 reduction. The integration of group III-nitride NW arrays on GaN-based light emitting diodes (LEDs), serving as a platform for electrically driven NW-based photocatalytic devices, enables an efficient coupling of the light from the planar LED to the GaN NWs.
Here, we present a systematic numerical study of the influence of the NW geometry, i.e. diameter, period and length, and the illumination wavelength on the coupling of the light from the LED into the GaN NW arrays. We found that for large NW periods the interaction of light with the GaN NW arrays is determined by the waveguide effects of the single NWs, whereas for dense NW arrays, it is additionally affected by the inter-wire coupling and the excitation of in-plane waveguide modes. Transmission measurements of GaN NW arrays on transparent sapphire substrates confirmed the coupling of light into waveguide modes. Experimental measurements of GaN NW arrays on GaN-based LED substrates verified an efficient coupling of the light from the LED to the NWs. For NW-based photocatalytic applications, we found that GaN NW arrays with diameters for which the incident light is coupled weakly to the waveguide modes, providing an enhanced evanescent field around the NWs, have to be chosen for a maximum illumination of the NW surface and, thus, a maximum excitation of photocatalytic reactions. Fluorescence measurements are performed in order to investigate the optical coupling of the evanescent field and dye molecules immobilized on the NW surface.[1]
[1] J. Winnerl, et al., J. Appl. Phys. 123, 203104 (2018)
9:45 AM - NM03.11.05
Seed-Layer Free Zinc Tin Oxide Tailored Nanostructures for Nanoelectronic Applications Produced by Low-Temperature Hydrothermal Synthesis
Ana Rovisco1,Rita Branquinho1,Jorge Martins1,Elvira Fortunato1,Rodrigo Martins1,Pedro Barquinha1
i3N/CENIMAT, Department of Materials Science, Faculty of Science and Technology, Universidade NOVA de Lisboa and CEMOP/UNINOVA1
Show AbstractLooking at the actual technology development, we are facing an increasing demand for smart and multifunctional surfaces on all sorts of objects and shapes. With this, flexible and transparent electronics is being pushed to unprecedented performance and integration levels [1]. Thus appears the necessity for a new generation of materials combining sustainability, low dimensions and still a wide range of properties compatible with its application on transistors, memories, sensors or even energy-harvesting components. For this end, indium-free multicomponent oxide nanowires (NWs) such as zinc-tin oxide (ZTO) are some of the most promising material systems for an upcoming generation of sustainable yet high performing transparent nanoelectronics. Being a ternary oxide ZTO is a multifunctional material, with a wide applicability in for example photocatalysis, nanoelectronics, sensors and energy harvesting. ZTO can crystallize in Zn2SnO4 and ZnSnO3 phase, with different types of structures possible for each phase. However its synthesis is hard to control, due to the presence of two cations.
In this context, this work presents a detailed study on the influence of both chemical [2] and physical [3] parameters in the hydrothermal synthesis of seed-layer free ZTO nanostructures at temperatures of only 200 °C. The low-temperature hydrothermal methods explored here proved to be a low-cost, reproducible and highly flexible route to obtain multicomponent oxide nanostructures, namely Zn2SnO4 nanoparticles and ZnSnO3 nanowires with length ≈ 600 nm. Optical and electrical properties close to the reported for nanostructures obtained by high temperature processes were achieved. Particularly, band gaps of 3.60 and 3.46 eV, for ZnSnO3 and Zn2SnO4, respectively, and a resistivity of 1.42 kΩ.cm for single ZnSnO3 nanowires were obtained. For electrical characterization, nanomanipulators inside SEM were used, after a localized deposition of Pt electrodes by e-beam assisted gas decomposition.
The seed-layer-free nature of the developed synthesis allowed a facile application of the ZTO nanowires for photocatalytic applications and memresistive devices with very promising results being already obtained for both cases. These two illustrate how a wide array of applications can be successfully envisaged, given the flexible nature of a seed-layer free synthesis, and furthermore showing that good performance can still obtained even when considering low temperature hydrothermal methods.
[1] Roselli, L. et al.; Smart Surfaces: Large Area Electronics Systems for Internet of Things Enabled by Energy Harvesting. Proc. IEEE 2014, 102 (11), 1723–1746.
[2] Rovisco, A. et al.; Seed-layer free zinc tin oxide tailored nanostructures for nanoelectronic applications: effect of chemical parameters, under revisions at ACS Applied Nano Materials 2018.
[3] Rovisco, A. et al.; Seed-layer free zinc tin oxide tailored nanostructures: effect of physical parameters, under submission 2018.
NM03.12: Nanowire Growth Mechanisms
Session Chairs
Kimberly Dick Thelander
PengYi Tang
Takeshi Yanagida
Wednesday PM, November 28, 2018
Sheraton, 2nd Floor, Back Bay D
10:30 AM - *NM03.12.01
In Situ TEM Imaging of Semiconductor Nanowire Growth by the Electrochemical Liquid-Liquid-Solid (ec-LLS) Method
Stephen Maldonado1
University of Michigan1
Show AbstractPresently, the majority of methods for bottum-up synthesis of crystalline nanowires follow a common set of themes. First, high temperatures and/or low pressures are used to drive the thermal decomposition of vapor phase precursors to their zero-valent forms. Second, the precursors/reactants are heavily refined and often difficult to handle, and store. Third, growth reactors involve some elements of heating and/or low pressures to effect nanowire growth. New synthetic strategies that avoid these aspects, without sacrificing material quality, could enable new applications and device manufacturing opportunities for semiconductor nanowire materials. Electrodeposition is one alternative methodology that can produce nanowires with simple infrastructure and at low temperatures. Our lab has pioneered the ec-LLS concept as a particular electrodeposition tactic that blends electrochemistry with melt crystal growths. For nanowires, ec-LLS draws strong parallels from vapor-liquid-solid (VLS) growth concepts, but can be conducted in air, on the benchtop, and with unrefined (oxide) precursors. Despite the similarities with VLS, ec-LLS also introduces factors of surface charge, electrostatic fields, and precisely tunable electrochemical driving forces that can affect the nucleation and crystal growth steps. Our ongoing work highlights how these different factors can be understood and ultimately leveraged for specific target material properties. This presentation highlights data that describe our latest insights on the controlling factors in electrochemical liquid-liquid-solid (ec-LLS) growth processes for semiconductor nanowire synthesis. The presented results will include in-situ videos of ec-LLS nanowire growths that describe the conditions necessary to nucleate and grow nanowires at ambient temperatures. Additional supporting ex-situ TEM, atom probe tomography, and electrical characterization data will be presented that speak to the purity and conductivity of as-prepared Ge nanowires.
11:00 AM - NM03.12.02
Epitaxy of Semiconductors on 2D Materials via Solid Phase Catalytic Growth
Frances Ross1,Priyanka Periwal2,Joachim Dahl Thomsen3,Dmitri Zakharov4,Mark Reuter1,Lynne Gignac1,Timothy Booth3,Stephan Hofmann2
IBM T. J. Watson Research Center1,University of Cambridge2,Technical University of Denmark3,Brookhaven National Laboratory4
Show AbstractControl of crystal growth and the integration of heterogeneous materials are central to the semiconductor industry. A key challenge is therefore to create well-defined interfaces reproducibly between materials that are lattice mismatched. Several strategies have proven successful for materials integration: catalytic crystal growth is used to self-assemble nanostructures such as nanowires that integrate lattice mismatched crystals, while van der Waals epitaxy can unify lattice mismatched 2D materials. However, forming well defined interfaces between 2D materials and standard 3D materials, such as Si and Ge, remains a challenge. Here we show that the catalytic pathways for semiconductor deposition that have been developed for nanowire growth can be exploited to grow epitaxial Ge, Si and other semiconductor nanocrystals on 2D materials such as graphene and hexagonal boron nitride. By using solid metal nanoparticle catalysts that are themselves epitaxial on the 2D materials, we show that epitaxial Si and Ge nanocrystals can be formed by a vapor-solid-solid growth mechanism. In situ transmission electron microscopy is used to explore the reaction pathways for this process, which takes place at lower temperature and with an improved degree of epitaxy compared to direct chemical vapor deposition or deposition using liquid phase catalysts. We discuss the prospects for the formation of nanostructure arrays and single crystal thin films.
11:15 AM - NM03.12.03
Morphology Dependent Strain Relaxation in Horizontally Grown ZnSe@ZnTe Core-Shell Nanowires and Its Effects on Electronic Band Alignment
Sara Martí-Sánchez1,Eitan Oksenberg2,Marc Botifoll1,Christian Koch1,Quentin Ramasse3,Ernesto Joselevich2,Jordi Arbiol1,4
Catalan Institute of Nanoscience and Nanotechnology (ICN2)1,Weizmann Institute of Science2,SuperSTEM3,ICREA4
Show AbstractOver the last years, bandgap engineering in semiconductors has played a key role in the development of new nanoscaled semiconductor materials thanks to their potential into new improved electronics. Among all the advantages that reducing the size of the employed materials present there is the ability of interfacing highly mismatched materials while keeping a high crystallinity [1], allowing the combination of the most suitable materials.
Horizontal guided growth was employed for the synthesis of ZnSe@ZnTe planar nanowire networks, which present a 7.4 % mismatch between core and shell materials [2]. The present heterostructure form radial p-n heterojunctions that exhibit great optoelectronic properties, with dark currents below the detection limit and upon illumination a rectifying behavior with photovoltaic characteristics.
The growth of these materials has been done on sapphire substrates cut in different directions, and thereby, having different mismatches with the materials grown on top. The formed nanostructures present complex strain relaxation mechanisms in order to relax the high mismatched shell on top of the core of the nanowire that involve plastic and elastic deformations. An extended study involving Geometric Phase Analyses with modelling and HAADF-STEM image simulations have been employed to study these relaxation mechanisms. We have found how morphology of the core directly affects the strain distribution on the material, creating plane bending in the case of circular cores that induce a rotation angle between the dipoles in the structure that reach up to 4 degrees,and thereby, creating potential barriers that affect the band alignment of the material. On the other hand, faceted-planar cores do not show any bending with respect to the core so there is no appearance of this potential barrier.
Our atomic resolution structural results have been cross-correlated with simulations on the electronic band structure, showing variations induced by strain. These simulations have been performed using Nextnano3 software [3] in order to have a precise knowledge on the strain-induced band alignment modification and further operation of the final devices.
[1] Nano Lett 2014, 14 (11), pp 6614–6620.
[2] ACS Nano 2017, 11, 6155−6166.
[3] J Comput Electron 2006, 5,285–289.
11:30 AM - NM03.12.04
Strain Mapping and Characterization of Defects in Core-Shell Ge/Ge1-xSnx Nanowires
Andrew Meng1,Michael Braun1,Yanming Wang2,1,Colleen Fenrich1,Muyu Xue1,David Diercks3,Brian Gorman3,Marie-Ingrid Richard4,Ann Marshall1,Wei Cai1,James Harris1,Paul McIntyre1
Stanford University1,Massachusetts Institute of Technology2,Colorado School of Mines3,IM2NP4
Show AbstractCore-shell Ge/Ge1-xSnx nanowires synthesized via VLS one-dimensional crystal growth have been demonstrated to exhibit desirable optical properties. The core-shell strain plays an important role in the enhanced photon emission. We characterize the strain in these nanowires using strain mapping in scanning transmission electron microscopy in 4D-STEM diffraction, a spatially resolved technique. 4D-STEM is also sensitive to lattice defects such as Sn-rich lattice plane inclusions in the GeSn shell of core-shell Ge/Ge1-xSnx nanowires. Coupled with defect imaging, we can better understand the formation and the origin of defects in GeSn. Although characterization of strain in core-shell nanowire cross-sections is complicated by sample mechanical relaxation, choice of thinning orientation allows accurate spatially localized strain measurements. Phase field simulations of the core-shell nanowire structures provide an estimate of the expected strain, which matches well with experimental measurements from 4D-STEM, and explains the formation of six-fold symmetric Sn-poor spokes in the Ge1-xSnx shell.
11:45 AM - NM03.12.05
Catalyst-Free Growth of Strongly Confined Ultrathin InAs Nanowires
Fabio del Giudice1,2,Daniel Ruhstorfer1,Hubert Riedl1,Jonathan Finley1,Gregor Koblmueller1,2
Walter Schottky Institute1,International Graduate School for Science and Engineering (IGSSE)2
Show AbstractOver the recent years III-V semiconductor NWs have become widely studied nanostructures for nanophotonics, optoelectronics and advanced nanoelectronics. The ability to control of the NWs’ diameters and lengths give rise to tunable quantum confinement effects [1]. For InAs NWs very strong quantum confinement effects are expected to occur for diameters well below 30 nm [2] – a regime which has hitherto been difficult to reach by conventional bottom-up growth methods. Here, we develop such ultra-confined InAs NWs with size dimensions far below 30 nm and further aim to understand their optical properties and charge carrier dynamics set by the 1D electronic subband structure.
The presented InAs NWs are grown along the [111] direction on SiO2-masked Si (111) using a completely catalyst-free vapour-solid (VS) growth mechanism [3, 4] via selective-area molecular beam epitaxy (SA-MBE).
In a first approach we show how by direct bottom-up SA-MBE the NW dimensions can be controlled by tuning both growth parameters and substrate pattern design. After optimizing V/III ratio and temperature, we illustrate that the interwire separation (growth pitch) and the growth time are critical for reaching sub-30 nm InAs NWs. In contrast, the shape and diameter of the mask opening seem less influential as the NWs grow randomly from the edge of the mask opening. This way we obtained, InAs NWs with diameters as low as ~20-25 nm.
In a second approach, we explore a so-called reverse reaction growth (RRG) mechanism to intentionally thin as-grown InAs NWs by in situ thermal annealing [1, 5]. Starting from > 1 µm long NWs with diameters of > 60 nm we performed various different thermal annealing experiments by varying in situ vacuum conditions, As-overpressure, annealing temperature and time. Most interestingly, under controlled As-overpressure the InAs NW sidewalls start to thermally decompose from the top in distinct hierarchical sequences. Using sacrificial NWs and specific pitch-dependent kinetics, we thereby realized so far InAs NWs with diameters < 15 nm.
Ongoing experiments are also shown which (i) identify the influence of the RRG mechanism on the microstructure using TEM (transmission electron microscopy), and which (ii) explore the level of radial quantum confinement in the ultrathin InAs NWs by µ-photoluminescence (PL) spectroscopy. First preliminary low-temperature PL spectra of a NW-array with sub-30 nm diameter show a substantial blue-shifted peak emission (> 0.48 eV) compared to conventional unconfined NWs [5]. The data are further correlated with simulations of the optical transition energies under the influence of strong radial quantum confinement.
1 B. Loitsch et al Advanced Materials, 27 (2015), 2195
2 G. Koblmüller et al Applied Physics Letters, 101 (2012), 053103
3 J. Becker et al ACS Nano, 12 (2018), 1603
4 M. Sonner et al Applied Physics Letters, 112 (2018), 091904
5. F. del Giudice et at in preparation (2018)
NM03.13: Chemical Sensing
Session Chairs
Jordi Arbiol
Amar Mohabir
Eva Monroy
Wednesday PM, November 28, 2018
Sheraton, 2nd Floor, Back Bay D
1:30 PM - *NM03.13.01
Group III-Nitride Nanowire Photonic Probes for Monitoring, Analysis and Imaging of Chemical Processes
Martin Eickhoff1
University of Bremen, Institute of Solid State Physics1
Show AbstractThe photoluminescence (PL) emission properties of group III-nitride nanowires and nanowire heterostructures sensitively respond to changes in the chemical environment in gaseous and liquid atmospheres. At the same time, the presence of photogenerated charge carriers on their surface can trigger chemical processes by charge transfer into electronic levels/molecular orbitals of adsorbed gas molecules or surrounding electrolytes, as it is also employed in photo-electrochemical water splitting. Hence, monitoring of the PL and controlling the photocurrent allows for analyzing and initiating photoactivated chemical surface processes as well as establishing new principles for opto-chemical nanosensors or chemically sensitive nanophotonic probes. We demonstrate these strategies by discussing different examples such as detection and analysis of water adsorption, optical pH-sensing or dynamic imaging of biochemical cellular processes. When coated with ultrathin oxide coatings such as TiO2 or CeO2, InGaN/GaN nanowires can serve as nanophotic probes to monitor ion transport and diffusion processes in the oxide film. As examples we discuss optical in-situ monitoring of oxygen self-diffusion in CeO2-coatings as well as Li+-intercalation in TiO2.
2:00 PM - NM03.13.02
Fabrication and Testing of a Nanoelectronic Nose Employing Site-Specific Growth and Integration of Nanowire Material Networks on a Single Chip
Albert Romano-Rodriguez1,2,Guillem Domenech-Gil1,2,Lukas Hrachowina3,Antonio Pardo1,Michael Seifner3,Isabel Gràcia4,Carles Cané4,Sven Barth3
University of Barcelona1,In2UB2,TUW3,CNM4
Show AbstractThe concern about the presence of toxic and potentially harmful gases in outdoor and indoor environments is continuously increasing. Numerous types of gas sensor devices and systems are used to monitor the presence of these gases in the atmosphere. Among the different possibilities, solid state gas sensors are an excellent choice due to their low cost and low power consumption thanks to MEMs technology, and remarkable performances obtained with metal oxide nanowire-based gas sensors in terms of sensitivity and response time.
However, metal oxides usually lack in selectivity, being only able to distinguish among oxidizing or reducing gases. A solution to this problem is the called e-nose, where pattern recognition across sensor arrays with different sensing characteristics (using different materials or operation temperatures) is applied. The present work demonstrates for the first time that site-selective growth of different materials in the form of nanowires for sensing applications is possible on a single chip in a well-defined geometry. The growth of nanowires on top of micromembranes can be easily adjusted and represents a simple and suitable fabrication process for the direct integration of different nanowire-based resistive multifunctional devices. The use of chemical vapor deposition techniques is compatible as a CMOS post-processing and, therefore, the impact of preparing gas sensors on any kind of chips for multifunctional devices is unimaginable.
This proof-of-concept is exemplified by the deposition of SnO2, WO3 and Ge nanowires on different membranes of one single chip and their gas sensing responses towards different concentrations of CO, NO2 and humidity diluted in synthetic air are shown. The devices presented here have the same gas sensing behavior as devices made on separate chips and CVD chambers. The principal component analysis (PCA) of the collected data allows gas separation and identification and, thus, it is proved that the system is a well-operating nanoelectronic nose.
2:15 PM - NM03.13.03
Branch Like p-n NiO/WO3 Heterostructures with Enhanced Gas Sensing Properties
Navpreet Kaur1,Dario Zappa1,Elisabetta Comini1
University Of Brescia1
Show AbstractMetal-oxide semiconductor gas sensors are viable alternates for highly sensitive and selective detection of different gases and air pollutants, which provide various advantages such as miniaturization, low cost gas detection, and real-time monitoring. Various strategies have been used to increase the gas response and selectivity, including modulating the sensing temperature, [1] morphological control, [2] catalyst doping/loading, [3] and catalytic filtering of interference gases [4].
Constructing heterostructures between two different oxides is an effective method to enhance gas response, via controlling the conductivity at p-p, p-n, and n-n interfaces, as well as the selectivity, through synergistic catalytic effects between different materials. In particular, reports given by the group of Miura [5] and Noh [6] show that the gas sensing properties could be enhanced by adding WO3 to NiO based sensors or NiO to the WO3 based sensors. Inspired by this fact, it is very essential to design NiO/WO3 heterostructures for studying their gas sensing properties. WO3 is an n-type semiconductor, and has been intensively studied as a gas-sensing material [7]. On the other hand, NiO is a p-type semiconductor attaining considerable attention for gas-sensing applications in the past few years [8].
The main idea behind this work is to bring together the properties of two different nanostructure materials into a single sensing platform by using a simple, low cost and high yield method. In this way, one platform with different materials properties can be used detect the variety of gases. Herein, we report the novel preparation and characterization of NiO/WO3 branched 1D-1D nano-heterostructures, consisting of inner NiO nanowires [8] and outer WO3 nanowires obtained through vapor-phase method. The surface morphology of the nanowires was investigated by using scanning electron microscopy (SEM). For structural characterization, instead, GI-XRD, transmission electron microscopy (TEM) and Raman spectroscopy were performed. The structural characterizations shows the presence of nickel tungstate (NiWO4) phase in the nanostructures. Finally, NiO nanowire and NiO/WO3 heterostructure based conductometric gas sensing devices have been fabricated and tested towards different gases spices such as (NO2, H2, CO, VOC’s) and their sensing performances have been compared. Interestingly, NiO/WO3 NWs heterostructure based sensing devices shows superior performance compared to NiO sensors.
References:
[1] E. Comini et. al., Sensors Actuators B Chem. 179, 3 (2013)
[2] Y.-F. Sun et. al., Sensors (Basel). 12, 2610 (2012)
[3] L. Wang et. al., Mater. Sci. Eng. C 32, 2079 (2012)
[4] S.-Y. Jeong et. al., J. Mater. Chem. A 5, 1446 (2017)
[5] N. Miura et. al., J. Electrochem. Soc. 154, J246 (2007)
[6] W. Noh et. al., Solid State Ionic. 152, 827 (2002)
[7] M. Horprathum et. al., Sensors Actuators, B Chem. 176, 685 (2013)
[8] N. Kaur et. al., Nanotechnology 27, 205701 (2016)
NM03.14: High Frequency and Optoelectronic Applications
Session Chairs
Kris Bertness
Michael A. Filler
Maritza Mujica
Wednesday PM, November 28, 2018
Sheraton, 2nd Floor, Back Bay D
3:30 PM - *NM03.14.01
Studying and Exploiting the Unique Properties of III-V Nanowires in the Terahertz Gap
Hannah Joyce1,Chawit Uswachoke1,Stephanie Adeyemo1,Gregory Tainter1,Lissa Eyre1,Kaveh Delfanazari1,Jack Alexander-Webber1,Jessica Boland2,Michael Johnston3
University of Cambridge1,University of Regensburg2,University of Oxford3
Show AbstractBetween the microwave and infrared regions of the electromagnetic spectrum lies the so-called "terahertz gap," a band of terahertz (THz) frequencies which are difficult to access by conventional photonic or electronic technologies. Due to their high charge carrier mobilities and tunable charge carrier lifetimes, III-V semiconductor nanowires exhibit great potential for optoelectronic devices operating at these THz frequencies. For example, high performance nanowire-based photoconductive THz detectors [1] and ultrafast switchable THz polarisation modulators [2] have been recently demonstrated.
Just as III-V nanowires show great promise for THz devices, the THz spectral region is greatly promising for the development and optimisation of III-V nanowire materials. Specifically, transient THz spectroscopy provides a powerful contact-free means of measuring nanowire electrical properties. It can achieve accurate measurements of charge carrier transport and dynamics at room temperature with sub-picosecond temporal resolution, and yields key parameters such as electron mobility, ionised dopant density, charge carrier lifetime and surface recombination velocity. Being a contact-free technique, it overcomes many of the challenges associated with traditional contact-based measurements and provides fast feedback to growth experiments. This has enabled the optimisation of growth parameters for achieving nanowire heterostructures, doped nanowires and modulation-doped nanowires [3, 4]. This talk will discuss how THz spectroscopy is guiding nanowire growth, the cross-correlation of THz and contact-based measurements, and the engineering of nanowire-based devices, particularly devices operating at THz frequencies.
[1] Peng et al., Nano Lett. 15:206-210 (2015)
[2] Baig et al., Nano Lett. 17:2603-2610 (2017)
[3] Joyce et al., Semicond. Sci. Technol. 31:103003 (2016)
[4] Boland et al., ACS Nano 10:4219-4227 (2016)
4:00 PM - NM03.14.02
Ultrafast Lights up the Nanoscale—Pushing the Limits of THz Spectroscopy to Reveal Novel Nanowire Optoelectronic Properties with fs-Temporal and nm-Spatial Resolution
Jessica Boland1,Francesca Amaduzzi2,Sabrina Sterzl3,Heidi Potts4,Fabian Sandner1,Fabian Mooshammer1,Markus Huber1,Laura Herz3,Rupert Huber1,Anna Fontcuberta i Morral2,Michael Johnston3
University of Regensburg1,École Polytechnique Fédérale de Lausanne2,University of Oxford3,Lund University4
Show AbstractAccurate characterization of the electronic properties of semiconductor nanowires is essential for the development of novel nanowire-based devices. In order to harness the full potential of these materials, an in-depth understanding of key properties, such as charge carrier lifetimes, mobilities, doping concentrations, charge carrier recombination and scattering mechanisms, is vital. However, detailed studies still prove challenging, as the inherent quasi-one dimensional nanowire geometry impedes conventional electrical measurement techniques. Challenges in fabricating lateral Ohmic contacts for Hall effect measurements and uncertainty in the gate capacitance term for field effect measurements, render such techniques difficult. Therefore, non-contact, non-destructive techniques, such as terahertz and Raman spectroscopy, are highly desirable for obtaining the nanowire optoelectronic properties, providing a route for optimization and control of the nanowire transport properties for device optimization.
In this work, both far-field optical-pump terahertz-probe (OPTP) spectroscopy and near-field terahertz scanning optical microscopy (THz-SNOM) are presented as alternative techniques for accurate characterization of the charge carrier dynamics in semiconductor nanowires. First, OPTP spectroscopy is employed for InAsxSb1-x nanowires . Photoconductivity lifetimes, carrier mobilities and scattering rates are obtained at room temperature and within the temperature range of 4 – 300K for a rangle of Sb contents. As Sb content is increased, the defect density within the NWs reduces, leading to an increase in electron mobility and carrier lifetime. For 35% Sb concentration, the highest ever electron mobility for III-V NWs is reported at ~7000cm2V-1s-1 at 300K, indicative of reduced impurity scattering in the NW due to reduced defect density offered by Sb incorporation. These results highlight the potential of OPTP as a powerful tool for characterizing nanowire behaviour. Secondly, THz-SNOM is discussed as a near-field method for examining the local optoelectronic properties of a single nanowire and preliminary measurements on Bi2Se3 nanowires are presented. With this technique, the optoelectronic properties of semiconductor nanowires can be resolved with not only femtosecond temporal resolution but also nanometre spatial resolution. The technique is also surface-sensitive, allowing the transport and dielectric properties of the nanowire to be resolved at different depths within the nanowire, isolating contributions from the bulk and the surface to the nanowire conductivity.
4:15 PM - NM03.14.03
Wavelength-Selective Reflection Enhancement Towards Rare-Earth Doped 1D-Nanolasers
Maximilian Zapf1,Oscar Kennedy2,Robert Roeder1,Robert Buschlinger1,Ulf Peschel1,Paul Warburton2,Carsten Ronning1
University of Jena1,University College London2
Show Abstract
Nanoscale coherent light sources are key components in novel photonic concepts for integrated photonic circuits and lab-on-a-chi devices with potential applications in life sciences, chemistry, and physics for on-chip sensing, spectroscopy, and optical data communication. Optically one-dimensional nanolasers are currently realized using semiconductor nanowires (NWs) under intense optical excitation, as they inherently combine the required semiconductor optical gain and the beneficial NW morphology. This morphology allows efficient wave guiding and provides a nanoscale Fabry-Pérot-type resonator structure, where the cavity is determined by reflections at the nanowire end facets due to refractive index contrast. Both the internal material gain and the end facet reflectivities strongly influence the lasing threshold. Distributed Bragg reflectors (DBRs), where the nanowire is periodically cut, have higher reflectivity than a single end facet at wavelengths pre-determined by the spacing of cuts. These wavelength-selective mirrors can be combined with gain from the semiconductor material or from dopants ion implanted into the NW to shift laser emission within a broad spectral range. Finite-difference time-domain calculations were performed to simulate the wavelength-dependent reflections occurring at an air - ZnO DBR structure. Such DBR structures were milled into ZnO nanowires using inert-gas focused ion beam providing the high refractive index contrast between the ZnO material and air. Subsequently, reflection and transmission properties of the DBR structures have been studied. Enhanced wavelength-dependent end facet reflections allow reducing laser thresholds in nanowire lasers as well as tailorable nanoscale wavelength filtering, and spectral emission tuning. This wavelength-selective reflection enhancement can be applied in order to realize sub-band gap nanolaser emission in arbitrary spectral regimes determined by the optical gain of the dopants.
4:30 PM - NM03.14.04
GaAsSbN Based Core-Shell Nanowires with Photoluminescence Emission Reaching 1.55 µm
Prithviraj Deshmukh1,Manish Sharma1,Surya Nalamati1,Lewis Reynolds2,Yang Liu2,Shanthi Iyer1
North Carolina Agricultural and Technical State University1,North Carolina State University2
Show AbstractIncorporation of nitrogen in the GaAsSb shell is studied to reduce the bandgap energy for realizing nanoscale optoelectronic devices in the telecommunication wavelength region. Bandgap tuning up to 1.55 μm in GaAsSbN nanowires (NWs) can be achieved using dilute amounts of nitrogen in the shell. Engineering the material composition and structure of the core-shell NW for bandgap reduction has not been fully explored in this quaternary system. Previous work on GaAsSb [1] and GaAsSbN [2] shell utilizes a GaAs NW as the core, which limits the amount of Sb to 10 at.% in the shell, due to NW bending and rough surface morphology. [2] In this work, we report on high density of vertical GaAsSb/GaAsSb(N) core-shell configured nanowires, with smooth surface morphology and Sb compositions exceeding 10 at.%, in the shell, grown on Si (111) substrates using plasma assisted molecular beam epitaxy. Nitrogen incorporation in the dilute nitride shell was optimized to produce high photoluminescence (PL) intensity. Effects of N incorporation on the morphology of NWs were studied to optimize a closely lattice-matched core-shell material configuration. Study of variation in shell thickness and V/III ratio are carried out to achieve NWs with reduced bandgap energy and smooth morphology. Room temperature PL emission of 1.55 μm has been achieved. Annealing in dilute nitrides is essential for annihilation of N-induced point defects and band tail states. [3] We have carried out rapid thermal annealing in N2 ambient at varying temperatures in order to eliminate point defects and to enhance the PL intensity. Changes in peak positions and spectral lineshapes in the Raman spectra of annealed samples have been used to ascertain the nature of the defects being annihilated. Study of structural quality of dilute nitride NWs using transmission electron microscopy will also be presented. We successfully demonstrate significant bandgap reduction in the core-shell NWs by engineering appropriate reduction in the lattice strain with the non-nitride core.
Acknowledgment: This work is based upon research supported by the U.S. Office of Naval Research under award number N00014-16-1-2720
References:
[1] P. K. Kasanaboina, S. K. Ojha, S. U. Sami, C. L. Reynolds, Y. Liu, and S. Iyer, "Bandgap tuning of GaAs/GaAsSb core-shell nanowires grown by molecular beam epitaxy," Semiconductor Science and Technology, vol. 30, p. 105036, 2015.
[2] P. K. Kasanaboina, E. Ahmad, J. Li, C. L. Reynolds, Y. Liu, and S. Iyer, "Self-catalyzed growth of dilute nitride GaAs/GaAsSbN/GaAs core-shell nanowires by molecular beam epitaxy," Applied Physics Letters, vol. 107, p. 103111, 2015.
[3] P. Kasanaboina, M. Sharma, P. Deshmukh, C. L. Reynolds, Jr., Y. Liu, and S. Iyer, "Effects of Annealing on GaAs/GaAsSbN/GaAs Core-Multi-shell Nanowires," Nanoscale Res Lett, vol. 11, p. 47, Dec 2016.
4:45 PM - NM03.14.05
GeSn Mid-Infrared Nanophotonic Resonant Absorbers
Siying Peng1,Michael Braun1,Andrew Meng1,Zhengrong Shang1,Alberto Salleo1,Paul McIntyre1
Stanford University1
Show AbstractMid-IR wavelengths are technologically crucial for chemical sensing, thermal imaging and LIDAR communications. Having been shown to exhibit a direct bandgap, GeSn alloys hold great promise as a mid-IR light emitting and absorbing material, with the potential to be monolithically compatible with existing silicon technologies. Therefore GeSn can open pathways for miniaturization of mid-IR devices such as on-chip molecular sensors, optical interconnect and thermal cloaking. Previous research on GeSn films has shown mid-IR lasing at T<90K. It has also been shown that GeSn nanowires with high-Sn content exhibit strong direct-gap PL at room temperature. In principle, GeSn nanowires have several advantages over thin films. GeSn film suffers the inherent compressive misfit strain from coherency with a silicon growth substrate, while GeSn nanowires are not constrained to lattice match with the substrate. In addition, epitaxial film growth of metastable Sn alloy compositions (>10%) required to modify the conduction band structure of Ge so to achieve a direct band gap is likely to produce defects due local Sn surface segregation and/or phase separation. In comparison, GeSn nanowires grown by catalyzed low-temperature methods may be able to achieve unusually high Sn contents by solute trapping. Therefore, GeSn nanowires may constitute a superior laser gain medium compared to GeSn thin films, whose emission characteristics are limited by substrate-induced strain and non-radiative combination centers from defects. We have synthesized and characterized mid-IR photonic crystals consisting of GeSn/Ge coreshell nanowires. FDTD simulations reveal Mie resonances of the high refractive index (n>4) GeSn/Ge structures can be tuned to the desired wavelength by varying radius and height of individual nanowires. GeSn/Ge nanowires arranged in hexagonal photonic crystal arrays can be designed to be highly absorbing, by varying periodicity and filling factor of the photonic crystal. Ebeam lithography was utilized to pattern Au catalyst arrays on [111] Ge substrate, followed by two step VLS growth to synthesize Ge/GeSn nanowire arrays, with periodicity of 1000 nm and radius from 60 nm to 300 nm, and yielding 90% vertical growth. We then spin coat PMMA to insulate the Ge substrate from the nanowires, followed by ITO sputtering for top contact. Al bottom contact was then evaporated onto the n-type Ge substrate. Room temperature PL characterization reveals emission peaks from 1600nm to 2100nm. Mid-IR reflectance of the GeSn photonic crystal arrays was measured with FTIR, showing 40% reflectance from photonic crystal arrays, with the reflectance peak tunable with radius of the nanowires. We also performed photocurrent characterization with a FTIR spectrometer. Room temperature photocurrent was observed at wavelengths from 1.2μm to 2μm, generated from a non-coherent mid-infrared source. Nanophotonics tuning of the wavelength and widths of the photocurrent peak will be discussed.
Symposium Organizers
Michael A. Filler, Georgia Institute of Technology
Kimberly Dick Thelander, Lund University
Anna Fontcuberta i Morral, École Polytechnique Fédérale de Lausanne
Jordi Arbiol, ICREA and Institut Català de Nanociència i Nanotecnologia
Symposium Support
Applied Materials
INDEED Network
NM03.15: Growth and Transport
Session Chairs
Anna Fontcuberta i Morral
Hannah Joyce
Amar Mohabir
Thursday AM, November 29, 2018
Sheraton, 2nd Floor, Back Bay D
8:30 AM - *NM03.15.01
Growth and Transport Properties of Metal Oxide Nanowires
Takeshi Yanagida1
Kyushu University1
Show Abstract
Single crystalline metal oxide nanowires are interesting nanostructures due to their abundant resources and robustness in air and water. In addition, metal oxides exhibit many fascinating physical properties, including high-Tc superconductors, ferromagnetism, ferroelectrics, memristive properties, photocatalytic properties, transparent conductors and others, which are not attainable to conventional semiconducting materials (group IV and III-V). However, fabricating single crystalline metal oxide nanowires has been based on a rule of thumb, there has been no general principle to design metal oxide nanowires. The feasibility of functional oxide nanowires, whose physical properties are hardly attainable to other materials, has been also strongly limited. Here I demonstrate i) a fundamental design concept for creating single crystalline oxide nanowires via vapor-liquid-solid (VLS) pathway, and ii) a development to measure the physical properties of a single nanowire, including electrical and thermal transport properties. By comparing experimental VLS nanowire growth to MD simulations. we found that the difference between LS interface and VS interface on the critical nucleation size essentially allows us to perform VLS nanowire growth. This knowledge can be expanded to discover novel metal oxide nanowires via VLS mechanisms. In addition, we have shown the impact of crystal growth interface on the electrical properties of metal oxide nanowires. I believe that the presented approaches by utilizing meal oxide nanowires offers an important platform for investigating not only nanoscale physical properties of transition metal oxides but also exploring novel nanodevices with other materials, which had not been possible to be integrated onto Si and/or plastic substrate.
9:00 AM - NM03.15.02
Macro-Sized Powerful Actuators Based on Super-Aligned Vanadium Dioxide
Pengcheng Chen1,Run Shi1,Nan Shen1,Chun Cheng1
Southern University of Science and Technology1
Show AbstractRecently, vanadium dioxide (VO2) has attracted much attention due to its distinct change of physical properties across the metal-insulator transition (MIT) Specifically, VO2 will experience a dramatic strain up to 1% along the c-axisas a result of MIT, which is hundreds of times larger than the other materials(such as piezoelectric ceramics and bimetal actuators, which could only have strain of 10-5-10-4);more importantly,Young’s modulus of VO2 can approach 140GPa, which is rather larger than steel. These distinctive characteristics make VO2 a promising material in actuator applications. As previously reported, most of micro-sized VO2 bimorph actuators are fabricated by pulsed laser deposition (PLD). However, the equipment required for PLD process is complex and expensive, and the preparation is time consuming and results in micro-scale VO2 films, largely restricting its developing. In addition, the obtained VO2 films demonstrated a severely limited output work density of 0.63 J/cm3, compared with the theoretical value of 7 J/cm3, which was caused by the disordered polycrystalline structures of VO2 in PLD process. Therefore, a novel synthesis method is urgently required.
To break these limitations, we propose a facile and scalable method to fabricate large-scale and high-performance actuator films. In this work, we firstly synthesized ultra-long VO2 nanowires (>100um) via a scalable hydrothermal process, then successfully assembled the nanowires into super-aligned arrays in the water-oil-vapor interface. Subsequently, carbon nanotube (CNT) thin films were combined with the VO2 super-aligned arrays to obtain VO2/CNT actuator in a macro scale (1-5cm2, much larger than that fabricated by PLD method). The synthesized actuator demonstrated excellent performance close to single crystal with the ratio of actuation displacement/length up to 0.83 and work density up to 5.67 J/cm3. Furthermore, VO2/CNT actuator exhibited strong anisotropy characteristics, namely, its actuation direction would significantly change with the orientation of VO2 nanowires. Besides, several biomimetic devices based on VO2/CNT actuator are developed, and these devices have the advantages of superior performance, long-time durability and multiple excitation sources. Overall, we have fabricated high-performance VO2/CNT actuator and biomimetic devices based on super-aligned VO2 nanowire arrays for the first time, which will definitely pay a way for research on actuators and biometrical devices.
9:15 AM - NM03.15.03
Oxidation-Enhanced Si Self-Diffusion in Isotopically Modulated Nanopillars
Ryotaro Kiga1,Sayaka Hayashi1,Satoru Miyamoto1,Yasuo Shimizu2,Tetsuo Endoh2,3,Yasuyoshi Nagai2,Kohei Itoh1
Keio University1,Tohoku University2,JST-ACCEL3
Show AbstractIn advanced Si-MOSFETs, current leakage issues have been pronounced as a result of approaching downscaling limit. A vertical gate-all-around architecture offers great advantages for both low-power consumption and high-density integration [1]. While gate-oxide formation surrounding nanoscale Si pillars involves a high-degree controllability, substantial modifications from conventional planar oxidation may be required for a precise process modeling of surface-oxidized nanopillar geometry. Recently, by taking the geometrical and stress effects into account, several paths of Si atomic transport are theoretically predicted for the inner Si and outer SiO2 regions, respectively [2]. In this work, we present experimental investigation of Si self-diffusion in the oxidized nanopillars by means of atom probe tomography (APT).
Here, a periodic Si isotope heterostructure composed of naturally abundant natSi and isotopically enriched 28Si (99.92%) was epitaxially grown on a Si(100) substrate, which was followed by a top-down metal-assisted chemical etching for obtaining isotopically modulated Si nanopillars. The resulting nanopillars having a diameter of ~200 nm were subject to dry oxidation at 900 °C for 4 hours. For reference, a nanopillar sample annealed in an Ar ambient was also prepared. Individual nanopillars were then picked up from each sample and sharped into needle-shaped APT specimens by focused ion beam technique. In order to acquire 30Si concentration profile along a pillar or depth axis, 3D mapping of Si isotopes was carried out using a laser-assisted atom probe (LEAP4000XHR, Cameca). In parallel, secondary ion mass spectrometry (SIMS) was performed only for an unetched bulk region outside nanopillars.
The comparison of isotope layer thickness with the SIMS profile enabled APT-based evaluation of Si self-diffusivity on a calibrated depth scale [3]. For a bulk region of the Ar-annealed sample, the Si self-diffusivity obtained from the APT analysis indeed represented good agreement with the SIMS result. In addition, whereas the Si self-diffusion was obviously enhanced for both nanopillar and bulk under dry oxidation, the self-diffusivity in nanopillar was found to remain comparable with the result for the bulk Si. Although oxidation-enhanced diffusion is known to take place via Si interstitials created at the Si/SiO2 interface, they are most likely to diffuse out of the pillar core into the deep bulk region. However, the oxidation-enhanced diffusion holds irrespective of the nanosized structures since more Si interstitials can be injected into the pillar core due to high interface-to-volume ratio.
This work was supported by JST ACCEL Grant Number JPMJAC1301, Japan, and in part by JSPS KAKENHI (No. 15H05413).
[1] T. Endoh et al., IEICE Trans. Electron. E93-C, 557 (2010).
[2] H. Kageshima et al., Jpn. J. Appl. Phys. 57, 06KD02 (2018).
[3] T. Südkamp et al., J. Appl. Phys. 123, 161515 (2018).
9:30 AM - NM03.15.04
Creation of Strong Hot Carrier Effects in a GaAs0.7Sb0.3/InP Nanowire Heterostructure
Leigh Smith1,Iraj Shojaei1,Samuel Linser1,Giriraj Jnawali1,Howard Jackson1,Xiaoming Yuan2,Philippe Caroff2,Hark Hoe Tan2,Chennupati Jagadish2
University of Cincinnati1,The Australian National University2
Show AbstractLike GaAs and GaSb, GaAsSb ternary alloys are not expected to show hot carrier effects. We use femtosecond pump-probe measurements (TRS: transient Rayleigh scattering) in single zinc-blende GaAs0.7Sb0.3 nanowires at both 10 K and 300 K to monitor the photoexcited carrier density and temperature as a function of time and so determine directly the energy loss rate. We confirm that hot carriers quickly thermalize to the lattice predominantly through optic phonon emission as expected. Similar measurements were performed on GaAs0.7Sb0.3-InP core-shell nanowires. While the growth of the InP shell results in substantially longer lifetimes through passivation of surface defects, we found, surprisingly, that the growth of the shell had a dramatic impact on the thermalization of the photoexcited carriers. Using TRS measurements, we monitor the density and temperature of carriers to determine the energy loss rate. At both 10 K and 300 K the optic phonon emission rate is strongly suppressed resulting in strong hot carrier effects. This is surprising since the GaAsSb nanowire core is the same in both cases. The presence of the InP shell is impacting directly the thermalization dynamics of carriers confined to the GaAsSb core.
We acknowledge the financial support of the NSF through grants DMR 1507844, DMR 1531373 and ECCS 1509706, and the financial support of the Australian Research Council.
9:45 AM - NM03.15.05
Adjusting the Surface Band Structure of Bottom-up Grown Silicon Nanowires
Steffen Strehle1,Tobias Dlugosch1,Tanja Sandner1,Ahmed Chnani1
Ulm University1
Show Abstract
Silicon nanowire ion-sensitive field-effect-transistors were highlighted within the last decade as nanoscale transducers for label-free molecule detection owing to their large surface to volume ratio. Hence, a reliable and well-controlled transducer response is intimately linked to the electronic surface band structure, but which is still insufficiently explored. Here, we discuss the electronic surface band structure of bottom-up grown silicon nanowires as well as strategies that allow to rationally adjust the position of the surface valence and conduction band edge as well as the surface Fermi-level position. The studies were carried out by using a Kelvin probe, ambient photoelectron spectroscopy, and ambient surface photovoltage spectroscopy allowing an absolute reconstruction of the electronic surface band structure as well as an estimation of the charge carrier lifetime. In principle, as-grown silicon nanowires showed frequently the well-known mid-band gap Fermi-level pinning, governed by surface states, which significantly limits the transducer sensitivity. Nevertheless, the surface band structure can be effectively controlled by suitable surface state passivation layers. Besides a hydrogen termination, a common surface functionalization, e.g. by (3-aminopropyl)trimethoxysilane and (3-mercaptopropyl)trimethoxysilane, is already sufficient to alter the surface states effectively. Furthermore, ultra-thin field-effect passivation layers such as thermal SiO2 and atomic layer deposited Al2O3 were intensively studied and allow to rationally adjust the surface band structure and therefore, the surface charge carrier concentration. In result, silicon nanowire surfaces exhibiting a charge carrier accumulation, depletion, inversion and even a degeneration can be created in this manner, which is highly relevant for any reliable and controlled nanowire device usage. The silicon nanowires for our study were synthesized bottom-up by using a SiH4-based and gold-catalyzed vapor-liquid-solid process. Besides unintentionally doped nanowires, p- and n-type doping of varying concentration were realized by admixture of either B2H6 or PH3, respectively.
NM03.16: Epitaxy and Growth
Session Chairs
Jordi Arbiol
Maritza Mujica
Takeshi Yanagida
Thursday PM, November 29, 2018
Sheraton, 2nd Floor, Back Bay D
10:30 AM - *NM03.16.01
Epitaxy of Nanostructures for Device Integration
Heinz Schmid1,Johannes Gooth2,Stephan Wirths3,Philipp Staudinger1,Benedikt Mayer4,Siegfried Karg1,Heike Riel1,Svenja Mauthe1,Kirsten Moselund1
IBM Research Zurich1,MPI Dresden2,ABB3,MPI Berlin4
Show AbstractOver the last 20 years many approaches for nanostructure synthesis have been pursued [1] which often resulted in exotic geometries from a wide range of materials, and triggered huge interest across many scientific disciplines. Translating these promising nano-structuring methods into a powerful device technology remains challenging up to now, also with competing technologies steadily improving. Here, we show our efforts on nanostructure synthesis specifically targeted towards device integration and illustrate challenges and opportunities. We focus on III-V compound semiconductors as these materials are well known, have outstanding properties, are universally used, but still face unsolved integration issues when combined with silicon. The device examples were all fabricated by local epitaxy in templates on Si substrates [2]. Epitaxy and resulting structural and electrical material properties are discussed in detail. Selected device results on field effect transistors and optical excited disk lasers [3] are shown and their integration potential addressed. Finally, devices targeted for 1D transport measurements [4] are discussed which are of interest in more fundamental oriented research.
Acknowledgements: This research received funding from the European Community’s Horizon 2020 projects: INSIGHT, SILAS, MODES, and PLASMIC
References:
[1] Semiconducting Nanowires, Woodhead Publishing (2015)
[2] H. Schmid, M. Borg, K. Moselund, L. Gignac, C. M. Breslin, J. Bruley, D. Cutaia, H. Riel, Appl. Phys. Lett. 106, 233101 (2015)
[3] S. Wirths, B. Mayer, H. Schmid, M. Sousa, J. Gooth, H. Riel, K. Moselund, ACS Nano (2018)
[4] J. Gooth, M. Borg, H. Schmid, V. Schaller, S. Wirths, K. Moselund, M. Luisier, S. Karg, H. Riel, Nano Lett. 17, 2596−2602 (2017)
11:00 AM - NM03.16.02
Oriented Semiconductor Nanostructure Generation with Phototropic Growth Control
Madeline Meier1,Azhar Carim1,Sisir Yalamanchili1,Jonathan Thompson1,Harry Atwater1,Nathan Lewis1
California Institute of Technology1
Show AbstractPhotosynthetic plants, including palm trees and sunflowers, exhibit a phenomenon known as phototropic growth wherein the physical extension of the biological system proceeds preferentially towards the time-averaged position of the sun. By capitalizing on anisotropies instrinsic to light-material interactions on the nanoscale, natural phototropism can be mimicked using photoelectrochemical deposition to effect the template-free generation of semiconductor nanostructures. Photoelectrochemical growth of semiconducting chalcogen films without any lithographic pre-processing or photomask yielded films composed of highly anisotropic and orderd nanostructures. By controlling the angle of incidence of the light during deposition, the orientation and growth direction of the structures with respect to the substrate could be defined without relying on epitaxial growth. This manner of morphological evolution was observed as the growth was dictated by light capture: mass was spontaneously added most preferentially to the localized regions capturing the maximal light flux. Morphological complexity was generated by combining the use of inclined illumination with a series of defined polarization and wavelength inputs which allowed in-plane and out-of-plane orientation, spacing, and periodicity.
Growth was simulated using a finite-difference time domain method to model the light-matter interactions and a Monte Carlo method for mass addition. The results of the simulation successfully reproduced the experimentally observed morphologies. The underlying optical basis was further investigated using a simplified light scattering model that corroborated the magnitude and direction of the empirically-observed phototropic responses.
11:15 AM - NM03.16.03
Effects of Growth Conditions on the Preferential Orientation of Al-Catalyzed Silicon Nanowires
Mel Hainey1,2,Xiaotian Zhang1,Ke Wang1,Joan Redwing1
Pennsylvania State University1,Nagoya University2
Show AbstractSilicon nanowires grown in high energy growth direction such as <110> and <100> are of scientific interest because of their predicted improved conductivity and hole mobilities relative to <111> wires. However, large-scale, controllable growth of these wires remains a challenge, limiting not only their potential application, but even attempts to experimentally verify their predicted properties. Additionally, the use of an aluminum catalyst is desirable because the incorporated Al acts as a p-type dopant instead of forming deep-level traps as with an Au catalyst.
In this report, we demonstrate the effect that hydrogen partial pressure, reactor temperature, and particularly precursor (SiH4) depletion have on the preferential growth direction of aluminum-catalyzed silicon nanowires grown by chemical vapor deposition (CVD) in a hot wall quartz tube reactor[RJM1] . The substrates used for growth consisted of Si (110) wafers coated with a 10 nm Al catalyst film. Previously, we have demonstrated that sub-eutectic growth temperatures and high hydrogen partial pressures promoted <110> wire growth from Si (110) substrates. However, prior reports of Al-catalyzed <111> wire growth suggested that these same temperatures and pressures should promote growth in the lower energy <111> direction. To better understand how the same growth conditions can promote different preferential growth directions, long (~3cm) Si (110) substrates were placed in the reactor using the previously described preferential <110> growth conditions. At the front of the sample, closer to the gas inlet, nanowires grew exclusively in the <111> direction. Moving further downstream in the reactor, a clear transition from preferential <111> to preferential <110> growth was observed without significant change in the nanowire growth rate.
Computational fluid dynamic simulations combined with a simplified gas phase and surface chemistry model of SiH4 decomposition and reaction along the length of the reactor tube suggests that the transition from <111> to <110> corresponds with gas phase depletion of SiH4. The region with higher SiH4 concentration corresponded with preferential <111> growth, while the region of depleted concentration corresponded with preferential <110> growth. The preferential growth of wires in high-energy growth directions under SiH4-depleted conditions agrees with previous observations from Ge <110> nanowire growth, where decreased Ge flux to the catalyst droplet changed the preferential growth direction from <111> to <110>.
Finally, by using silane-depleted growth conditions, we demonstrate that <100> wires can also be fabricated from Si (100) substrates. These results suggest that silane-depletion conditions can be used to fabricate wires in previously inaccessible growth directions.
11:30 AM - NM03.16.04
Realization of Vertical GaN NW Static Induction Transistors
Matthew Hartensveld1,Cheng Liu1,Yu Kee Ooi1,Jing Zhang1
Rochester Institute of Technology1
Show AbstractNanowire (NW) transistors are emerging as replacement technology to planar devices due to better scaling and gate control. Vertical NWs, as opposed to planar NWs, allow for conventional fabrication techniques to be utilized to build up the device once the NWs are formed. The gate length in these devices is defined by the metal thickness on the sidewalls which allows better scaling of those transistors. In addition, vertical NWs support higher transistor densities and allow for separate source and drain metallization engineering. Specifically, GaN has been explored to use in vertical NW transistors due to the wide bandgap along with high carrier mobility, which are important in high power applications. Several studies have explored GaN vertical NW transistors recently, which are mostly Metal Oxide Semiconductor Field Effect Transistors (MOSFETs). Those MOSFETs typically make use of a surface channel combined with a unique growth structure, which are difficult to implement.
Alternatively, Static Induction Transistors (SITs) have a buried channel, straightforward vertical structure, and ability to support high voltages. Therefore, in this work, GaN-based NW vertical SITs have been realized in order to capitalize on these properties. Instead of a custom n-i-n structure from most GaN NW MOSFETs, this GaN NW SIT is fabricated from unintentionally doped GaN with a background doping concentration of 1016 cm-3. A top-down NW formation and a bottom-up fabrication process are utilized to fabricate the SIT. The NWs are formed through nanosphere lithography and Reactive Ion Etch (RIE), followed by KOH wet etch to crystal graphically shrink the diameter and to smooth the sidewalls of the NWs. Layers of metal are deposited to form the source, gate, and drain with Polydimethylsiloxane (PDMS) as the supporting insulating layers. In this study, a small gate length of 30 nm of aluminum is achieved solely through metal deposition. 20 nm of titanium is deposited as the source and drain contacts, followed by annealing in an N2 environment to produce nitrogen vacancies. These vacancies then act as donors that create an n-i-n structure through the wire. Both simulations and experimental results are obtained in order to electrically characterize the vertical SIT. The experimental results include the on/off ratio and the family of curves, recording six orders of magnitude difference for the on/off ratio. The effects of Drain Induced Barrier Lowering (DIBL) on the device are also electrically measured and show a shift to higher pinch-off values as the source to drain bias is increased. As the drain bias increases from 1 V to 1.5 V, the current level increases by 188 times. Device simulations have also been performed using Silvaco’s Athena, showing similar findings in experimental performance and DIBL. Due to the feasibility of the vertical GaN NW SITs, these power transistors can open the door to a number of new integration opportunities.
11:45 AM - NM03.16.05
Optically Directed Bottom-Up Growth of Anisotropic Semiconductor Nanostructure Arrays
Azhar Carim1,Nicolas Batara1,Anjali Premkumar1,Harry Atwater1,Nathan Lewis1
California Institute of Technology1
Show AbstractBottom-up growth of macroscale arrays of highly anisotropic nanoscale elements with significant periodic order was effected via photoelectrochemical deposition of a semiconducting chalcogen alloy. The exact nature of the optical excitation was the determinant of the features sizes, periodicities, anisotropies, and orientations of the arrays. Use of linearly polarized light resulted in the development of an array of highly-anisotropic components with a single set of extended axes that aligned along the E-field vector. The nanoscale component size and pitch was encoded by the illumination spectral profile. A single pitch was observed regardless of the use of broadband and multimodal spectral profiles. The void space between the array elements could be tuned and this value could be tuned between ca. 20 nm to 100 nm by using ultraviolet to near-infrared wavelengths. Intersecting arrays with nonequal periodicities in the two orthogonal in-plane directions could also be generated and both periodicities could be independently controlled by orthogonally polarized inputs. “Stacked” arrays could be generated by using temporally-varying polarization and/or wavelength inputs.
The nanopatterning process occurred without the use of any type of physical or chemical templating agents: no photomask, patterned substrate nor surfactants/ligands were used to influence the morphology. Modeling of the growth using a combination of full-wave electromagnetic simulations of light absorption and scattering coupled with probabalistic simulations of mass addition successfully reproduced the experimentally observed morphologies and indicated that morphology development was a consequence of the fundamental light-matter interactions during growth.
NM03.17: Structural Properties
Session Chairs
Kimberly Dick Thelander
Amar Mohabir
Heinz Schmid
Thursday PM, November 29, 2018
Sheraton, 2nd Floor, Back Bay D
1:30 PM - *NM03.17.01
Structural Analysis of Stable Defects in III-V Semiconductor Nanowire
Ana Sanchez1,James A Gott1,H Aruni Fonseka1,Yunyan Zhang2,Huiyun Liu2,Richard Beanland1,Sonia Conesa-Boj1
University of Warwick1,University College London2
Show AbstractThe rapid improvement in semiconductor growth and new processing techniques has produced novel materials/structures with unique functionalities. Nevertheless, persistent obstacles, such as the constraints on lattice parameter and thermal expansion mismatch, have prevented the growth of a wide range of epitaxial layers on bulk substrates. These constraints cause dislocations and cracks making the devices unviable. Nanowires (NWs) avoid both of these problems, since they are only attached to the substrate at one small region – their base. Moreover, mobile defects with long-range strain field – i.e. dislocations – are unstable and get expelled from the NW by surface image forces.
Electron microscopy plays a key role in understanding the structure of these novel materials. In fact, aberration-corrected scanning transmission electron microscopy (ac-STEM) has provided some beautiful images and valuable insights into many materials, with resolution and analysis capability now truly at the atomic scale.
This work demonstrates that the nanowire defect microstructure is very different from bulk material. We analysed defects present in semiconductor nanowires in regions of imperfect crystal growth, i.e., at the nanowire tip formed during consumption of the droplet in self-catalyzed vapor–liquid–solid growth and subsequent vapor–solid shell growth. Various line defects have been observed either (i) trapped by locks or other defects (ii) arranged as dipoles or groups with a zero total Burgers vector and (iii) have a zero Burgers vector. We find two new line defects with a null Burgers vector, formed from the combination of partial dislocations in twinned material.
The most common defect is the three-monolayer high twin facet with a zero Burgers vector. These defects are ∑3 (11-2) boundaries, having topological properties similar to those of dislocations, but no long-range strain field are stable and act as non-radiative recombination centers. Interestingly, if NW polarity is maintained across the (111) boundaries on either side of the step, i.e. an ortho-twin, the material on opposite sides of the ∑3 (11-2) boundary is related by a mirror, and it must be a para twin. These interfaces are constituted by 5- and 7-membered rings that contain under-bonded and over-bonded atoms. Density functional theory calculations demonstrate that these ∑3 (11-2) facets produce a shift in the band edge and a closing of the band gap. So they will act as non-radiative recombination centres, causing problems for minority carrier devices and deleterious effects on nanowire properties.
2:00 PM - NM03.17.02
In Situ Studies on Layer-by-Layer Growth Kinetics of GaAs Nanowires
Carina Babu Maliakkal1,2,Daniel Jacobsson1,3,Marcus Tornberg1,2,Axel R. Persson1,3,Jonas Johansson1,2,Reine Wallenberg3,1,Kimberly A. Dick1,2,3
NanoLund, Lund University1,Solid State Physics, Lund University2,nCHREM and CAS, Lund University3
Show AbstractSemiconductor nanowires (NWs) have emerged as a highly promising technology for next-generation electronics and photonics. Although the potential of these structures lies in the possibility to control and design their properties on an atomic scale, the current mechanistic understanding of the synthesis process is clearly insufficient for achieving the promised level of control. Semiconductor nanowires are mostly grown via vapor-liquid-solid mechanism using a catalytic liquid metal nanoparticle (typically Au). The process is broadly understood to occur by dissolution of semiconductor precursor species in the liquid metal followed by precipitation of the solid semiconductor once the liquid becomes supersaturated. NW growth occurs in two steps: formation of a critical nucleus followed by step-flow across the liquid-solid interface. Most theoretical models explaining NW growth assume the step flow to be effectively instantaneous and the NW growth rate to be limited by the slow nucleation. In-situ imaging of nanowire growth demonstrated that the step-flow can also limit the overall growth rate.[1] We study, using in-situ TEM imaging combined with in-situ compositional analysis, the layer-by-layer growth kinetics of III-V NWs.
We have grown GaAs nanowires in a Hitachi HF3300S aberration-corrected environmental TEM connected to a CVD system. A SiNx-based MEMS heating chip was mounted on a holder with two separate microtubes running to the holder tip for supplying gases. We used trimethylgallium (TMGa) and arsine as the Ga and As precursors respectively. High resolution, high-frame-rate videos (20 fps) enable us to determine the growth rate for each bilayer (step flow time) as well as incubation times between layers. We studied the elemental composition of the catalyst by energy dispersive X-ray spectroscopy as a function of the growth parameters.
When growth temperature is increased for Ga-limited growth, the average Ga content in the droplet increases along with the incubation time. However, the step flow time is rather insensitive to temperature. When varying the TMGa flux, we identify two regimes: For TMGa flow below a critical value, the average concentration of Ga in the droplet is constant and Ga limits the average growth rate, while for TMGa flux above this value, the Ga content increases in the catalyst. The concentration of As in the catalyst droplet is small and below our detection limit. For the Ga-limited regime the long incubation time between bilayers limits the average growth rate. The incubation time decreases significantly with increasing Ga precursor flux. However, the step flow time is independent of Ga and we propose that this is limited by the arrival of As species in both regimes. For sufficiently high TMGa flux, the incubation time becomes shorter than the step flow time, and the arsine flux will limit the overall growth rate.
[1] D. Jacobsson et al., Nature 531, 317-322 (2016).
2:15 PM - NM03.17.03
Zinc Blende and Wurtzite Crystal Structure Formation in Gold Catalyzed InGaAs Nanowires
Jonas Johansson1,Egor Leshchenko1
Lund University1
Show AbstractSemiconductor nanowires with high carrier mobility and controlled chemical composition, morphology, and size distribution offer great promise in designing novel electronic, thermoelectric, and photovoltaic applications. It is well known that III-V nanowires can grow in the wurtzite crystal structure, even if the bulk crystal structure of the same material is zinc blende. There is currently a lot of research about controlling the crystal structure of nanowires by fine-tuning the growth conditions and this is known as crystal phase engineering. The breakthroughs in this area open new horizons allowing the fabrication of nanowires with well controlled or modulated crystal structure along the growth direction. Previous attempts to crystal structure control are mainly devoted to binary III-V nanowires. Crystal structure control in ternary nanowires is, on the other hand, poorly studied.
The aim of the current investigation is therefore to understand and predict the crystal structure of ternary nanowires. Among the various ternary material systems which are relevant for nanowire fabrication, the greatest attention has been paid to InGaAs [1] due to its excellent materials properties. We present an approach to understanding the zinc blende and wurtzite crystal structure formation in InGaAs nanowires growing from a quaternary gold-based liquid alloy. The model we have developed is based on two-component nucleation theory using realistic chemical potentials, calculated from an assessed thermodynamic database [2]. Using the model we calculate the probabilities of zinc blende and wurtzite phase formation in this materials system for different growth conditions. We compare our results with available experimental data and special attention is paid to analysis of the main trends in crystal phase control and the impact of the liquid composition on the final crystal structure. The developed model may help in optimization of the growth conditions for InGaAs nanowires with tailored crystal structure.
Finally, an interesting theoretical result of our analysis is that the wurtzite and zinc blende phases have different compositions, even if they are nucleated from identical conditions of the catalyst particle. We will show that this could open up for the possibility to fabricate nanowires with zinc blende and wurtzite segments having significantly different compositions even if the growth conditions are almost identical.
[1] G. Koblmuller, G. Abstreiter, Phys. Status Solidi RRL 8 (2014) 11
[2] E.D. Leshchenko, M. Ghasemi, V.G. Dubrovskii, J. Johansson, CrystEngComm 20 (2018) 1649
2:30 PM - NM03.17.04
Light Trapping in Nanowire Geometric Superlattices
Seokhyoung Kim1,Kyoung-Ho Kim1,David Hill1,Hong-Gyu Park2,James Cahoon1
University of North Carolina at Chapel Hill1,Korea University2
Show AbstractAll-optical operation holds promise as the future of computing technology, and key components will include miniaturized waveguides (WGs) and optical switches that control narrow bandwidths for logic operations and wavelength multiplexing. Nanowires (NWs) offer an ideal platform for nanoscale WGs, but their utility has been limited by the lack of a comprehensive coupling scheme with band selectivity. Controlled in-coupling of light to NW WGs thus still is problematic and has relied on scattering at NW end facets or end-on parallel coupling to the NWs. Mie resonances of NWs have been considered as a potential route to enable controlled coupling into guided modes, but the interplay of the two orthogonal modes remains yet unclear. Here, we introduce a NW geometric superlattice (GSL) that allows controlled, narrow-band guiding in Si NWs through direct coupling of a Mie resonance with a bound guided state (BGS) under normal incidence illumination with transverse-magnetic (TM) polarization. Periodic diameter modulation in a GSL creates a Mie-BGS coupled-excitation that manifests as a scattering dark state with a pronounced scattering dip in the Mie resonance envelope. We analyze scattering characteristics of NW GSLs using Temporal Coupled-Mode Theory (TCMT) and compare with numerical finite-element modeling. The coupling strength between the Mie and BGS modes, which is zero in the absence of the GSL geometry, becomes non-zero with periodic modulation and increases with an increasing modulation depth. Experimental extinction spectra of individual NW GSLs are measured by a home-built laser microscope and presented with numerically simulated spectra. The frequency of the coupled mode, tunable from the visible to near-infrared, is determined by the pitch of the GSL and exhibits a Fourier-transform limited bandwidth. Using a combined GSL-WG system, we demonstrate spectrally-selective guiding at telecommunication wavelengths with bandwidths of ~50 nm, which aligns with the spectral positions of the dips observed in extinction spectra. We also present optical switching characteristics of a GSL with an index change of the surrounding medium, highlighting the potential to use NW GSLs for the design of on-chip optical components.
2:45 PM - NM03.17.05
2D to 1D Growth of Layered SnSe2—Morphological Control of CVD Grown Nanostructures
Fionán Davitt1,2,Samantha Hawken3,Subhajit Biswas1,Gillian Reid3,Justin Holmes1,2
University College Cork and the Tyndall National Institute1,CRANN, Trinity College Dublin2,University of Southampton3
Show AbstractBottom-up growth strategies offer the potential for precise control over the crystallinity, structure, composition, and morphology of nanomaterials. In this research, a liquid injection chemical vapour deposition method has been utilized to grow crystalline tin diselenide (SnSe2) nanostructures, from a distorted octahedral [SnCl4{nBuSe(CH2)3SenBu}] single source diselenoether precursor.1 Most notably, careful adjustment of the growth parameters yielded great morphological control of the grown nanostructures; yielding structures from large 2D flakes, to large branched wire networks, and individual one-dimensional (1-D) nanostructures. With the custom designed single source precursor, SnSe2 nanostructures of only a few layers thickness were achievable, as well as 1-D growth with diameters between 60-240nm.
The Sn:Se stoichiometric ratio of ~1:2 in all of the different morphologies was confirmed by energy dispersive X-ray analysis and Raman spectroscopy. The presence of the SnSe2 A1g Raman mode was clearly observed for all synthesized nanostructures.2 The morphology and crystal structure of the SnSe2 nanostructures was investigated through X-ray diffraction, atomic force microscopy, electron diffraction, and transmission electron microscopy.
SnSe2 nanostructures demonstrate potential applications in phase change memory devices (PRAM), with films of SnSe2 being previously reported as showing the reversible amorphous to crystalline switching needed in phase change memory applications.3,4
(1) De Groot, C. H.; Gurnani, C.; Hector, A. L.; Huang, R.; Jura, M.; Levason, W.; Reid, G. Chem. Mater. 2012, 24 (22), 4442–4449.
(2) Smith, a. J.; Meek, P. E.; Liang, W. Y. J. Phys. Chem. C 1977, 1321 (10), 1321.
(3) Chung, K.-M.; Wamwangi, D.; Woda, M.; Wuttig, M.; Bensch, W. J. Appl. Phys. 2008, 103 (8), 83523.
(4) Wang, R. Y.; Caldwell, M. A.; Jeyasingh, R. G. D.; Aloni, S.; Shelby, R. M.; Wong, H. S. P.; Milliron, D. J. J. Appl. Phys. 2011, 109 (11).
NM03.18: Advanced Characterization
Session Chairs
Kimberly Dick Thelander
Maritza Mujica
Ana Sanchez
Thursday PM, November 29, 2018
Sheraton, 2nd Floor, Back Bay D
3:30 PM - *NM03.18.01
X-Ray Nano Analysis of Semiconductor Nanowires
Carsten Ronning3,Andreas Johannes1,Gema Martinez-Criado2
ESRF1,Instituto de Ciencia de Materiales de Madrid (CSIC-ICMM)2,University of Jena3
Show AbstractConventionally, nanowire characterization with a high spatial resolution relies on electron microscopy techniques, which can provide a large variety of structural and compositional information with atomic resolution. Energetic electrons interact quite strongly with condensed matter so that the analyzing depth is limited and the Bremsstrahlung-background allows only a moderate detection limit of elements. Compared to electrons, X-rays interact less strongly with matter and are thus less invasive and have a higher penetration depth, enabling analysis even at ambient conditions of operating and/or functional devices. Even if the spatial resolution of X-ray based methods is clearly lagging behind the one obtained by electron based techniques, today one can focus X-rays below 30 nm at several synchrotron facilities. In addition to their high brilliance, third generation synchrotron radiation sources have wide energy tunability, a high degree of polarization, fast temporal structure, coherence and more. This opens up the possibility of studies at length scales relevant for the characterization of semiconductor nano-devices with energy dependent X-ray studies, such as X-ray absorption near edge structure (XANES) or extended X-ray absorption fine structure (EXAFS). In this presentation, we will show several combinatory studies [1,2] demonstrating the beneficial use of X-ray nano probes for the characterization of single semiconductor nanowires and in-operando studies on devices thereof.
[1] In operando x-ray imaging of nanoscale devices: Composition, valence, and internal electrical fields, A. Johannes, D. Salomon, G. Martínez-Criado, M. Glaser, A. Lugstein, C. Ronning, Science Advances 3, eaao4044 (2017)
[2] Nano-X-ray Absorption Spectroscopy of Single Co Implanted ZnO Nanowires, J. Segura-Ruiz, G. Martínez-Criado, M.H. Chu, S. Geburt, C. Ronning, Nano Letters 11, 5322 (2011)
4:00 PM - NM03.18.02
Electrical and Optical Characterization of GaN-Based Hybrid Nanowire and Microrod LEDs
Tobias Voss1,Linus Krieg1,Stefan Leis1,Angelina Jaros1,Joergen Jungclaus1,Florian Meierhofer1
Braunschweig University of Technology1
Show AbstractThe oxidative chemical vapor deposition (oCVD) of ultrathin (<100nm) and highly transparent p-conductive polymer layers on arrays of vertically aligned semiconductor nanowires or microrods is a very powerful method for the fabrication of hybrid three-dimensional (3D) LEDs. In these hybrid LEDs, the p-doped part of the diode consists of a conformal layer of highly p-conductive poly(3,4-ethylenedioxythiophene) (PEDOT) for which literature values >3000 S/cm of the lateral conductivity have been reported.
We have used oCVD of PEDOT for the fabrication of hybrid GaN-based nanowire and microrod LEDs. The inorganic part of the LED consists of n-type GaN rods grown by selective-area MOVPE on sapphire substrates. The active region is formed by a radial InGaN-based multi-quantum-well structure. Using the gaseous monomer EDOT and FeCl3 as oxidant, thin p-conductive polymer layers have been deposited on planar and 3D GaN structures to form full hybrid LEDs. Stable, blue electroluminescence is observed from the devices at ambient conditions for an applied voltage >3V. We have studied the electronic properties of the hybrid pn-junction in temperature-dependent IV-measurements. The results are modelled in the frame of a thermionic emission model based on a bipolar Schottky junction yielding barrier heights of ~0.7-1.0 eV, breakdown voltages of ~-8 V, ideality factors of ~1.8-2 at room temperature and rectification ratios at ±4 V in the order of 107.
Our results demonstrate that oCVD of conductive polymer layers is about to become a powerful technique for the fabrication of highly p-conductive transparent polymer layers on nanowire devices with the layer thickness and doping level of the polymer being controlled by the deposition parameters.
4:15 PM - NM03.18.03
Electroluminescence from Photonic Crystal Cavities Based on III-V Nanowire Array on Silicon-on-Insulator
Ting-Yuan Chang1,Hyunseok Kim1,Wook-Jae Lee2,Diana Huffaker2
University of California, Los Angeles1,Cardiff University2
Show AbstractEpitaxial growth and heterogeneous integration of III-V optoelectronic devices on silicon photonic platform have been a potential building block for optical interconnect. Here, we demonstrate III-V arrayed nanowires (NWs) light emitting diodes (LEDs) monolithically integrated on silicon-on-insulator (SOI) substrate by selective area epitaxy (SAE) using MOCVD. This presentation will include the NWs LED design, growth and characterization in detail. NWs with axial p-GaAs / i-InGaAs heterostructure and in-situ InGaP passivation shell are directly grown on lattice mismatched n-type silicon substrate, while maintaining more than 98% yield and remarkable uniformity in NW dimensions. Due to small footprint, lateral strain releasing leads to lack of threading dislocation in NWs. Electroluminescence at photonic band edge in near infrared (NIR) region is observed, which can be utilized in in-plane waveguide coupling and low-loss propagation in silicon optical interconnect. It is worth noting that altering the design of NW array allows tunable emission wavelength for on-chip multi-wavelength optical network communication. Lastly, we show an advanced NW heterostructure in which diffusion barriers are incorporated to improve electrical confinement, enabling high carrier densities in the gain medium. These results provide great opportunities for achieving electrically injected NW-based photonic band edge lasers on silicon photonic platforms.
4:30 PM - NM03.18.04
STED Nanoscopy of Interfaces and Interactions Between Nanostructure Arrays and Living Cells
Elke Hebisch1,Martin Hjort1,Christelle Prinz1
Lund University1
Show AbstractThe specific arrangement of membrane lipids and proteins in a living cell at the interface to high-aspect ratio nanostructures (nanowires and nanostraws) is still unknown – as are the dynamic structural adaptations and molecular rearrangements of living cells in the vicinity of such nanostructures.
Whether the nanostructures actually pierce through the cell membrane or how introduced changes in membrane curvature change the biophysical properties of the cell membrane is of particular interest for investigations of the efficacy and safety of nano-sized tissue implants and for studying the delivery of substances into living cells via hollow nanostraws.
To elucidate these questions, STimulated Emission Depletion (STED) nanoscopy is the ideal technique because it is live-cell compatible, target-specific, and offers a lateral resolution on the protein level (<30 nm).
Here we present STED based investigations of the live-cell membrane and the cytoskeletal Actin signal in the presence of hollow Alumina nanostraws with diameter of 100 nm. As cellular model system we chose the lung-cancer derived A549 culture cell line. The cells were incubated on the nanostraws and subsequently fluorescence-tagged with live-cell compatible labels targeting the cell membrane and filamentous Actin, respectively.
We find that the cellular membrane forms ring structures of about 100 nm in diameter, wrapping tightly around the nanostraws. On the other hand, the Actin cytoskeleton forms intricate, coil-like nanometric structures around the nanostraws; these structures strongly vary in diameters between 250-600 nm and appear to widen with increasing distance from the nanostraw substrate.
In addition, STED images of living cells stained for both membrane and Actin signal reveal a significant degree of co-localization at the apical cell membrane, i.e. further away from the nanostraws. This co-localization is almost entirely lost at the basal membrane close to the nanostraws which is due to a strongly reduced Actin signal on that side of the cell.
In conclusion, our sub-diffraction STED imaging based investigations of the behavior of single living cells cultured on nanostraws reveals a strong response of the cellular membrane and the Actin cytoskeleton – two of the main structure-giving features of the cell. In a next step, we will extend our studies to additional scaffolding proteins to arrive at a more detailed map of the topology of living cells at the interface to nanostructures of different geometries.
4:45 PM - NM03.18.05
Preparation of 2D Bar Code Labeled Substrates for Nanowire Devices
Grace Redhyka1,Yuki Hanamura1,Ryo Yamada1,Hirokazu Tada1
Osaka University1
Show AbstractNanowire materials have been intensively studied in recent years because of the quantum confinement effect in one-dimensional structures of superconductor, metal, semiconductor, organic materials, and so on [1-4]. Their typical length to width ratio is greater than 103, which makes it possible for nanowire materials to connect with other macroscopic structures towards a functional device. There have been several works on the position control of nanowire structures, such as in-situ growth, electrophoresis alignment, and flow-induced shear force [5]. Preparation of an individual nanowire device using such methods requires a precise control of the position of the selected nanowire. However, direct observation of the nanowire position during electron beam lithography may cause structural deformation [6]. Therefore, atomic force microscopy (AFM) observation is typically used prior to the electron beam lithography to locate the individual nanowire. Here we report the development of 2-dimensional (2D) bar code as a position marker to determine the exact location of the nanowire and ensure the successful lithography of the electrode contact. The 2D bar code was made of Au thin film, with a thickness of 30 nm. One bar code was constituted by orientation markers and binary markers. The binary markers represent the coordinate of the 2D bar code relative to the alignment marker. We will show how we can utilize the 2D bar code from the AFM image to determine the nanowire position and create electrode contact successfully to the nanowire.
References:
[1] Zhao, X., Wei, C.M., Yang, L., Chou, M.Y., 2004, Phys. Rev. Lett. 92, 236805.
[2] Bezryadin, A., Lau, C.N., Tinkham, M., 2000, Nature 404, 971–974.
[3] Xie, S., Li, W., Pan, Z., Chang, B., Sun, L., 2000, J. Phys. Chem. Solids. 61, 1153–1158.
[4] Halder, A., Ravishankar, N., 2007, Adv. Mater. 19, 1854–1858.
[5] Wang, M.C.P., Gates, B.D., 2009, Mater. Today. 12, 34–43.
[6] Smith, B.W., Luzzi, D.E., 2001, J. Appl. Phys. 90, 3509–3515.