Meetings & Events

Publishing Alliance

MRS publishes with Springer Nature

 

1998 MRS Fall Meeting & Exhibit

November 30 - December 4, 1998 | Boston
Meeting Chairs:
 Clyde L. Briant, Eric H. Chason, Howard E. Katz, Yuh Shiohara

Symposium A—Polycrystalline Thin Films - Processing-Structure-Property Relationships

Chairs

Eduard Arzt, Max-Planck-Inst
Theodore Kamins, Hewlett Packard
Carl Thompson, MIT

* Invited paper

SESSION A1: MICROSTRUCTURAL EVOLUTION I
Chairs: Michael Hirscher and Carl V. Thompson
Tuesday Morning, December 1, 1998
Salon A/B (M)

8:30 AM A1.1

EVOLUTION OF STRESS AND MICROSTRUCTURE DURING DEPOSITION OF THIN METAL FILMS. S. Hearne 1, E. Chason2, J. Floro2, J. Hunter2, I. Tsong 1; 1Arizona State University, Tempe, AZ; 2 Sandia National Laboratories, Albuquerque, NM.

Understanding the evolution of microstructure is critical for control of the electrical and mechanical properties of thin films. We have developed a capacity for studying simultaneous evolution of film microstructure and stress in real-time during deposition of Ag on SiO2. In situ X-ray reflectivity has been combined with a Multiple Optical beam Stress Sensor (MOSS) to provide real-time measurements of the film's surface roughness, thickness, and stress. In situ X-ray diffraction provides measurements of film texture. We have used this new capability to investigate the dependence of nucleation rate, film coalescence, and film stress as functions of deposition rate, and temperature. A simple analytical model has been developed to simulate the microstructural evolution during deposition. In combination with kinetic Monte Carlo simulations these studies are being used to increase the understanding of film microstructural evolution from nucleation, through coalescence, to continuous film growth.
Sandia is a multiprogram laboratory operated by Sandia Corporation, A Lockheed Martin Company, for the United States Department of Energy under Contract DE-AC04-94AL85000.

8:45 AM A1.2
POLYCRYSTALLINE GROWTH REGIMES IN HOT WIRE CHEMICAL VAPOR DEPOSITION. R.E.I. Schropp and J.K. Rath, Utrecht University, Debye Institute, Utrecht, THE NETHERLANDS.

Hot Wire Chemical Vapor Deposition has recently advanded to a stage where it yields high quality fine-grained polycrystalline silicon thin films at low temperature (< 500$^{\rm o}$C). Films grown with highly hydrogen-diluted silane show immediate nucleation of crystals. The crystals have random orientation. XTEM and HREM show interconnected voids throughout the thickness of the films leading to severe post-oxidation. Instead, films grown with low hydrogen dilution have a compact bulk structure which eliminates oxygen incorporation (5 x$\ 10$^18cm^-3; SIMS) and result in a low defect density (8 x 1016 cm-3; ESR). The complete coalescence of grains is evident from the fact that the SiH stretching mode only absorbs at 2000 cm-1, and from the high temperature evolution ($\approx$ 600$^{\rm o}$C). The crystals have purely (220) orientation, but the initial growth shows an incubation phase of amorphous material. For devices such as TFTs and thin film crystalline solar cells, entirely crystalline film growth as well as high quality are desirable. In this paper we discuss the successful integration of the two differently hydrogen-diluted growth regimes into a profiled growth sequence to yield an entirely polycrystalline thin film. The thin film grows selectively along the (220) direction even though the seed layer has random orientation. Thin film solar cells have been made on stainless steel foil. Only solar cells incorporating a profiled growth sequence have low recombination losses and good power conversion characteristics. The devices further benefit from the optical enhancement due to the surface texture, leading to high generated current densities (> 20 mA/cm2) despite a small total thickness (< 2 $\mu$m).

9:00 AM A1.3
NUCLEATION OF CVD ALUMINUM ON TITANIUM NITRIDE, TANTALUM NITRIDE, AND TITANIUM-TUNGSTEN SURFACES. B.R. Rogers , Motorola, Inc., Mesa, AZ; T.S. Cale, Dept. of Chemical Engineering, Rensselaer Polytechnic Institute, Troy, NY.

The rough surface of chemical vapor deposited (CVD) aluminum thin film is one technological problem that needs to be resolved before CVD aluminum can be used in microelectronic device processing. The surface roughness of CVD aluminum thin films is at least partially determined by the material on which it is deposited. This work demonstrates that the nucleation of dimethylaluminum hydride-sourced CVD aluminum is distinctly different on TiN, Ti-W and TaN surfaces. High magnification scanning electron micrographs of TiN, Ti-W and TaN surfaces exposed to identical process conditions were used to determine the aluminum island shape, island size distributions, the fraction of surface covered by islands and island density on each surface. Islands formed on both TaN and Ti-W surfaces were faceted, while those formed on TiN had smooth surfaces. Surface coverages and densities of islands formed on TiN were an order of magnitude higher that those formed on TaN and Ti-W under similar processing conditions. Arrhenius plots of deposition rate show that CVD of Al onto TaN and Ti-W surfaces is much more thermally activated than onto TiN surfaces. Possible causes of these differences include both physical and electronic characteristics of the metal surfaces. The possible correlation of barrier metal surface roughness to nucleation is examined. Also, the role of the surfaces' electronic characteristics, such as interstitial electron density and number of partially filled d electron-orbitals on the nucleation of CVD aluminum from DMAH is discussed.

9:15 AM A1.4
MONOMER DIFFUSION AND NUCLEATION OF ISLANDS ON POLYCRYSTALLINE SUBSTRATES. C. Eisenmenger-Sittner , A. Bergauer, Institut fuer Angewandte und Technische Physik, Technische Universitaet Wien, Vienna, AUSTRIA.

For Physical Vapor Deposition (PVD) processes considerable experimental and theoretical work has been done concerning monomer diffusion as well as nucleation and growth of islands on atomically flat substrates. These elementary steps of film formation can be modeled by Kinetic Monte Carlo (KMC) simulations. Work on island nucleation and growth on polycrystalline substrates, however, is sparse. In this paper we present the results of Kinetic Monte Carlo simulations of monomer diffusion on polycrystalline substrates. The simulations consist of two steps: first a polycrystalline surface is generated by a Potts model of grain coarsening. Then different diffusion barriers for monomer diffusion are assigned to the different grains. The diffusion length of monomers is monitored as a function of the crystallinity of the substrate. Higher crystallinity (i. e. the existence of large monocrystalline grains) leads to higher diffusion lengths. In thin film growth the crystallinity of a surface can be influenced by the thickness of the film. The higher the film thickness the more large grains exist due to coarsening effects. Therefore, if a highly mobile, island forming material is deposited on underlayers of varying crystallinity the island density should be lowered with increasing underlayer thickness due to the existence of large monocrystalline grains. This effect was in fact observed for tin (Sn) deposited on aluminum (Al) underlayers. Because the island density is related to the monomer diffusion length the experimental results can be compared to the simulations. Good qualitative agreement of simulation and experiment is found.

9:30 AM A1.5
DEVELOPMENT OF FINE MICROSTRUCTURES IN THIN FILMS. C. L. Bauer , Department of Materials Science & Engineering, Carnegie Mellon University, Pittsburgh, PA.

Development of fine microstructures in thin films usually involves (1) nucleation of separate islands on a suitable substrate, (2) island growth and coarsening to form a continuous polycrystalline film, and (3) subsequent grain evolution to produce a thicker film, characterized by unique distributions of grain size, shape, crystallographic orientation (macrotexture) and boundary misorientation (microtexture). Each of these processes is analyzed sequentially through application of fundamental principles, incorporating deposition flux, surface diffusion and capillarity, as well as other thermodynamic and kinetic phenomena. First, a fundamental expression for island density (consequent grain size) is derived and analyzed; then, minimum thickness of a continuous film (island coalescence) is considered; finally, dynamic evolution of grain size, shape and distribution (texture), based on assumed thermodynamic equilibrium at the growing surface, is developed. Thereafter, results stemming from each process are compared with existing experimental observations in order to achieve a broader fundamental appreciation for development of fine microstructures in thin films.
Research supported, in part, by the National Science Foundation under Award DMR-9319896 and DMR-9632556 (MRSEC Program).

9:45 AM A1.6
ROLE OF GRAIN GROWTH ON MICROSTRUCTURAL DEVELOPMENT DURING DEPOSITION OF THIN FILMS. John Sanchez, Jr. , Adtiana Lita, University of Michigan, Dept. of Materials Science and Engineering, Ann Arbor, MI.

The development of deposited film microstructure has considerable importance for many technologies such as microelectronic and thin film magnetic data storage devices. We describe the effects of grain growth during the sputter deposition of 0.1$\mu$m to 1.0 $\mu$m thick Al films on the crystallographic texture and surface structure. The Al columnar grain size varied with thickness (h) d $\alpha$ h0.9, determined by plan view transmission electron microscopy. The Al (111) fiber texture, determined by quantitative x-ray pole figure analysis, evolved from an initially random film (below 0.2 $\mu$m thickness) to above 90% Al (111) volume fraction at 1.0 $\mu$m thickness. In addition the root-mean-square surface height variation (ÏRMSÓ roughness) initially decreased with thickness below 0.3 µm, and thereafter increased monotonically as the film thickness reached 1.0 µm. The transition from random to (111) fiber textured and initial smoothing of the film surface are described in terms of the grain growth kinetics which are shown to dramatically alter film structure early in the film formation process. A model for film structural evolution based on processing and substrate effects will be discussed.

10:00 AM A1.7
SIMULATION OF GRAIN GROWTH DURING DEPOSITION OF POLYCRYSTALLINE THIN FILMS. S.C. Seel and C.V. Thompson* Dept. of Materials Science and Engineering M.I.T., Cambridge, MA (*on leave, Max-Plank Institut fur Metallforschung, Stuttgart, GERMANY).

A computer simulation of grain growth in two dimensions has been used to model microstructural evolution during deposition of thin films. When thin films are deposited under conditions which allow grain boundary motion, grain size coarsening will occur during film thickening, with grain boundaries that traverse the film thickness. We have included the effects of grain boundary grooving at the film surface by imposing a film thickness dependent stagnation condition on grain boundary motion. By varying the deposition rate for a given grain boundary mobility, we were able to simulate the dynamic effects of grain growth during deposition. We find that the median grain size scales with the film thickness to a power equal to or less than one, depending on the relative values of the deposition rate and the boundary mobilities. We also find that the grain sizes are lognormally distributed except for the smallest grains. Both results are similar to experimentally observed microstructural features of as-deposited films of high atomic mobility materials. By comparing simulated grain-size-to-film-thickness scaling with scaling observed in experiments carried out at different deposition rates, the average grain boundary mobility can be extracted.

10:45 AM *A1.8
TEXTURE EVOLUTION IN ALUMINUM AND COPPER FILMS. Walter L. Brown , Bell Labs/Lucent Technologies, Murray Hill, NJ.

The texture of aluminum and copper thin films that are of primary interest for multilevel metal interconnects on ULSI chips is thought to be important for the reliability of the sub-micron interconnect structures that are fabricated. Aluminum conducting lines are usually formed through a subtractive process of reactive ion etching from blanket deposited films. The texture of the lines is thus intimately related to the texture of the blanket films which, in turn, is strongly dependent on the texture of the refractory metal barrier film on which the aluminum is deposited. The ``inheritance'' of sputter deposited Al(111) texture from the texture of underlying Ti or Ti/TiN has been clearly established. The case of copper is different. The metal architecture is now typically damascene rather than subtractive, the metal being deposited into trenches which have been fabricated by reactive ion etching into a blanket insulator (typically SiO2). The trenches are coated with a barrier layer (Ta or TaN, for example) and with a seed layer of Cu and are then filled with Cu by electroplating. The evolution of texture in this case is much more complex since the walls of the trenches as well as their bottoms and the top of the insulator surrounding the trenches are all involved in texture development. The current state of experiments and their interpretation for Cu damascene will be discussed and compared with the case of subtractive Al.

11:15 AM A1.9
EFFECTS OF SEED LAYER TEXTURE AND SURFACE ROUGHNESS ON THE MICROSTRUCTURE OF ELECTROPLATED COPPER FILM. Haebum Lee 1, Sergey D. Lopatin2, Takeshi Nogami, and S. Simon Wong1, 1Stanford University, Center for Integrated Systems, Stanford, CA; 2Advanced Micro Devices, Sunnyvale, CA.

The electromigration lifetime of polycrystalline Cu metal line is known to be highly dependent on the microstructure of the film; the lifetime increases with larger median grain size and a stronger texture. Therefore, microstructure control of Cu film is critical to the optimization of Cu metallization process. Recently, electroplating has been considered as the most promising technique for Cu metallization mainly due to the advantages of low cost, high manufacturability, good via/trench filling capability, and formation of copper films of high quality including very large grain size and a strong (111) texture. This paper focuses on understanding the microstructure of electroplated Cu films, and the correlation with the texture and surface condition of the various Cu seed layers. 100nm thick thermal oxide was grown on n-type (100) Si wafers and 25-50nm thick barrier layers and Cu seed layers were deposited on SiO2. In order to determine the effects of seed thickness and surface condition on the properties of plated films, the seed layers were deposited with different thickness by both PVD and CVD. 1$\mu$m thick electroplated Cu was then deposited at a current density of 10mA/cm2, with no additives in the plating solution. Current distribution was optimized to obtain <3% variation of electrical uniformity across the electroplated films.
TEM(Transmission Electron Microscopy), AFM(Atomic Force Microscopy) and X-Ray Bragg-Brentano scan experiments demonstrate that the grain size and texture of plated top layer is highly dependent on the roughness and texture of the underlying seed layer, and the surface roughness of the seed layer is related to the texture of the layer itself, which greatly affects the texture of the plated film. The films plated on smooth and strongly textured seed layers achieve a median grain size larger than 1$\mu$m and a strong (111) texture in as-deposited films, and the grain size extends to 20 $\mu$m for some samples. The films on rough and weakly textured seed layers have grains of 0.08-0.15 $\mu$m and weak (111) texture. Some films show bimodal grain size distributions, which is possibly an indication of secondary grain growth occurring during the deposition.
The effects of the seed layer thickness on the texture of the plated film were also examined. Seed layers with thickness of 200, 500, and 1000$\AA$ were investigated. PVD seed layers all show a very strong (111) texture with no traceable existence of (200) texture while CVD seeds show far more random orientation of grain textures. Although no noticeable variation of texture with varying seed thickness is observed in both cases, AFM roughness measurements reveal that the surface becomes rougher as the thickness is increased for both PVD and CVD seed layers. Furthermore, the CVD seeds have much rougher surface than the PVD ones. X-ray analysis of electroplated films demonstrates that the films plated on smooth and strongly textured PVD seeds have very strong (111) texture, while the films on rough and weakly-textured CVD seeds form much more random texture. Noticeably, the ratio of (111) to (200) X-ray peaks reduces greatly as the seed thickness increases despite the minor variation of the seed texture. This indicates that surface roughness is a dominant factor affecting the plated film texture. The degradation of texture in films plated on a rough seed surface is believed to be due to the film growth on more randomly oriented faces of the surface layer.

11:30 AM A1.10
CHARACTERIZATION OF THE MICROSTRUCTURE AND CHEMISTRY OF A Ti/Cu/Ti THIN-FILM DEPOSITED ON A SILICON WAFER BY ELECTRON BEAM EVAPORATION. John M. Phelps , David T. Read, National Institute of Standards and Technology, Materials Reliability Division, Boulder, CO.

A 3 layer thin-film consisting of 0.05$\mu$m Ti, 1.0$\mu$m Cu, and 0.05$\mu$m Ti was deposited onto a silicon wafer. This sample is the type ultimately used to perform thin-film tensile tests to determine the stress Ò strain behavior for the thin-film (Read, 1998). These tests have shown that the thin-films typically have yielding strengths greater than that you would expect for the bulk material. Characterization of the thin-film microstructure and chemistry by the Analytical Electron Microscope (AEM) required modifications and improvements to existing sample preparation techniques (Phelps, 1998). Suitable samples for analysis were made, and the analysis shows that the average grain diameter is less than that of the film thickness, and the grain structure is something between zone 1 and zone T as described by Smith, 1995. Energy Dispersive Spectrometery (EDS) of grains in the cross section of the sample shows that trace amounts of Ti are present. The Ti is thought to have diffused into the Cu during the deposition process. The trace amounts of Ti in the grains in conjunction with a grain diameter that is less than the total film thickness may inhibit dislocation motion that would help to explain the increase in yield strength we observe.

11:45 AM A1.11
IMPROVED MICROSTRUCTURE BY PROGRAMMED RATE CHEMICAL VAPOR DEPOSITION PROCESSES. Daewon Yang , Raj Jonnalagadda, Arizona State Univ, Center for Solid State Electronics Research, Tempe, AZ; Bridget R. Rogers, Motorola, Materials Characterization Lab, Mesa, AZ; Joseph T. Hillman, Robert F. Foster, Tokyo Electron Arizona, Gilbert, AZ; Timothy S. Cale, Rensselaer Polytechnic Institute, Dept of Chemical Engineering, Troy, NY.

As device sizes shrink to deep sub-micron scales, interconnect reliability (e.g., electromigration) and interconnect resistance become increasingly important. The grain sizes of chemical vapor deposited (CVD) films can be large enough that high aspect ratio contact/via holes are not filled well. It is important to tailor the grain sizes of the as deposited films such that these holes are reliably filled. The microstructure of the initial film deposited has a significant effect on grain growth and overall film properties. As an alternative to conventional constant rate CVD (CRCVD) process, we have investigated programmed rate CVD (PRCVD) processes to improve microstructure.
We studied the effects of process conditions on the nucleation and growth stages of tri-isobutyl-aluminum (TIBA) sourced aluminum deposition on TiN coated Si substrates in a LPCVD reactor. The initial stages of deposition were studied using films deposited for short times. Films deposited for 5 seconds as the substrate temperature was ramped at -200 K/min from 673 K, followed by 25 seconds of deposition at 573 K resulted in enhanced nucleation density, and preferred Al(111) crystal orientation compared to films deposited for 30 seconds at 573 K. For growth stage depositions, films deposited for 10 seconds as the substrate temperature was ramped at -200 K/min from 673 K, then for 10 minutes at 573 K, resulted in films with strong Al(111) crystal orientation compared to the films deposited for 10 min at a constant substrate temperature of 573 K. AES analyses showed the deposited films to be free of carbon and oxygen. A designed experiment was performed to statistically determine the effects of the main process variables and their interactions. Our PRCVD work shows that film microstructure and properties can be controlled.

SESSION A2: MICROSTRUCTURAL EVOLUTION II
Chairs: Walter L. Brown and Carl V. Thompson
Tuesday Afternoon, December 1, 1998
Salon A/B (M)
1:30 PM A2.1
2-D SIMULATION OF MICROSTRUCTURE, TEXTURE AND MORPHOLOGY EVOLUTION DURING THE CVD OF DIAMOND. Paritosh , Dept. of Chemical Eng., University of Michigan, Ann Arbor, MI; D.J. Srolovitz, Dept. of Materials Science & Eng., University of Michigan, Ann Arbor, MI; C.C. Battaile, Sandia National Laboratories, Albuquerque, NM; J.E. Butler, Naval Research Laboratory, Washington, DC.

We simulate the growth of faceted, polycrystalline diamond films during chemical vapor deposition using a front tracking method in two spatial dimensions. The resulting microstructures are shown to be in excellent correspondance with experimental observations. The grain size and surface roughness both increase as the square root of film thickness. The films form a pronounced crystallographic texture that sharpens with increasing film thickness as a result of growth competition. The distribution of grain orientations is shown to be Gaussian, in agreement with theory. This simulation method is applied to the problems of minimizing surface roughness, choosing the desired crystallographic texture and minimizing the concentrations of defects incorporated during growth.

1:45 PM A2.2
SIMULATION OF EVOLUTION OF GRAIN SIZE AND ORIENTATION DISTRIBUTION IN CVD DIAMOND FILMS. Ge Yu and S.T. Lee, Dept of Physics and Materials Science, City University of Hong Kong, Kewloon, HONG KONG.

Abstract: The evolution of the microstructure of CVD diamond films during prolonged deposition is studied by computer simulation. In particular, the average grain size on a plane parallel to the substrate surface is determined as a function of the height of the plane. From a model system composed of 104 grains, reliable results can be evaluated for [111] and [001] growth of diamond films under different initial conditions and with varying growth parameters. It is demonstrated that grains of the maximal misorientation , of both the largest tilt and the largest twist angle, are most capable of surviving elimination in the selected growth. The rate of evolution is sensitively influenced by the aspect ratio of diamond crystal, but doesn't depend on the absolute deviation of the misorientation. In the range investigated in this work, a proportionality between the average grain size and the thickness of films can be approximately yielded. The proportionality constant varies from 0.035 to 0.43 and can be controlled by changing the aspect ratio. Furthermore, the orientational distribution is drastically narrowed down so that the probability of coalescence of grains with a slight orientational difference is considerably increased. These facts indicate that the selected growth is a possible way for fabricating single crystal diamond film on a large scale area.

2:00 PM A2.3
KINETIC LATTICE MONTE CARLO SIMULATION OF FACET GROWTH RATE. Zhiyong Wang , Science and Engineering of Materials Program, Arizona State University, Tempe, AZ; Youhong Li, James B. Adams, Dept of Chemical, Bio and Materials Engineering, Arizona State University, Tempe, AZ.

We present a fast micron-scale Kinetic Lattice Monte Carlo simulation model which describes deposition, nucleation, surface diffusion (including adatom, dimer and ledge adatom diffusion) and film growth on fcc metal substrates. The activation energies for diffusion are calculated using embedded-atom method (EAM). Using this model, we determine the relative growth rates of (100), (110) and (111) facets as a function of substrate temperature, deposition rate and facet size.

2:15 PM A2.4
MICROSTRUCTURED MONOLITHS IN THIN FILMS FABRICATED BY GLANCING ANGLE DEPOSITION (GLAD). M.W. Seto , K. Robbie, M.J. Brett, Department of Electrical and Computer Engineering, University of Alberta, Edmonton, CANADA, and Alberta Microelectronic Corporation, Edmonton, CANADA.

Thin films grown by physical vapour deposition with the Glancing Angle Deposition (GLAD) technique have been engineered with a diverse range of columnar microstructures. These porous films consist of isolated columns of material which are created by orienting the substrate at a highly oblique angle to the evaporant source, and utilizing computer controlled substrate motion during deposition. Governing the growth process at these high angles is enhanced self-shadowing, where variations in the developing topography result in areas which become shadowed during the growth of the film. A porous, columnar film then results as the film further evolves. Through careful control of substrate motion and incident flux angle, an assortment of structures can be fabricated including beds of post, ``zig-zagular'', periodically bent nematic, and helical [1] monoliths on the micrometer scale. The microstructure parameters and porosities can be tailored to affect the properties of these films, and further capabilities of the GLAD control system can produce a dense capping layer atop the structured film. A presentation of the GLAD process will show how an extensive number of materials have been used to fabricate these films using thermal or electron beam evaporation and long-throw sputtering techniques. Results of the unique structural, crystal, and mechanical properties of these films will also be reported.
References
[1] K. Robbie, M. J. Brett, A. Lakhtakia, Nature 384, 616 (1996).

2:30 PM A2.5
ZONES OF SURFACE TEXTURE GROWTH AND MICROSTRUCTURAL EVALUATION OF ZnO POLYCRYSTALLINE THIN FILMS BY REACTIVE SPUTTERING AND CHEMICAL VAPOUR DEPOSITION. J.A. Anna Selvan , H. Keppner and A. Shah, Institute of Microtechnology, University of Neuchatel, Neuchatel, SWITZERLAND.

Surface texture growth of thin films are highly preferred in some specific applications. For application in thin film solar cells ZnO films are expected to have simultaneous transparency, high electrical conductivity and surface texture. Different ways to obtain surface texture growth using physical vapour deposition and chemical vapour deposition were analysed in detail for couple of years by the authors. The evaluation of surface roughness, crystallographic texture, microstructure evaluation and the resulting changes in the electrical, optical and surface properties of ZnO films grown by sputtering as well as CVD techniques under different experimental conditions will be presented in detail. By sputtering, the influence of atmosphere of mixture of Ar and water vapour, RF power, and substrate temperature and by CVD the influence of ratio of reacting gases (Diethyl Zinc and water vapour), substrate temperature and the dopants on the growth were studied in detail. In CVD, we have identified three general regimes of growth; namely, 1) normal growth 2) low surface mobility growth and 3) intensified growth. As a general solution we come to the following conclusions. For applications where one needs a smooth surface, a normal growth regime should be selected. For a surface textured growth with superior structural properties one can go for a intensified growth. And for a granular growth with voids as well as poor mechanical properties a low surface mobility growth can be selected. During sputtering, we have classified three regimes; namely, columnar, granular and smooth regimes. In the case of reactive sputtering, one may not expect a transition regime (that results in a smooth surface morphology) as is seen in the classical J. A. Thronton's model [1] for normal sputtering. These results give certain general rules of growth which can be applied to any thin film growth to a certain extent and one can tune required microstructure of thin films and a better interface for devices.
[1] J.A. Thronton, J. Vac. Sci. Tech, 11 (1974), 666

2:45 PM A2.6
BIAXIAL TEXTURE EVOLUTION IN THIN-SPUTTERED FILMS. J.F. Whitacre , B.A. Rainey, J.C. Bilello and S.M. Yalisove, University of Michigan, Department of Materials Science and Engineering, Ann Arbor, MI.

The evolution of both out-of-plane and in-plane texture was examined in sputtered Mo and Cr films. Depositions were carried out at different sputter gas (Ar) pressures and sputter cathode heights to determine the importance of arriving adatom kinetic energies and subsequent surface diffusion on texture development. All depositions used a geometry where substrates rested on a platen which swept them beneath the sputter cathode at 20 RPM. Scanning electron microscopy, transmission electron microscopy, transmission electron diffraction, and x-ray pole figure analyses were used to characterize microstructure and texturing. It was found in all films that a strong out-of-plane texture developed initially (within the first 100 nm of growth), followed by a gradual increase in the degree of in-plane texture in some cases. Different out-of-plane crystallographic orientations formed depending on deposition conditions. In Mo, a (111) out-of plane texture was observed when the cathode height was less than 9.5 cm, while a (110) orientation developed if the cathode-to-substrate distance was larger. Similar results were observed for Cr films. The rate of texturing in the plane of growth was found to be more rapid for films deposited at lower Ar pressures. All films were found to have heavily faceted surface morphologies. A revised mathematical model which describes the evolution of texture and surface morphology is introduced. The dependence of shadowing on the scale of single atoms is removed. The model, instead, relies on the interaction between film surface morphology and deposition chamber geometry and has a diffusion parameter as an independent variable. Once out-of-plane texture evolves, those grains whose surface facets are oriented in a particular in-plane direction compete favorably during further growth because they have relatively higher adatom capture efficiencies. Mathematical simulations are fit to actual data and show that the model may be favorably compared with experimental results.
Work supported by the U.S. Air Force.

3:00 PM A2.7
KINETIC MONTE CARLO SIMULATIONS OF POLYCRYSTALLINE-LIKE MATERIALS. Steven W. Levine, Exxon Research and Engineering, Florham Park, NJ; Paulette Clancy , School of Chemical Engineering, Cornell University, Ithaca, NY.

An adaptation of the Kinetic Monte Carlo method was used to emulate thin film growth of a system reminiscent of polycrystalline silicon. The deposition process was constructed to produce a polycrystalline material composed of distinct grains (albeit with identical orientation). For the deposition of poly-Si on a substrate of poly-Si, columnar grains were observed that grew into the direction of the incident beam, in agreement with experiment. The angle made by the columns is measurable at all angles of the incident beam, in contrast to results for single crystal Si for which needles rather than columns are produced, and for which porous films are only observed when the incident beam is greater than about 60$^\circ$ from normal. Existing theories, such as the tangent rule, fail to explain the observed relationship between angle of incidence and angle of the grown film. As they grew, some of the grains initially present in the film became extinct. The initial number of grains was not an important factor in determining the morphology of the film. Other properties of the film, such as its density, mirror the results for single-crystal Si. Deposition of poly-Si onto an immobile heterogeneous substrate with a sticking coefficient much lower than the deposited film (like Si on silicon dioxide, say) produced qualitatively different behavior. As the angle of incidence is increased (from normal incidence), fewer grains are nucleated than in the previous isotropic case due to non-local shadowing. Increasing the substrate temperature, which increases the diffusion of deposited atoms, produces fewer, larger grains.

3:30 PM A2.8
REAL-TIME STRAIN MONITORING IN THIN FILM GROWTH: CUBIC BORON NITRIDE ON Si(100). Dmitri Litvinov , and Roy Clarke, Randall Laboratory of Physics, University of Michigan, Ann Arbor, MI; Charles A. Taylor II and Darryl Barlett, k-Space Associates, Inc., Ann Arbor, MI.

We demonstrate the application of real-time film-stress monitoring and control using a multi-beam optical sensor (MOS). In-situ measurements on cubic boron nitride (c-BN) films grown by ECR-assisted sputtering reveal a critical stress beyond which defects are injected into the silicon substrate. This is marked by a rapid onset of wafer curvature. The calculated stress in the silicon substrate corresponding to the onset of defect injection coinsides with the yield strength of the material at the given temperature. Our measurements suggest a possible route to mediate such effects by utilitzing the compliant nature of the hexagonal (h-BN) buffer layers. The ability to perform in-situ, real-time wafer curvature measurements using the MOS technique will greatly aid this task. The method should also be very useful for monitoring stress build-up in other wide-bandgap nitride films where no appropriate lattice-matched substrates are presently available. The real-time nature of the technique should allow also to shed a light on the effect of stress in the formation of metastable phases.

3:45 PM A2.9
MICROSTRUCTURAL CHARACTERIZATION OF POLYCRYSTALLINE Ni3Al/Ni FILMS. X.D. Zhang , G. Thompson, R. Banerjee, P. Anderson, and H.L. Fraser.

Monolithic Ni3Al and multilayered Ni3Al/Ni films were deposited using a magnetron sputtering technique. The films were deposited on various substrates, including amorphous SiO2 and varous single crystals* at substrate temperatures between 25 and 400 degrees C. Low and high angle X-ray diffraction shows strong (111) and (002) texturing that depends upon substrate features and deposition temperature. TEM and HREM of cross section and plan view specimens of monolithic Ni3Al films shows an equiaxed grain structure, with a typical grain size of 20nm. Samples deposited at higher temperatures showed no obvious macroscopic grain growth. Samples deposited at lower temperatures had a large density of planar defects. Microstructual features, including the planar defects and texturing, will be discussed in terms of the processing conditions used.
*Thanks to Tim Foecke and Tom Moffit at NIST for substrates and surface preparation assistance.

4:00 PM A2.10
HYDROGEN ENHANCED ABNORMAL GRAIN GROWTH OF MAGNETRON SPUTTERED NICKEL FILMS. Dietmar Mueller, Jens Greiser, Thomas Wagner , Eduard Arzt.

Thin Ni films have been deposited by magnetron sputtering on oxidized Si wafers using either argon or an argon-hydrogen sputter-gas mixture in order to study the influence of hydrogen on grain growth. In addition, post deposition annealing (800$^\circ$C) was performed either in high vacuum (HV) or in a defined hydrogen atmosphere. The microstructural evolution of the films was investigated by X-ray diffraction, focused ion beam and scanning electron microscopy. After deposition, the typical grain size was between 100 - 200 nm and the Ni films showed a (111) texture with a small volume fraction of (100) Ni grains. During annealing the (111) grains underwent normal grain growth whereas the (100) grains showed abnormal grain growth (grain size   500 $\mu$m). For films either sputtered or annealed in the presence of hydrogen, abnormal grain growth of the (100) grains was much stronger . The appearence of abnormal grain growth in Ni films as a function of hydrogen treatment is discussed in detail.

4:15 PM A2.11
MICROSTRUCTURE AND PROPERTIES OF Cu-C AND Cu-Mo PSEUDOALLOY FILMS PREPARED BY SPUTTER DEPOSITION. J.P. Chu , C.H. Chung and T.N. Lin, Institute of Materials Engineering, National Taiwan Ocean University, Keelung, Taiwan, REPUBLIC OF CHINA.

The microstructure and properties of Cu-C and Cu-Mo pseudoalloy films prepared by R. F. magnetron sputtering have been investigated. As Cu is mutually immiscible with C or Mo, non-equilibrium supersaturated solid solutions of C or Mo in Cu with nanocrystalline microstructures were observed in as-deposited films. Upon heating of the films, three major transition events took place. Recovery occurred at  280-300$^\circ$C while at  400$^\circ$C crystallites started to growth and coalescence, due to the release of strain energies stored during deposition. Annealing at above 600$^\circ$C led to occurrence of grain growth and altered the microstructure considerably. Although attempts have been made in this study, a possible annealing-induced phase separation could not be unambiguously identified. Yet, the fact of low twin densities and fine grain structures observed in the annealed films suggests that the extensive grain growth was impeded by the presence of carbon. Resistivity and hardness properties correlated well with the film microstructure and were governed by the impurity effect of carbon. Low carbon Cu-C films yielded relatively low resistivity, attributable to the improved film microstructure. Hardness results indicated the strengthening of films was mainly due to fine structure, presence of carbon, and grain refinement by annealing twins.

4:30 PM A2.12
LIMITING CONDITIONS FOR GIANT GRAINS IN SILVER THIN FILMS. J. Greiser , J. Ankele, E.Arzt, Max-Planck-Institut fuer Metallforschung, Stuttgart, GERMANY; P. Muellner, Institut fuer Angewandte Physik, ETH-Hoenggerberg, Zuerich, SWITZERLAND; C. V. Thompson, Department of Materials Science and Engineering, MIT, Cambridge, MA.

The performance and reliability of thin metal films depend strongly on their microstructure, which develops during deposition and / or during post-deposition annealing processes. A large grain size is desired for many applications. However, normal grain growth stagnates at 2-3 times the film thickness. Abnormal grain growth can lead to considerably larger grain sizes. The present work focuses on a new type of abnormal grain growth or recrystallization, where <001> oriented grains grow to an enormous extent, from about 160 nm to the millimeter size range. These grains are called giant grains (GGs). GGs have first been observed in undoped 2 $\mu$m thick PVD silver films. The microstructure of the films was analysed by focused ion beam imaging (FIB). The grain orientation was determined by electron backscatter diffraction (EBSD) and by X-ray texture analysis. Systematic variation of the film thickness and the deposition temperature has shown that the as-deposited state of the silver film is decisive for the growth of the giant grains. The limiting conditions for GGs, e.g. with regard to film thickness, have been determined and will be reported. Even though the as deposited films have a strong <111> fiber texture, only giant <001> oriented grains grow until the whole film is fully recrystallized. These results demonstrate the possibility of transforming small grained single-phase polycrystalline thin films into pseudo-monocrystalline films.

4:45 PM A2.13
THE EFFECT OF SURFACE ROUGHNESS ON GRAIN GROWTH IN THIN FILMS. H.J. Frost , E.E.K. Cooper, Dartmouth College, Thayer School of Engineering, Hanover, NH; C.V. Thompson, W. Fayad, M.I.T., Dept. of Materials Science and Engineering, Cambridge, MA.

We have modeled grain growth in polycrystalline thin films for the case in which grain boundary migration becomes impeded by the roughness of either the free surface or the film-substrate interface. For this we used a two-dimensional simulation of capillarity-driven grain growth in which grain boundaries migrate at velocities proportional to a driving force given by the local boundary curvature minus a constant frictional drag. In this model, the grain growth eventually stagnates in a manner very similar to the behavior observed for grain boundary pinning by surface grooving. These simulations produce lognormally distributed grain sizes, which match typical experimental observations in thin films. These lognormal size distributions are also similar to those produced by simulations of the effects of solute drag.

SESSION A3: POLYCRYSTALLINE SILICON I
Chairs: Theodore I. Kamins and Timothy D. Sands
Wednesday Morning, December 2, 1998
Salon A/B (M)
8:00 AM *A3.1
POLY-Si - SUBSTRATE INTERACTIONS. D.G. Ast , Cornell Univ, Dept of Material Science and Eng, Ithaca, NY; J.G. Couillard, Corning Inc, Sullivan Park, Corning, NY.

Important properties of poly-Si Thin Film Transistors (TFTs), such as leakage current and lifetime under stress are strongly (< order of magnitude) influenced by the chemical composition of the substrate - even when the poly-Si and substrate are separated by a 100 nm thick LPCVD or APCVD Si(O)2 'buffer' layer. These interactions were studied for a variety of glass substrates as a function of the SiO(2) layer thickness, with oxidized Si wafers serving as a control. Results obtained indicate that the glass substrate acts both as a source for impurities and as a sink. The former dominates the lifetime and the latter the leakage current which is lower in certain glass substrates than in oxidized Si wafers. These studies are being extended to glass ceramic substrates, using a high temperature process to fabricate the TFTs. Preliminary experiments indicate that SiN diffusion barriers are required in this case.

8:30 AM A3.2
THE EFFECT OF SURFACE NUCLEATION BY HYDROGEN PLASMA SURFACE PRETREATMENT OF SILICON DIOXIDE PREPARED BY ELECTRON CYCLOTRON RESONANCE CHEMICAL VAPOR DEPOSITION. Eun-Chel Cho , Yo-Sep Min, Young-Hyun Cho, and In-Yong Song, Electronic Materials Lab., Samsung Advaced Institute of Technology, Sunwon, KOREA.

We will report the growth properties of polycrystalline silicon (poly-Si) films grown at low temperature ( < 300 $^\circ$C) using a hydrogen plasma surface pretreatment of silicon dioxide. The silicon dioxide surfaces pretreated by a low energy hydrogen ion beam act as a nucleation site for the poly-Si deposition. The poly-Si films were deposited by means of reactive plasma beam deposition technique using argon/hydrogen/silane gas mixture. The microstructures of the deposited poly-Si films are determined by analysis of Raman spectroscopy, X-ray diffractometer, ex-situ spectroscopic ellipsometry and atomic force microscopy (AFM) measurements. The orientation and crystallinity of the as-deposited poly-Si films had the growth with strongly preferential (100) orientation and more than 92% respectively. The rms roughness of the poly-Si was decreased with increasing the reactive ion beam density. In our experiments the rms roughness was less than 50 $\AA$.

8:45 AM A3.3
GROWTH MECHANSIM OF CAT-CVD POLY-Si FILMS AND GRAIN CONTROL BY TWO-STEP DEPOSITION. Akira Heya , Akira Izumi, Atsushi Masuda, Hideki Matsumura, JAIST (Japan Advanced Institute of Science and Technology), Tatsunokuchi, Ishikawa , JAPAN.

Catalytic chemical vapor deposition (Cat-CVD) method (often called Hot-Wire CVD method) is a new low temperature deposition method. Poly-Si films obtained at temperatures lower than 400 $^\circ$C by Cat-CVD method have unique structural properties, that is, crystalline grains with a few-tens-nm diameter are columnarly grown and the grains are surrounded with a few-nm thick amorphous layer. In the present work, growth mechanism of poly-Si films is studied by TEM, AFM and RHEED observation. Poly-Si films deposited by two-step deposition (TSD) method are also examined. In the method, the deposition is started at low temperatures in the first step and then continued at elevated temperatures in the second step.
It is found that 1) the surface morphology of a-Si films is smooth (RMS roughness < 0.1 nm) and that of poly-Si films is rough (RMS roughness > 0.5 nm), 2) the behaviors of both nucleation and grain growth change at substrate temperatures around 350 $^\circ$C, 3) RMS roughness of initial deposition stage, obtained by AFM images, is related with grain size estimated by plan-view TEM images, 4) the a-Si incubation layer is sometimes incorporated between substrate and growing poly-Si layer. The microstructure of such a incubation layer itself appears to vary from the initial atomic layer toward growth direction and 5) the control of the a-Si incubation layer is effective to control the whole poly-Si structure. Thus the grain size of poly-Si films can be controlled ranging from 15 to 20 nm by controlling incubation layer by the TSD method.

9:00 AM A3.4
AND STRUCTURAL CHARACTERIZATION OF LOW TEMPERATURE HEAVILY DOPED POLYCRYSTALLINE SILICON THIN FILMS PREPARED BY ECR PLASMA CVD. Hsi-Lien Hsiao , Y-Y Hsieh, Huey-Liang Hwang, Department of Electrical Engineering, National Tsing Hua University, Hsinchu, TAIWAN; An-Ban Yang, Department of Physics, Tunghai University, Taichung, TAIWAN, ROC.

Boron-doped and Phosphine-doped polycrystalline silicon thin films were deposited on glass substrates at 250$^\circ$C by using electron cyclotron resonance SiH4/Ar/H2/B2H6 and SiH4/Ar/H2/PH3 downstream plasma chemical vapor deposition (ECR-CVD) technique. The effects of in-situ doping on the structural and electrical properties of heavily doped polycrystalline silicon thin films have been investigated. These films were characterized by conductivity, Hall effect, Raman spectra, X-ray diffraction (XRD), transmission electron microscopy (TEM), atomic force microscopy (AFM), Fourier-transform infrared (FTIR) spectra and secondary ion mass spectroscopy (SIMS). The crystalline fraction of the films was calculated to be larger than 90% by deconvoluting the Raman spectra. The largest grain size of heavily doped poly-Si films with  700 nm thickness (growth rate   20 nm/min) is approximately 500 nm, and the surface roughness is about 60 nm. The hydrogen contents was estimated to be below 0.8%. With increasing doping concentration, the conductivity rapidly increases and the maximum values of 26 S$\cdot$cm-1 at [B2H6]/[SiH4] 2x10^-2for p-type poly-Si films and 50 Scm^-1at [PH_3]/[SiH_4] 1x10-2 for p-type poly-Si films were achieved, while it decreases at larger doing ratios. Hall measurement revealed that the change is mainly caused by the change in the carrier density. X-ray diffraction and transmission electron microscopy indicated that this electronic change is associated with the change in the preferred orientation of grains from <110> to <111> with a decrease in grain size. The peculiar change in structure and electrical properties with doping concentration was attributed to the solid solubility limitation and impurity coverage effect. Because the solid solubility of P and B atoms in the silicon crystal were estimated to be about 1x10^-20cm^-3at 300^$C.
Excess doping atoms will precipitate at the grain boundary. Moreover,
the ...
...vapor deposition was used.
Substrate temperature, source gas flow rates (SiF_4$, H2, Ar) were the varied parameters. XRD profiles were measured to establish orientation, estimate grain size and lattice strain. SIMS measurements were performed to determine impurities depth profiles and FE-SEM, FT-IR, Raman scattering and spectroscopic ellipsometry were used to observe surface morphology, short/medium range ordering and so on. Dark and photoconductivity and Hall effects were also measured. All experimental were performed for both (220) and (400) oriented films to compare their structural and transport properties. So far, (220) preferential growth was obtained with Ar/H2/SiF4 gas flow rates of 60/15/30sccm and (400) one with those of 60/10/90sccm. SIMS measurements showed that the content of impurities such as hydrogen and fluorine were rather small for the (400) cases compared with the (220) cases. These results correspond to the sharpness of Raman spectra and ellipsometry spectra. Lattice parameters of the (400) oriented films also indicates the lower content of impurities in the crystal lattice. Hall mobility of electrons increases with thickness from 6 to 13cm2/V/s for the (220) oriented films. On the contrary, the (400) oriented films which exhibit highly ordered structures and small impurities compared with the (220) films show smaller mobility up to 7cm2/V/s. This is due to larger fluctuation of the orientation, that is, the structure of the grain boundary has not been optimized yet at the present stage. We will discuss on the recombination of photo-excited carrier related to the structures as well.

10:00 AM *A3.6
LASER-CRYSTALLIZED POLYCRYSTALLINE Si FILMS FOR MICROELECTRONIC AND PHOTOVOLTAIC DEVICES. James S. Im , Robert S. Sposili, M.A. Crowder, H. Cho, S.H. Christiansen and K. Adib, Program in Materials Science and Engineering, School of Engineering and Applied Science, Columbia University, New York, NY.

Thin crystalline Si films are becoming increasingly recognized as a technologically versatile material that can potentially enable effective realization of important new microelectronic and photovoltaic devices. For many of these applications, it is imperative that the process by which crystalline Si is produced not involve extended exposure to high temperatures. As well, it is generally desired--being that the crystalline Si films are used for electronic applications--that the material be free from structural defects, such as high-angle grain boundaries and microtwins, that can lead to high densities of carrier trapping states. 
In this paper we review the details of a particular form of pulsed-laser-based thin-film crystallization method, referred to as sequential lateral solidification (SLS), that permits realization of low-defect-density crystalline Si films with controlled microstructures on amorphous and high-temperature-intolerant substrates. By systematically manipulating and controlling the locations, shapes, and extent of melting induced by the incident laser pulses, the SLS approach can lead to both efficient (i.e., high throughput rates) and effective (i.e., large energy-density processing windows) production of a variety of microstructurally designed crystalline Si films with low structural defect densities, including (1) large-grained and grain-boundary-location-controlled polycrystalline films, (2) directionally solidified microstructures, or (3) location-controlled single-crystal regions.
In this paper, we elaborate on salient materials-, process-, and device-related results that are relevant in determining the applicability of the SLS method to the production of crystalline Si-based thin-film transistors (TFTs) and thin-film-based solar cells on low-cost/large-area substrates.

10:30 AM A3.7
FORMATION OF ADJACENT GRAINS AT PREDETERMINED POSITION ON GLASS SUBSTRATE BY DUAL-BEAM EXCIMER-LASER MELTING OF Si THIN-FILMS. Ryoichi Ishihara , Delft Univ. of Technol., DIMES-ECTM, Delft, THE NETHERLANDS.

We have proposed a method to control the position of the large grain by excimer-laser irradiation to both sides of a-Si / SiO2 with a bump / metal structure on glass substrate, and demonstrated that the crystal-Si grain with a diameter of 3.5 $\mu$m was located exactly on top of the SiO2 bump. [Jpn. J. Appl. Phys. 37 3B (1998) 1071] In this paper, we have investigated the effect of the thickness and separation of the SiO2 bumps on the location-control characteristics and the diameter of the Si grain. The thickness of the SiO2 bumps was varied from 190 nm to 630 nm, while the height of the SiO2 bump, thickness of a-Si and TiW were fixed at 30 nm, 100 nm and 550 nm, respectively. By SEM observation of Secco-etched Si film, it was found that the diameter of the location-controlled Si grain was increased from 3.5 $\mu$m to 6.0 $\mu$m with increasing the thickness of the SiO2 bumps. It was also found that the separation between the bumps should be increased 3.5 $\mu$m to 4.5 $\mu$m as increasing the grain size from 3.5 $\mu$m to 6 $\mu$m in order to locate the Si grain on the SiO2 bump. Finally the adjacent grains were successfully formed at the predetermined positions on the glass substrate.

10:45 AM A3.8
GRAIN ENLARGEMENT OF POLYCRYSTALLINE Si FILMS THROUGH MULTIPLE-PULSE EXCIMER LASER IRRADIATION. Robert S. Sposili and James S. Im, Program in Materials Science, Columbia University, New York, NY.

Under certain conditions, repeated excimer-laser irradiation of thin polycrystalline Si films can lead to an increase in the grain size. This phenomenon is not only of fundamental interest, but is also technologically significant as it is responsible for the large grain sizes that are sometimes obtained using the conventional excimer-laser annealing (ELA) process, and which translate into high-performance thin-film transistors (TFTs). Two distinct models have been suggested to account for the phenomenon: (1) a solid-phase model based on surface energy-driven secondary grain growth (SEDSGG), and (2) a melting/ solidification model that invokes near-complete melting [and the associated super-lateral growth (SLG)] of the films, where localized complete melting occurs predominantly at the grain boundaries. In this paper, we report on a set of experiments that was conducted in order to better characterize the phenomenon and thereby evaluate the validity of the respective models. Silicon films with thicknesses ranging from 500 to 2,000 â were irradiated with a 308-nm excimer laser at a series of schedules of fluence and number of pulses (1Ò100). Subsequent to irradiation, the samples were examined using optical microscopy, SEM, and TEM in order to characterize the average grain size as well as the grain size distribution. Grain enlargement was observed only when the energy density was slightly below the complete-melting threshold (and not under partial or complete melting conditions). We will discuss how these results are not consistent with the SEDSGG model, but are in agreement with the basic tenets of the SLG model. Also, the results refute a SiÒSiO2 interface-roughening model that has previously been suggested in order to account for the creation/destruction of large grains. An important technological implication of this work is that the conventional ELA process will not be able to achieve a uniform, large-grained microstructure at high throughput rates.

11:00 AM A3.9
A MODEL FOR AMORPHOUS Si1-xGex THIN FILMS CRYSTALLIZATION. Mario Castro1, J. Olivares2, A. Rodriguez 2, J. Sangrador2, T. Rodriguez2, A. Sanchez3, C. Ballesteros3, F. Dominguez-Adame1 1Fisica de Materiales, UCM, Madrid, SPAIN. 2E.T.S.I.T.-U.P.M., Madrid, SPAIN. 3E.P.S.-U. Carlos III, Madrid, SPAIN.

We study crystallization behavior of amorphous Si1-xGex thin films by means of computer simulations of a specific model introduced to this end. The model we propose assumes nuclei are generated at each time step according to an Arrhenius distribution. Initial nuclei are generated with radii smaller than or equal to the critical radius. Nuclei with radii smaller than the critical radius decrease in size, whereas those with radii larger than the critical radius grow at constant rate. Along the process, subsequent nuclei are generated with the same distribution but only within the remaining amorphous area. As measurable quantities, we focus on grain size distribution and Avrami plots, the reference parameters for the simulations being the mean grain size and the crystallization time. In addition, temperature and fraction x of Ge are introduced in the model by a interpolation between the activation energies for growth and nucleation of pure Si and pure Ge. In order to test the model we have introduced above, specific experiments were carried out. Amorphous SiGe layers were deposited by LPCVD at 450$^\circ$ on oxidized Si wafers and 7059 Corning glasses using Si2H6 and GeH4 as gas sources. The films were 100 nm thick and the Ge fraction was in the 0-0.42 range. The samples were annealed at 550$^\circ$ for times up to 450 hours at low pressure (below 100 mTorr) to crystallize the amorphous layers. The crystallization behavior and film microstructure was analyzed by X-ray diffraction and transmission electron microscopy. We find a quite satisfactory agreement between the model predictions and the experimental results. Thus validated, the model can be useful to search for optimal preparation conditions in view of the required properties.

11:15 AM A3.10
DEPENDENCE OF MAXIMUM GRAIN SIZE IN Si SELECTIVE NUCLEATION AND SOLID PHASE EPITAXY ON B DOPING CONCENTRATION. Hiroshi Tanabe and Harry A. Atwater, Thomas J. Watson Laboratory of Applied Physics, California Institute of Technology, Pasadena, CA.

Selective nucleation and solid phase epitaxy (SNSPE) is a process that employs patterned selective nucleation to enable larger grain size than that is achievable by conventional solid phase crystallization. The maximum grain size is dependent on the product of the incubation time for random nucleation and the lateral solid phase epitaxy rate, and is estimated to be in the range of 1-5 micron. Thus the maximum grain size is also a function of doping concentration. The incubation time of undoped silicon is about 20 hours at the annealing temperature of 580oC. Doping with boron in the concentration range between 4.8 x 1018 /cm3 and 1.6 x 1021 /cm3 decreases the incubation time for boron concentration exceeding 1.6 x 1019 /cm3. The lateral solid phase epitaxy rate of undoped silicon at 580oC is about 0.02 nm/second and is increased by a factor of 5 at the concentration of 5 x 1020 /cm3 relative to the undoped silicon. The lateral solid phase epitaxy rate decreases for boron concentration exceeding 5 x 1020 /cm3, possibly due to loss of electrically active boron concentration at high doping. Variation of annealed tempe rature in the range between 580oC and 620oC indicates the best nucleation selectivity, and largest potential grain size, at the lowest temperatures. Possible mechanisms for the onset of decreased incubation time and decreased so lid phase epitaxy rate at very high boron concentrations will be discussed.

11:30 AM A3.11
TEMPERATURE EFFECT ON MICROSTRUCTURE OF POLYCRYSTALLINE SILICON THIN FILMS PREPARED BY SILICIDE-MEDIATED CRYSTALLIZATION WITH RAPID THERMAL ANNEALING. Yo-Sep Min , Eun-Chul Cho, Electronic Materials Laboratory, Samsung Advanced Institute of Technology, Suwon, KOREA.

The silicide-mediated crystallization of nanocrystalline silicon thin films on the nickel-coated glass substrates was investigated. Nickel thin films with the thickness of  150$\AA$ were coated on Corning 1737 glasses by sputtering and then nanocrystalline silicon thin films were deposited on Ni/glass substrates at 200$^\circ$C by ECR-CVD (electron cyclotron resonance chemical vapor deposition) using SiH4/H2/Ar gas mixtures. Preferrentially (111)-oriented nickel disilicides were formed between silicon and nickel thin films above 700$^\circ$C by rapid thermal annealing, which enhanced the crystallinity of the nanocrystalline silicon thin films. However, under 600 $^\circ$C nickel disilicides were not formed and especially, the crystallinity of the silicon thin films annealed at 400$^\circ$C by RTA was degraded on the contrary. The microstructure of polycrystalline silicon thin films was characterized by Raman spectroscopy, X-ray diffraction, spectroscopic ellipsometry.

11:45 AM A3.12
IN-SITU MICRORAMAN CHARACTERIZATION ON THE CRYSTALLIZATION KINETICS OF LPCVD SILICON FILMS. Josep-Lluis Alay, Joan Ramon Morante , Departament d'Electronica, Universitat de Barcelona, Barcelona, SPAIN; T. Mohammed-Brahim, M. Sarret and O. Bonnaud, Groupe de Microelectronique et Visualisation, Universite de Rennes I, Rennes, FRANCE.

A powerful in-situ technique has been developed to study the solid phase crystallization of low pressure chemical vapor deposition (LPCVD) silicon thin films that are attractive for applications in matrix liquid displays and photovoltaic solar energy converters. This characterization technique is based on microraman measurements performed in a heating chamber wherein the annealing temperature can be maintained at a selected temperature up to 600C. By monitoring the position, intensity and full width at half maximum for the TO Raman peaks, it is possible to characterize the kinetics of the crystallization process. Another advantage provided by this new in-situ microraman based characterization technique is the possibility of enhancing the crystallization kinetics by increasing the incident laser power. If the thin films are deposited on glass substrates, measurements can be performed either on the silicon surface or at the Si/glass interface. On the other hand, transmission electron microscopy (TEM) and x-ray diffraction (XRD) analysis performed on silicon films annealed for various periods of time give additional information on the structural changes undergone by those films. By using glass substrates, the crystallization process can be monitored and its kinetics determined. It starts with the formation of crystalline seeds at the interface Si/glass and proceeds towards the film surface until the entire film becomes crystalline.

SESSION A4: POLYCRYSTALLINE SILICON II
Chairs: Dieter G. Ast and James S. Im
Wednesday Afternoon, December 2, 1998
Salon A/B (M)
1:30 PM A4.1
STRESS DISTRIBUTION IN POLYCRYSTALLINE SILICON MEMBRANES BY MICRO-RAMAN SPECTROSCOPY. H. Talaat1, S. Negm 1, H. Schaffer2, F. Adar2, G. Kaltsas3 and A.G. Nassiopoulou3; 1Faculty of Science, Ain Shams University, Cairo, EGYPT; 2Instruments SA, Edison, NJ; 3Institute of Microelectronics, NCSR Demokritos, Aghia Paraskevi Attikis, Athens, GREECE.

The determination of the local stresses in Polycrystalline silicon (poly-Si) thin layers used in IC devices and MOS technology is of vital importance due to their detrimental effect on the reliability of these devices. Micro-Raman spectroscopy with spatial resolution of $\sim$ 1um, offers a powerful non-destructive method for the measurements of local stresses in these poly-Si membranes, in particular for complex structured ones as bridges. In this work we present our micro-Raman study of local stresses in poly-Si membranes of relatively large dimensions (570 x 380 um2) deposited over tunnels as deep as (120 um) micromachined in Si wafers. The membranes (or bridges) of various thicknesses (1-3um) are either free standing or lying on sacrificial porous silicon. The effect of the thickness of the bridges as well as conditions of growth and annealing process are studied to determine the parameters needed to obtain bridges of least stresses. The features of the poly-Si Raman line (position of maximum and FWHM) are analyzed and compared to reference c-Si , where it is shown that the FWHM for the Raman lines gives as much information as the shift in the position of the maximum to characterize the stresses in the membranes.

1:45 PM A4.2
RAMAN STUDY OF AMORPHOUS AND POLYCRYSTALLINE SiGe FILMS. J. Olivares, A. Rodríguez, J. Sangrador, T. Rodríguez, E.T.S.I.T.-U.P.M., Madrid, SPAIN; P. Martín, J. Jiménez , U. Valladolid, Vallodolid, SPAIN.

Polycrystalline SiGe films are of interest for the fabrication of thin film transistors for flat panel displays. In this work, Raman spectroscopy has been used to characterize: a) the amorphous SiGe deposited by LPCVD on oxidized Si and glass, b) the solid phase crystallization kinetics of this material and c) the resulting polycrystalline film microstructure. Amorphous SiGe layers were deposited by LPCVD at 450$^\circ$C on oxidized Si wafers and 7059 Corning glasses using Si2H6 and GeH4 as gas sources. The Ge fraction of the films was in the 0-0.38 range. The samples were crystallized at 550$^\circ$C: for times up to 450 h at Iow pressure (below 100 mTorr). The frequency shifts of the Si-Si and Si-Ge peaks of the spectra of the amorphous layers have been analyzed as a function of the Ge content. The crystallization of the films has been studied using the dependence of the peak areas on the annealing time. The data have been fit using the Avrami's model and the characteristic parameters have been extracted. The frequency shifts, shape and intensity of the Si-Si, Si-Ge and Ge-Ge peaks of the spectra of the polycrystalline layers depend on the Ge content, the grain size and the residual stress in the films. Characterization of the samples using several spot sizes and film thicknesses have been used to separate the different contributions. The evolution of the Raman spectra with the temperature was also studied in order to evaluate the contribution of the crystallinity and residual stress to the anharmonicity.

2:00 PM A4.3
EFFECT OF INCORPORATING GERMANIUM IN THE POLYCRYSTALLINE SILICON FILM. D. Guillet , T. Mohammed-Brahim, M. Sarret, L. Haji, F. Le Bihan, B. Fortin and O. Bonnaud, GMV, Université de Rennes, FRANCE.

The study of electrical and physical properties of the polycrystalline SiGe films is necessary as this alloy is a potential material for active channel of thin film transistor or photovoltaic applications. The Low Pressure Chemical Vapor Deposition technique is used to in-situ control high incorporation of germanium in Si1-xGex films by varying the magnitude x from 0.1 to 0.5 (and making an alloy). The film composition is determined by Secondary Ion Mass Spectroscopy (SIMS), X-Ray Diffraction (XRD) and Transmission Electron Microscopy (TEM) for studying respectively incorporation of germanium and grain structure of polycrystalline thin films. In-situ measurements of the film conductance during annealing (around 600$^\circ$C) under vacuum in classical furnace are used to determine the crystallization kinetics. The increase of incorporated germanium content leads to a high decrease of crystallization time of amorphous Si1-xGex. Electrical properties of the film are studied by Hall effect measurements. The rise of Ge content in silicon matrix induces a decrease of electrical resistivity due to a high increase of the carrier mobility.

2:15 PM A4.4
PROPERTIES OF POLYCRYSTALLINE SILICON THIN FILMS GROWN FROM METALLIC SOLUTIONS BY TEMPERATURE DIFFERENCE METHOD (TDM). B. Thomas , G. Müller, P.-M. Wilde, H. Wawra, Institute of Crystal Growth, Berlin, GERMANY.

Thin film polycrystalline solar cells offer significant potential for the reduction of cost and improvement of conversion efficiency of photovoltaic power. Thin film solar cells reduce the mass of feedstock silicon required. Second, low cost substrates enable large-area solar cells. Finally, an established silicon technology already exists. Thin film silicon solar cells have been demonstrated a capability to exceed the 15 $\%$ efficiency mark. LPE layers on highly doped multicrystalline silicon substrates showed efficiencies up to 15,4 $\%$.The temperature difference method (TDM) has the following advantages in comparison with the conventional LPE: The thickness of the grown layer is independent of the element solubility in the melt and the melt height. Because of the constant temperature at the phase boundary it is possible to grow layers with homogeneous distribution of dopants. The TDM possesses the capability to grow thin films in a quasi-continuous process. The steady state process allows saving of energy and time. We describe the TDM as a promising technology for the continuous growth of thin film silicon from the solution on polycrystalline silicon substrates (10 x10 cm^2) as well as on silicon seeded graphite substrates. The thermodynamic driving force of the layer growth by TDM is generated by a temperature gradient perpendicular to the substrate surface. Silicon thin films have been grown from Indium solutions at 980^C and a gradient of 10 K/cm. The layer structure and morphology were determined by SEM and XRD. By using spreading resistance (SR) measurements p-doping concentrations from 10^16to 2 x 1018 cm-3 have been detected in dependence of the Ga concentration in the In/Si solution. SR depth profiles show a homogeneous distribution of charge carriers perpendicular to the substrate surface due to the constant growth temperature. Minority charge carrier life times of 5-10 $\mu$s were determined in 30 $\mu$m thick layers by TRMC (time resolved microwave conductivity) measurements. The influence of the microstructure (grain size, grain boundaries) on the electrical and photoelectrical properties of silicon TDM layers will be discussed.

SESSION A5: CERAMIC FILMS
Chairs: Dieter G. Ast and James S. Im
Wednesday Afternoon, December 2, 1998
Salon A/B (M)
3:00 PM *A5.1
CRYSTALLOGRAPHIC TEXTURE IN ELECTROCERAMIC THIN FILMS ON SILICON. Tim Sands and Loucas Tsakalakos, Dept. of Materials Science and Mineral Engineering, University of California, Berkeley, CA.

The macroscopic piezoelectric, pyroelectric and ferroelectric properties of bulk polycrystalline perovskite ceramics such as Pb(Zr,Ti)O3 (PZT) are dependent on crystallographic anisotropy as achieved by uniaxial electric-field poling at temperatures below the Curie temperature (Tc). Electric-field-driven poling mechanisms involve the motion of ferroelectric (180o) domain walls, ferroelastic/ferroelectric twin boundaries and interphase boundaries (e.g., rhombohedral-tetragonal phase boundaries in morphotropic-phase-boundary PZT). In thin films, however, electric-field poling at room temperature is generally believed to mobilize only 180o domain boundaries while the ferroelastic twin and phase boundaries remain pinned by the fine-grain microstructure and the mechanical clamping effect of the substrate. Thus, optimal poling of thin films demands control of the crystallographic texture of the cubic phase during deposition, combined with control of the biaxial stresses that influence domain selection during cooling through Tc. In this talk, we describe templates (e.g., layered compounds such as bismuth titanate) and processing conditions that yield strong uniaxial texture in PZT thin films on Si substrates. We argue that such texture will be essential to achieving both optimal properties (e.g., > 1% strain via the converse piezoelectric effect, without microcracking) and performance (resistance to fatigue and aging). A novel laser liftoff process for separating nominally monocrystalline films from their single-crystal oxide growth substrate and transferring them to a Si, glass or polymer substrate is described.

3:30 PM A5.2
STRUCTURE AND PROPERTIES OF MAGNETRON-SPUTTERED CHROMIUM NITRIDE FILMS. Xiao-Ming He , Neil Baker, Stephan Grigull, Kevin C. Walter, and Michael A. Nastasi, Materials Science and Technology Division, Los Alamos National Laboratory, Los Alamos, NM.

Chromium nitride (CrN) films were prepared on low temperature substrates by d.c. reactive magnetron sputtering with Ar as the sputtering gas and N2 as the reactive gas. The influence of experimental parameters, such as the substrate bias, power density, and gas flow ratio of N2 to Ar (or FN2/FAr), on the structure and properties of the resultant films were studied. It was found that stoichiometric or near-stoichiometric CrN films were easily obtained in the crystalline form depending on gas flow ratio FN2/FAr and bias voltages applied to the substrate. The morphology, hardness and residual stress were substantially affected by the bias voltage and the sputtering power. The experimental results confirmed that the thick CrN films can be prepared with a fine and compact nano-crystalline structure, an extreme hardness of 30 GPa, and a compressive stress of -(2-3.8) GPa. The prepared CrN films exhibit a strong enhancement on the tribological properties.

3:45 PM A5.3
EFFECT OF DEPOSITION PARAMETERS, SURFACE SPUTTER, AND POST-DEPOSITION ANNEALING ON THE MICROSTRUCTURE, CHEMICAL COMPOSITION, AND ELECTRICAL CHARACTERISTICS OF ZnO THIN FILMS. B. J. Kim, J. H. Choi, N.-H. Cho , Inha University, Dept. of Ceramic Engineering, Incheon, KOREA.

ZnO thin films were prepared by rf-magnetron sputter techniques at various conditions. The microstructure, surface morphology, chemical composition, and electrical characteristics of the films were investigated as functions of substrate temperature (R.T. - 500oC), sputter gas (O-2/Ar = 0 $\sin$ 50$\%$), post-deposition annealing atmospheres, and surface sputter conditions. ZnO thin films grown at 500oC with sputter gas of pure argon as well as at R.T. with sputter gas of a mixture of argon and oxygen (O-2/Ar = 2$\%$) exhibit a strong tendency of 002 preferred orientation, compared with a considerable random orientation at the other conditions. The thin films with 002 preferred orientation has a chemical stoichiometry of Zn/O=1.01, a band gap of 3.3 eV, and a packing density of 98$\%$, respectively. The films, which were annealed at various atmospheres, exhibit sheet resistances ranging from a few G$\Omega$ to a few k$\Omega$, charge carrier concentration of 1015 $\sin$ 1018/cm3, and mobility of a few 10 cm2/Vsec. When the surface of the films was sputtered at particular conditions, the surface area was increased, making the films more sensitive to heat-treatments at particular atmospheres.

4:00 PM A5.4
STRUCTURE AND PROPERTIES OF NANO-CERAMIC THIN LAYERS. J.Th.M. De Hosson , J. Hooijmans, R. Popma, Department of Applied Physics, University of Groningen, Groningen, THE NETHERLANDS.

The research presented in this contribution focuses on the densification of sol gel derived thin nano-ceramic layers by laser radiation. In our work the sol-gel concept is combined with inkjet technology and laser treatment of surfaces. Afterwards the drops are exposed to an intense laser beam that gives rise to drying and densification of the drops, thereby forming a sintered polycrystalline thin ceramic layer. Arbitrary patterns can be generated and foil thickness of 0.1 - 0.5 mm can be obtained. The research concentrates on the densification mechanisms, the residual stress formation and crack patterns in the layers after laser radiation. The thin layers investigated are mainly SiO2, Al2O3 , TiO2 and to a minor extent ZrO2. Morphologies of the materials are studied using a dedicated low voltage scanning electron microscope. The advantage of this low voltage microscope over conventional microscopes is that a non- conducting specimen may be studied directly, since charging effects are suppressed by balancing the amount of inserted and emitted electrons at low accelerating voltages. For highly porous layers a model is described for the crack patterns expected for layers of various thickness. Thick layers, for example Al2O3 layer thickness exceeding 500 nm, are expected to delaminate. Layers with lower thickness will exhibit channeling crack like behavior. For layers of low thickness, e.g. below 200 nm, only sub-micron sized surface cracks are expected and observed in the layers.

4:15 PM A5.5
LOW TEMPERATURE PREPARATION OF THIN FILM INDIUM TIN OXIDE VIA CONTROLLED CRYSTALLIZATION OF AS-DEPOSITED AMORPHOUS THIN FILMS. David C. Paine , Trevor Whitson, Derek Janiac and Cleva Ow Yang, Brown University, Division of Engineering, Providence RI.

Polycrystalline tin-doped indium oxide (ITO) is a degenerate semiconductor that is used as a transparent conductor in flat panel display applications. Films with both low resistivity (1-2x10-4 ohmcm) and good transmissivity (>90%) are typically deposited on heated substrates (approx. 200 $^\circ$C) and often possess a distinct ricefield morphology consisting of highly textured polycrystalline grains (500 nm diameter) which contain 10 nm diameter subgrain regions. The deposition of ITO on heat intolerant polymer substrates or polymer-based color filters requires the use of low substrate temperatures (i.e. RT) and low kinetic energy deposition methods. These deposition conditions favor the formation of amorphous ITO thin films. The transformation of the amorphous phase to polycrystalline ITO occurs at remarkably low temperatures; for example, at 190$^\circ$C, the transformation of In 9.8wt%SnO2 is complete after 1 hour. The details of the kinetics of this transformation are important both as a potential process step for manipulating thin film ITO microstructure/properties and in understanding the formation of the ricefield structure that forms during deposition on heated substrates. We have usedin situ monitoring the electrical (resistivity, Hall mobility) and optical (time resolved reflectivity) properties in combination with glancing incidence angle x-ray and TEM to establish the kinetics of transformation of e-beam deposited indium oxide containing 0, 1, 2.5, 5.5, and 9.8 wt% SnO2 over the temperature range 100 to 200 $^\circ$C in reducing and oxidizing environments. We report that the rate of transformation increases with decreasing Sn content and, based on this, have demonstrated a multilayer scheme to control the final microstructure of crystallized ITO which optimizes resistivity and optical transmissivity. Detailed x-ray and resistivity analysis reveals that amorphous ITO undergoes crystallization in a two stage process in which the amorphous structure undergoes relaxation (with an Avrami growth mode parameter of unity) which is followed by two-dimensional nucleation and growth (growth mode parameter of 3). The activation energy for both processes as a function of composition has been established and, based on TEM and x-ray analysis, we have developed a model that describes microstructure development in this system as a function of film deposition parameters, annealing temperature and gas ambient.

4:30 PM A5.6
MICROSTRUCTURE-PROPERTY RELATIONSHIPS OF TIN OXIDE THIN FILMS GROWN ON DIFFERENT SUBSTRATES. L. Fu and X.Q. Pan, Dept. of Materials Sci. & Eng., University of Michigan, Ann Arbor, MI; M. Bastea and C. Uher, Dept. of Physics, University of Michigan, Ann Arbor, MI.

Tin oxide thin films were deposited on SiO2/Si-(100) and sapphire (with various cuts) substrates by electron beam evaporation under controlled deposition conditions. The effects of substrate temperatures and post-deposition annealing conditions on the microstructure and electrical properties of the films were studied. X-ray diffraction studies showed that films deposited at lower temperatures are amorphous, whereas those deposited above 300$^\circ$C are polycrystalline with the $\alpha$-SnO structure. All $\alpha$-SnO films on different substrates have a strong fiber texture with $\alpha$-SnO (001) parallel to the substrate surface. The rutile SnO2 films were obtained by annealing as-deposited films at temperature above 550$^\circ$C. Scanning electron microscopy and atomic force microscopy studies showed that a larger grain size exists in SnO2 films obtained by annealing the $\alpha$-SnO films which were deposited at 600$^\circ$C. Transmission electron microscopy studies revealed that the microstructures of the rutile SnO2 films are determined by the substrate structure and annealing conditions (temperature, time, and oxygen pressure). Electrical properties and the responses to reducing gases such as CO strongly depend on the microstructures of films. The structure-property relationships of these films will be discussed.

4:45 PM A5.7
PREPARATION, COMPOSITION, MICROSTRUCTURE, AND ELECTRICAL PROPERTIES OF Y2O3 DOPED CEO2 THIN FILMS. Chunyan Tian , Siu-Wai Chan, School of Engineering & Applied Science, Materials Science Division, Columbia University, New York, NY.

Polycrystalline and high quality textured Y2O3 doped CeO2 thin films were prepared on various substrates using electron beam evaporation. The microstructures of the films are largely dependent on the substrates. Polycrystalline films formed on oxide substrates with metallic films in between, while single crystal-like [001] textured films evolved on (001)LaAlO3, [110] textured films grew on amorphous silica, and [001]&[111] dual textured films formed on r-cut sapphire. The oxygen partial pressures during and post film depositions are found to have a significant effect on the film microstructures and electrical properties. Low oxygen partial pressure promotes [111] textured films which exhibit electronically conducting mechanism; while the films grown in high oxygen partial pressure behave ionically conducting. The composition and stoichiometry of the films were verified by Rutherford backscattering spectroscopy analysis. Both x-ray diffraction and transmission electron microscopy analyses gave consistent microstructural information. Complex impedance spectroscopy was used to study the electrical properties of the films.

SESSION A6: POSTER SESSION
Chairs: Eduard Arzt, Theodore I. Kamins and Carl V. Thompson
Wednesday Evening, December 2, 1998
8:00 P.M.
Grand Ballroom (S)
A6.1
AUTOMATED MICROSTRUCTURAL ANALYSIS OF POLYCRYSTALLINE THIN FILMS. D.T. Carpenter , J.M. Rickman, K. Barmak, and J.R. Codner, Lehigh Univ, Dept of Materials Science and Engineering, Bethlehem, PA.

The grain size distribution of a thin film can have a profound effect on its performance, for instance the reliability of metallization in microelectronic applications, or the signal to noise ratio of a magnetic thin film. The grain size distribution is typically measured manually from TEM micrographs of the film, over a population of a few hundred grains. However, this limited sampling may result in significant errors, making measurement over larger populations desirable. Automated grain size analysis should improve statistics, but simple image processing techniques give unacceptable results when applied to TEM images due to complex contrast including bend contours and grain boundary fringes. A robust image analysis algorithm has been developed and applied to TEM images of an Al thin film to measure the grain size distribution from a population of over 8000 grains. This novel algorithm will be summarized and its results will be quantitatively compared to those of conventional manual analysis. In addition, the results of manual analyses performed by different people will be treated in a similar fashion as a reference. Results of the automated analysis applied to different materials and microscopies will also be presented.

A6.2
ATOM PROBE FIELD-ION MICROSCOPY CHARACTERIZATION OF MULTILAYER THIN-FILM STRUCTURES. D.J. Larson , M.K. Miller, Oak Ridge National Laboratory, Metals and Ceramics Division, Oak Ridge, TN; A.K. Petford-Long, A. Cerezo and G.D.W. Smith, University of Oxford, Department of Materials, Oxford, ENGLAND.

Multilayer thin film structures, which are formed by alternate deposition of two or more different elements or compounds, have a range of applications including magnetic recording media. The nature of the interfaces between the films and between grains is very important in determining the properties of these films. Atom probe field ion microscopy has sufficiently high spatial resolution to characterize local structure and composition of multilayer thin film devices at the atomic scale. However, a major difficulty is specimen preparation, which requires fabrication of a sharply pointed needle (radius of the order of 50 nm) containing the layers of interest in the apex region. Focused ion beam milling has been used to fabricate field-ion specimens from a multilayer film structure containing 100 repetitions of a (Cu2nm/Co2nm) bilayer deposited directly onto a planar substrate. The use of a focused ion-beam allows a suitable specimen to be cut out of the film and to concurrently monitor the fabrication process by imaging with secondary electrons. This specimen fabrication method has allowed the observation of the structure of these devices, including individual film thickness and curvature as well as grain boundary properties, by field-ion imaging and three-dimensional atom probe compositional analysis. In addition, the correlation of structural and chemical observations with magnetic property data from the same thin film structure has been accomplished.
This research was sponsored by the Division of Materials Sciences, U. S. Department of Energy, under contract DE-AC05-96OR22464 with Lockheed Martin Energy Research Corp. This research was conducted utilizing the Shared Research Equipment (SHaRE) User Program facilities at Oak Ridge National Laboratory.

A6.3
THIN FILM TEXTURE DETERMINATION FROM RHEED. Dmitri Litvinov , Thomas O'Donnell, and Roy Clarke, Randall Laboratory of Physics, University of Michigan, Ann Arbor, MI.

A kinematic theory of reflection high energy electron diffraction (RHEED) is presented for textured polycrystalline thin films. RHEED patterns are calculated for arbitrary texture situations and for any general crystallographic orientation that may be encountered in thin-film growth. It is shown that the RHEED pattern can be used as a fast and convenient tool for in-situ texture characterization. The approach also permits quantitative extraction of angular dispersion parameters which are useful for optimizing thin-film growth conditions.
The application of the technique is demonstrated for texture identification and optimization of growth parameters of cubic boron nitride (c-BN) thin films. We find that decreasing the energy of nitrogen ions used to stabilize the cubic phase in ion assisted sputtering, significantly reduces the amount of angular distpersion in the texture direction.

A6.4
COMPREHENSIVE CHARACTERIZATION OF THIN FILMS USING X-RAY REFLECTOMETRY AND FLUORESCENCE. R. Wilson, G. Hamill , Rigaku USA Inc., Danvers, MA; M. Funahashi, M. Kuraoka, S. Fujimura and H. Kohno, Rigaku International Corporation, Osaka, JAPAN.

Critical issues in the characterization of thin films include thickness, elemental composition and stoichiometry, surface and interface roughness, and density. This paper will present data from two complementary techniques that completely characterize single layer and multi-layer thin films. X-ray Reflectometry (XRR) provides data on the thickness, density and surface roughness, while X-ray Fluorescence (XRF) provides corresponding data on thickness and elemental composition. In the semiconductor industry, XRF has been widely used as the standard characterization tool for film thickness and composition. As the direction of current and future generation films is toward ultra-thin layers, surface roughness is becoming a more significant factor in the device properties. The comprehensive characterization of these films by XRR and XRF, therefore, is necessary for improved process control. As an example, thin film ferro-electric materials, BaxSr1-xTiO3 (BST) on Si wafers will be discussed. These films are known to play an important role not only for non-volatile memory but also for next generation memory processes. The results of the analyses of these materials by the combination of XRR and XRF give a better understanding of the quality of the deposited films.

A6.5
QUANTITATIVE RHEED ANALYSIS OF POLYCRYSTALLINE FILM TEXTURE AND ORIENTATION. J.W. Hartman and H.A. Atwater, California Institute of Technology, Dept of Applied Physics, Pasadena, CA.

Reflection High Energy Diffraction (RHEED) is a tool for analysis of crystalline texture and surface roughness which is compatible with many growth chamber geometries. However, because solving the equations of motion for electrons diffracting from an imperfect crystalline surface is difficult, quantitative evaluation of RHEED patterns to determine crystalline quality is prohibitively time-consuming. We are developing efficient algorithms able to predict the effects of grain size, surface roughness, and crystalline texture distribution on RHEED patterns in the limit of kinematic electron scattering, and will present predictions and comparisons to RHEED from biaxially textured ion beam assisted deposited (IBAD) MgO. In the limit of kinematic RHEED from mosaic crystals of MgO, the shapes of the high order (n 3,4,5) diffraction spots are strongly dependent on the grain texture distributions. By studying these higher order diffraction spots, we find that we can discern distributions of grain misalignment in mosaic MgO about the three principle crystal axes to within 1 degree.

A6.6
ESTIMATION OF ENERGY DISSIPATED RATIO FOR MULTI-LAYERED FILMS BY NANOINDENTATION. Naoto Kikuchi , Yoshihiro Sawahira, Akishige Sato, Eiji Kusano, Akira Kinbara, Kanazawa Inst. Tech., Adv.Mater. Sci. Res. and Develop. Center, Kanazawa, JAPAN.

Hardness is an ambiguous concept in physical meaning. In this presentation, we propose the dissipated energy and the energy dissipation ratio. The former is the energy to deform a material plastically and can be obtained from the area surrounded by loading and unloading curves in load-displacement data of nanoindentation. The latter is the ratio of the dissipated energy to the total energy applied to a material. Large value of the ratio suggests that large plastic deformation take place in a material relative to the elastic deformation. The ratio gives us significant information about the mechanical properties in complex system such as a multilayered film with different, dominant process for deformation. We examined the hardness, the dissipated energy and the energy dissipated ratio to the total energy applied to films of TiN/Al multilayered films selected as typical film with hard/soft combination using the nanoindentation. The effects of thickness for TiN or Al layer on the mechanical properties were discussed. The films were prepared by dc magnetron sputtering process on silicate glass substrates in their order of TiN/Al/glass. Thickness of each layer was 100 and 500 nm for Al and 300, 500 and 700 nm for TiN. Dynamic hardness of all films estimated from the maximum load and displacement was about 14 GPa with the indentation load of 0.98 mN. The energy dissipated ratio of the films with thickness of 100 nm Al layer showed about 48 % and increased to 65 % with 500 nm thickness of Al. In both cases, the ratio was independent to the thickness of TiN layer. It was concluded that the energy dissipated ratio is effective indicator to discuss a detail behavior of deformation.

A6.7
EFFECT OF THERMAL TREATMENT ON THE INDENTATION FRACTURE BEHAVIOR OF SPUTTER DEPOSITED MUTLI-LAYERED THIN FILMS ON SILICON. M. Manoharan *, Su Dan* and G.Muralidharan**, * Division of Materials Engineering, School of Applied Science, Nanyang Technological University, SINGAPORE; ** Failure Analysis and Reliability Department Institute of Microelectronics, Science Park II, SINGAPORE.

Indentation cracking has been extensively used for the measurement of fracture toughness of brittle materials due to its small sample size requirements as well as a relatively good correlation with values obtained from traditional fracture mechanics tests. Different types of cracks can be generated on the surface of brittle materials by indentation loading. Loading by sharp indenters such as the Vickers indenter leads to the generation of a remnant plastic impression in the surface and such contacts are often termed elastic-plastic. In these cases radial as well as lateral cracks may be generated. In the present study, microhardness testing has been used to follow the evolution of the mechanical properties of a Ti - Ni(V) - Al(Cu) thin film deposited on a Si substrate. Composite hardness and fracture toughness have been followed as a function of heat treatment temperatures and times and were found to be dependent on both variables. The roles of residual stresses, interdiffusion, and intermediate phase formation in the observed variation in hardness and fracture toughness are discussed.


A6.8
EXCIMER-LASER CRYSTALLIZATION OF SI THIN FILMS ON TUNGSTEN. H. Jin Song , J. H. Glownia, and S. M. Gates, IBM Thomas J. Watson Research Center, Yorktown Heights, NY.

Crystallization of amorphous Si thin films in contact with a metal is often accompanied by silicide formation and metal diffusion into Si. Depending on the application of the crystallized film, these phenomena can be detrimental. The excimer-laser crystallization technique is well suited to circumvent these side effects due to its rapid surface processing capability. Additionally, tungsten acts as a relatively stable substrate because tungsten silicide forms at higher temperatures, compared to other metal/silicide systems. In this presentation, excimer-laser crystallization of Si thin films on tungsten will be discussed. The characteristics of irradiated Si thin films depend mainly on the energy densities with which the films are irradiated. The energy density dependence of microstructure, silicide formation, and tungsten diffusion will be presented.

A6.9
OF THE SUBSTRATE TEMPERATURE ON THE PROPERTIES OF LASER CRYSTALLIZED POLYCRYSTALLINE SILICON. N.H. Nickel , Hahn-Meitner-Institut Berlin, Berlin, GERMANY; G.B. Anderson and R.I. Johnson, Xerox Palo Alto Research Center, Palo Alto, CA.

Polycrystalline silicon films were prepared by laser crystallization of amorphous silicon at various temperatures. At 300K and at a laser fluence of 540 mJ/cm2 an average grain size of 1.5 $\mu$ was obtained. With increasing substrate temperature the maximum grain size decreases. This is accompanied by a decrease of the laser fluence required to maximize the grain size. An increase of the grain size results in a decrease of the spin density due to a reduction of the grain-boundary volume. In addition, the increase in substrate temperature resulted in a pronounced decrease of the spin density independent of the laser energy density. The grain-boundary defects are passivated by exposing the poly-Si films to a hydrogen plasma at elevated temperatures. This causes the spin density to decrease to a residual value of 9 x10^16cm^-3$
independent of substrate temperature and laser
fluence.{\newline\newline
\no...
...
hexagonal grains) and large grains (with sizes ranging from less than
10 \mu$m to greater than 100 $\mu$m). In addition to these microstructural results, we will also discuss additional less well-recognized attributes of the SLS process, such as (1) wide energy-density processing windows, (2) high throughput rates, and (3) insensitivity to the particular method and details of deposition, which are of importance in the actual implementation of the method.
This work was supported by DARPA under project N61331-94-K-0033.

A6.11
Withdrawn.

A6.12
CHARACTERISTICS OF BORON-DOPED SiGe FILMS FOR LOW-VOLTAGE MOS GATE. Takashi Noguchi , Dharam Pal Gosain and Setsuo Usui, Research Center, Sony Corporation, Yokohama-shi, JAPAN.

Precise control of the threshold voltage is important for the CMOS transistor in low-voltage driving. In order to keep current drivability without modifying the channel concentration, new gate materials with a controllable work function within the bandgap of polysilicon is required for CMOS and SOI beyond the 0.18 $\mu$m channel size. SiGe is a candidate for the gate material, as capped Si/SiGe structure. After preparing solid targets from Si and Ge powder, SiGe thin films were deposited without using dangerous CVD gases like SiH4 or GeH4. After thermally annealing B-doped films or annealing by UV pulse beam, the films were characterized. As the content of Ge increases, the refractive index increases and the band edge narrows. The higher the annealing temperature, the lower the resistivity and the higher the degree of crystallization. For films with a high Ge content (x$\sim$0.5), the Vfb value, which was calculated using the CV characteristics, was adjusted to the middle point between p+ and n+ poly-Si deposited by CVD. We found that Vfb can be controlled without a significant shift in the B profile by using UV pulse beam annealing. The B-doped SiGe films are expected to be a promising gate material for MOS and SOI transistor in low-voltage driving.

A6.13
STUDY OF NICKEL SILICIDE IN POLYCRYSTALLINE SILICON FILM. C.H. Ho , M.C. Poon and J.K.O. Sin Department of Electrical and Electronic Engineering, The Hong Kong University of Science and Technology, Clearwater Bay, HONG KONG.

Nickel silicide (NiSi) is one of the most promising silicides for future novel devices. Effects of Ni silicides in polycrystalline Si (poly-Si) and amorphous Si (a-Si) films have been studied. 180nm CVD a-Si was deposited at 475$^\circ$C onto thermal oxide. 260nm poly-Si was CVD-deposited at 550$^\circ$C, implanted with arsenic at 50keV and 1x1016/cm2, and annealed at 950$^\circ$C/30min. Ni film was e-beam evaporated in vacuum<2E-7 torr. NiSi ($\sim$70nm) has been found to have low resistivity of $\sim$25/15/30 micro-ohm-cm after 300/700/800$^\circ$C/30min annealing. On a-Si, however, NiSi is very unstable and has 60/25/18/45/45/ 60/60 micro-ohm-cm after 200/300/400/500/600/700$^\circ$C annealing. NiSi/Si layer structures are confirmed for poly-Si. Nevertheless, for a-Si, the film is a mixture of NiSi, NiSi2 and a-Si. The better thermal stability of silicides on poly-Si might be correlated to the larger poly grains formed after dopant anneal, as confirmed by SEM. Moreover, Ni also causes a-Si to form poly-Si at $\sim$1 micron/hour and with mobility >100 cm2/Vs after 500$^\circ$C annealing, probably due to the diffused Ni (NiSi2) in a-Si. Furthermore, pure NiSi gate ($\sim$120nm) formed from 54nm of Ni and 100nm of a-Si (or poly-Si) on 100nm thermal oxide is found to have low resistivity of 13 micro-ohm-cm and stable structures after 400-1000$^\circ$C/30min annealing. Threshold voltages (VT) are around 0.5V for both p- and n-Si substrates (doping $\sim$1E15/cm3). The new NiSi midgap gate can help to achieve symmetrical VT and substantially impact the CMOS and TFT technologies.

A6.14
UNIFORM Co SALICIDE FORMATION FOR SUB-QUARTER-MICRON DUAL-GATE CMOS DEVICES. Hirofumi Sumi , Jun Suenaga and Yutaka Okamoto, Process Development Dept., System LSI Division, Semiconductor Company, Sony Corporation, Kanagawa, JAPAN.

For dual-gate CMOS application, a low depletion condition of the gate electrode is necessary by the high content of the dopant. However, it is difficult to uniformly reduce the sheet resistance of Co salicide by non-optimized pretreatment process. Applying the salicide process with an optimized pretreatment method, low sheet resistance and low depletion condition of the gate were obtained. Before salicidation, the surface morphology of the poly-Si with a high concentration of doped arsenic (5x1015/cm2) was rough after pH7.2 HF pretreatment. In the case of a relatively low concentration of arsenic (less than 3x1015/cm2) doped into the Si or p-type poly-Si, smooth surface morphology of poly-Si was maintained after the pH 7.2 HF treatment. By using the salicide process with pH3.0 HF pretreatment method, superior uniformity in the sheet resistance and a reduced depletion condition of the gate with also high concentration of doped As at the narrow regions were obtained. The Si crystal surface with arsenic was selectively etched off by the pH7.2 HF treatment as determined by TEM analysis. The surface morphology was rougher in the narrow region of poly-Si than in the wide region of the gate according to AFM analysis. It seems that oxygen is easily diffused from sidewall oxide layer (the structure is SiO2/SiN on the gate) at the narrow gate regions and the roughness of the surface with the pretreatment process was affected by the diffused oxide. As a result, nonuniformly salicidation occurred at the narrow regions with pH7.2 HF pretreatment salicide process. In the mechanism of the degradation of morphology, after the native oxide on the poly Si is etched off by HF2- in the HF treatment, the polycrystal that is highly damaged by arsenic ion implantation and in which a damage layer is remained in spite of activation annealing at 1000$^\circ$C for 10s, is easily etched off by the NH4+ in the pH7. 2 HF. The grains on the Si surface are eroded by NH4+ or HF2- component in the pH7. 2 HF solution. The HF solution without NH4+ (pH3. 0) prevents the corrosion of Si. As a result, smooth morphology of the poly-Si is obtained by pretreatment using pH3.0 HF treatment

A6.15
EFFECT OF ANNEALING TEMPERATURE AND THICKNESS ON SILICIDATION PROCESS OF TiN/TiSi2/Si STRUCTURES. S. Santucci , A.R. Phani, Department of Physics University of L'Aquila, Via Vetoio, Coppito, L'Aquila, ITALY; R. Alfonsetti, M. De Biase, Texas Instruments, Avezzano, ITALY.

One of the most promising metallization schemes on silicon is the TiN/TiSi2/Si structure, since it takes advantage of good electrical contact between Si and TiSi2 and the property as a diffusion barrier of TiN. Initial stage of the C49-TiSi2 formation has been investigated at 590$^\circ$C and complete formation of C54-TiSi2 phase has been observed at 850$^\circ$C by using Transmission Electron Microscopy (TEM) as well as Grazing Angle X-ray Diffraction (GAXRD). The results of TiN/TiSi2/Si structures with different thickness and annealed at different temperatures with and without N2/H2gases have been studied. we demonstrate that as the thickness of TiN and Ti is increased from 30$\AA$(TiN)and 170$\AA$(Ti)respectively to 500$\AA$(TiN) and 500$\AA$(Ti) there is a dramitic change in the formation of silicide layer at an annealing temperature of 850$^\circ$C. This is explained by the dissociation of TiSi2, in which the dissociated Ti is consumed in the formation of TiN (since it has been annealed in N2 atmosphere)which has been further observed in grazing angle XRD where the intensity of the TiN peak is increased. Similar behviour is not observed in the case of higher thickness sample.

A6.16
STRUCTURAL TRANSFORMATIONS IN THERMAL-IONIC TiN FILMS ON THE (111) Si SUBSTRATE. M.S.Boltovets, G.M. Veremeychenko, Research Institute ORION, Kyiv, UKRAINE; T.D. Kotikova , V.A. Makara, O.V. Rudenko , National Kiev Taras Shevchenko University, Kyiv, UKRAINE.

Methods of electronography and roentgenology were used to study the structure and phase composition of TiN films of different thickness obtained by the thermal-ionic synthesis on the single crystal (111)Si substrate.
It is shown that TiN films on the TiN substrate at the initial growth stages have amorphous structure (L<30Å). The existence of X-ray amorphous constituent (70%) and the appearance of the textured <111> polycrystalline phase (30%) are observed in it if the film thickness grows up to 1 $\mu$m. Further growth of the film thickness up to 6 $\mu$m results in a decrease of the fraction of the TiN amorphous constituent phase down to (35%) and in an increase of the polycrystalline phase (up to 65%). The size of polycrystalline phase grains makes up 16OÅ.
To determine the thermal stability of films the samples were annealed in vacuum within the temperature range up to 800$^\circ$C. It is shown that annealing at 600$^\circ$C leads to the appearance of the TiN polycrystalline phase and for thicker films (>1$\mu$m) to the redistribution between fractions of both phases. Annealing at 800$^\circ$C almost completes the amorphous phase. The formed TiN layers due to annealing have thermodynamic texture [111], the disorientation angle being several degrees. Annealing leads to a significant decrease of micro- and macrostresses in films, at 800$^\circ$C stresses fall to zero. The parameter of TiN film lattice on annealing decreases and on annealing at 800$^\circ$C becomes close to standard values (4.2416(4)Å). The specific resistance of TiN films is 30$\div$50 $\mu\Omega\cdot$cm. After annealing the electrical conductivity of films is within the same ranges.

A6.17
LARGE AREA DEPOSITION OF CADMIUM SULFIDE BY CHEMICAL BATH DEPOSITION FOR PHOTOVOLTAIC APPLICATIONS. David S. Boyle and Paul O'Brien, Department of Chemistry, Imperial College of Science, Technology and Medicine, South Kensington, London, UK.

One of our research interests at Imperial College concern solar cell materials, specifically polycrystalline n-CdS/p-CdTe heterojunction devices. The main advantage of thin film solar cells is their promise of large area, low cost photovoltaic energy conversion for a variety of applications.
Chemical Bath Deposition (CBD) of compound semiconductors has recently gained the attention of workers in the field of photovoltaic technology. The technique offers many advantages over the more established synthetic routes to semiconductor materials such as CVD, MBE and spray pyrolysis. The main aim of the project is to develop a recyclable chemical bath deposition (CBD) process, for the production of the CdS window of the devices on TO-glass substrates, in which 99% of the cadmium is recycled or recovered. The present commercial process utilises only around 2% of the initial materials in a batch process The work is in collaboration with BP Solar Ltd (who are the world leaders in this technology with their Apollo cells). Initial efforts at Imperial College have utilised chemical modelling and speciation studies to generate an improved process efficiency of ca. 90% w.r.t. total cadmium.
It has been established that solar cell parameters are sensitive to the nature of the CdS/CdTe interface. Understanding the mechanisms in detail would accelerate device optimization. Electrical behaviour, structure and impurity distributions have been investigated. Our results from quantitatative SIMS have indicated that high concentrations of chloride and oxygen at the CdS-CdTe interface region are necessary for high device efficiencies.

A6.18
PHASE SEGREGATION AND GRAIN BOUNDARIES IN POLYCRYSTALLINE CU(GA,IN)SE2 FILMS - A PHOTOELECTRIC AND OPTICAL STUDY ON THE MICRO-SCALE. Gerd Lippold , Universitaet Leipzig, Fakultaet fuer Physik und Geowissenschaften, Leipzig, GERMANY; Volker Nadenau, Hans-Werner Schock, Universitaet Stuttgart, Institut fuer Physikalische Elektronik.

Polycrystalline semiconducting chalcopyrite films are successful candidates for a new generation of commercial high-efficiency, low-cost thin film solar cells. The Cu(In,Ga)Se2 absorber layers are not only polycrystalline, but often also multiphase systems. The influence of some aspects of this complicated microstructure on the macroscopic photoelectric properties is still object of controversial discussions. Examples are the microscopic origin of structural and electrical improvements by isoelectronic dopants such as sodium or the processing-dependent influence of grain boundaries and interfaces on solar cell properties. A typical size of grains and segregations is one micrometer. The three-dimensional spatial resolution of confocal laser microscopy can be used to obtain valuable spectroscopic information on this scale even within the complete multilayer structure of a solar cell. In the present work we study binary and ternary phase segregations and local defect density variations in polycrystalline Cu(Ga,In)Se2 thin films grown with deviations from stoichiometry. Raman scattering is analyzed in order to get information about chemical binding and structure, necessary to identify various phases. Smaller compositional variations can be tolerated within chalcopyrite phase homogeneity but give rise to local variations of the intrinsic defect density. Micro-photoluminescence at various temperatures down to 10 K is used to characterize this type of inhomogeneities. This information is correlated with the spectral photoresponse of the solar cell, studied by LBIC (Light Beam Induced Current) measurements with variable excitation energy on the same sub-micrometer scale. The LBIC relaxation after short-pulse excitation is used to obtain the local lifetime of the photo-excited carriers. In summary, this study enables us to identify Cu- and (In,Ga)- rich secondary phase segregations on the microscale, to study defect characteristics in their vicinity and to correlate these data with the local photoelectric and charge carrier transport properties. Especially in cells based on Cu-rich absorber layers and in cells without sodium content we found a significant increase in recombination velocity at grain boundaries. Details of this behavior and its modification due to doping/alloying with sodium and hydrogen will be discussed in the paper.

A6.19
ABNORMAL TEMPERATURE DEPENDENCE OF CONDUCTIVITY DUE TO THE STRUCTURAL CHANGES IN DOPED ZINC TELLURIDE POLYCRYSTALLINE FILMS. Yaping Cai , Wei Cai, Jiagui Zheng, Sichuan Univ., Dept of Materials Science, Chengdu, CHINA; Lianghuan Feng, Jian Tang, Don.L.Williamson, John.U.Trefny, Colorado School of Mines, Dept of Physics, Golden, CO.

The temperature dependence of dark conductivity of un-doped and Cu-doped ZnTe polycrystalline films have been systematically studied as a function of Cu concentration. The curves of log$\sigma$ $\sim$1/T are linear for un-doped films, but exhibit a maximum and a minimum, then steeply raise for heavily doped films. The temperatures at the extrema depend on Cu concentrations.
In order to understand the abnormal conductance behavior, the effects of temperature on the structural and electronic properties of the films have been investigated.It has been found that after annealing, the log$\sigma$ $\sim$1/T of doped films become linear below the annealing temperature, which implies that the changes resulted from annealing have been frozen when cooling. The structures in un-doped ZnTe films only display a cubic phase, and do not show any other phase after annealing. While, their activation energies of conductivity and mobility do not alter. The as-deposited ZnTe:Cu films exhibit both the cubic and hexagonal phases. The latter increases with Cu concentration, and with temperature. However, it has vanished above 230 C. In heavily doped films, the cubic phasres with non-preferred orientations obviously increase with temperatures, and a new Cu1.44Te orthogonal phase appears and increases when increasing temperature beyond 160 C. Considering the phase transitions, some changes in construction and ionization of Cu atoms, together with the data of carrier concentration are analyzed. The relationship between the activation energy of mobility, i.e. grain boundary barrier, and structural changes is observed and discussed.
An improvement in applying ZnTe:Cu films to the back contact of CdTe solar cells is presented. It is much valuable to add a buffer layer of un-doped ZnTe between p-CdTe and ZnTe:Cu layers. Using our structural design, deposition and annealing technologies based on the above studies, the increases in efficiency by 30 - 40 % were recorded for the spray pyrolysis and electrodeposited CdTe cells.

A6.20
STRUCTURE AND PHASE EVOLUTION DURING RAPID THERMAL PROCESSING FOR CuInSe2 THIN FILM FORMATION FROM A MULTILAYER PRECURSOR. Chih-hung Chang , Billy Stanbery, Min Huang, Tim Anderson, Dept of Chemical Engineering, Univ of Florida, Gainesville, FL; Qing Zhai, Jeremy Thompson, Paul Holloway, Dept of Materials Science and Engineering, Univ of Florida, Gainesville, FL.

Rapid Thermal Processing (RTP) is an attractive technique for developing low cost polycrystalline CuInSe2-based solar cells. It has been applied successfully to form device quality CuInSe2 thin film based on a stacked elemental layer process. Based on our assessment of the thermochemistry and phase diagram of the Cu-In-Se ternary system a binary precursor combination should lead to a lower temperature reaction pathway for CuInSe2 thin film formation . This novel binary In-Se/Cu-Se precursor was deposited in a migration enhanced physical vapor deposition system at a low temperature (200$^\circ$C). Ex-situ RTP was performed in a customized RTP furnace with a quartz susceptor. The process parameters (ramp rate, maximum annealing temperature, and soak time) were explored to study the reaction pathways and phase evolution. The precursors and the annealed films were characterized by TEM, SEM, XRD, WDS, Raman, Auger and thermoelectric probe. The relationships between precursor structures, annealing parameters and the resulted microstructure were studied. The results showed single phase CuInSe2 thin films could be synthesized by this novel process at low temperature.

A6.21
STUDY OF RESIDUAL STRESS IN VARIOUS METALLIZATIONS ON GLASS SUBSTRATES. Chen Zhou , Nan Jiang1, Matt Korhonen, and Che-Yu Li, Dept of Materials Science and Engineering, Cornell University, Ithaca, NY; 1 Materials Science Center, Cornell University, Ithaca, NY.

Thin metal coatings on glass surfaces are widely used in various micro- and optoelectronic devices. Because of the nature of the deposition process and differences in thermal expansion during fabrication and use, very large residual stress can arise in the thin metallic films and lead to interfacial debonding. Effects of deposition conditions, thermal anneal and film thickness on the grain structures and residual stresses are studied. It has been found that sputtering could generate columnar grain structures under certain conditions, but by changing the chamber pressure, totally different stress state was found. TEM and SEM are used to study the grain structures in the film and debonding at the interface.

A6.22
STUDY OF INDENTATION CRACK INTERACTION EFFECTS AND ASSOCIATED ACOUSTIC EMISSIONS ON METALLIZED SILICON. M. Manoharan and Su Dan, Division of Materials Engineering, School of Applied Science, Nanyang Technological University, SINGAPORE.

The metallization of Si represents a important industrial process and produces a bi-layered composite of a ductile metal film on a brittle substrate. The mechanical properties of such a composite are determined by the properties of the two layers and the interface and influenced by the fact that the metallized layer, being a very thin film, possesses properties different from those of a bulk material. The fracture toughness is also influenced by the nature and distribution of defects which may be generated during use of these materials, even if the manufacturing process produces a reasonably defect free material. Indentation cracking has been extensively used for the measurement of fracture toughness due to its small sample size requirements as well as a relatively good correlation with values obtained from traditional fracture mechanics tests. The indentation process, with its associated cracks, produces permanent plastic deformation, introduces a residual stress field and generates acoustic emissions. The residual stress field influences the crack pattern generated in an adjacent indent and can be used as a methodology to model the influence of multiple defect sources. The present study was aimed at understanding the effect of a thin Al alloy metallization layer sputtered on a Si wafer on the sizes of the cracks associated with the indents. It was also aimed at studying the interaction between cracks emanating from sequentially placed indentations. The distance between the indents which generated these cracks was varied from a level comparable to the crack size to a level where interaction could be ignored. This paper discusses the changes in the nature as well as the sizes of cracks due to the presence of the metallization layer as well as the interaction between the stress fields of the indents in this ductile thin film - brittle substrate composite and possible methodologies for delineating these effects. The crack initiation and propagation process was also followed using an acoustic emission system and these results are also discussed.

A6.23
TRANSMISSION ELECTRON MICROSCOPE (TEM) MICROSTRUCTURES OF Al FILMS FOR ENHANCED REFLECTANCE IN COLOR DISPLAY TUBES. S.J. Lee, E.S. Hwang, Technology Division of Samsung Display Devices Co., Suwon, Kyungki-Do, SOUTH KOREA; C. Sung , T. Dolukhanyan, Center for Advanced Materials, Dept. of Chemical Engineering, University of Massachusetts, Lowell, MA.

Al films have been deposited on the inside of the Color Display Tube (CDT) panel on which black matrix carbon and RGB phosphors were coated to improve brightness with the prevention of voltage down and less ion impact to phosphors. The characteristics of Al films have a close relationship with the deposition variables such as base pressure and deposition rate causing changes in Al film microstructures and differences in the reflectance. It is generally known that the reflectance is affected by the thickness, grain size, and surface roughness of the Al film: The grain size of the Al film tends to decrease when base pressure is poor due to the presence of residual gas. The reflectance of Al alloys was reported to be increased with the larger grain size. The purpose of this study is to understand the relationship between the reflectance and the microstructures of Al films as a function of base pressure in a CDT manufacturing to optimize deposition conditions for improved reflection properties. TEM has been employed to examine grain size changes and surface roughness of the films under four different base pressure conditions in both cross- sectional and plan-view. Plan-view TEM results reveal that grain size of the Al film tends to be larger at lowest base pressure while the thickness of the Al film seems to be independent of vacuum status. In summary, centered dark field images of Al films along with electron diffraction patterns have been employed to investigate the relationship between grain microstructures and deposition processing conditions. Cross-sectional view of the film has shown clear characteristics of both surface roughness and grain growth at a short processing time such as 15 seconds which help optimization of manufacturing process for improved reflectance and probably better brightness of CDT.

A6.24
SIZE EFFECT OF OPTICAL CONSTANTS OF ULTRATHIN ALUMINUM FILMS. X.D. Bai, Institute of Metal Research, Academia Sinica, Shenyang, CHINA; R.F. Huang, City University of Hong Kong, Department of Physics and Materials Science, Hong Kong, CHINA; L.S. Wen , Institute of Metal Research, Academia Sinica, Shenyang, CHINA.

Optical and electromagnetic characteristic constants of ultrathin films, such as n, k, $\varepsilon$, $\mu$, $\sigma$, etc., are the fundamentals for evaluating and designing nanocomposite multilayer films with desired optical and electromagnetic properties. In this paper, ultrathin aluminum film samples with thickness d in range of 2-60 nm have been deposited on glass substrate by d.c. magnetron sputtering. Reflectance and transparency of the samples were measured by a WFZ900-D4 UV-Visible spectrophotometer. Optical constants n and k for a series of wavelength in frequency band of visible light were obtained by applying Newton-Sympson recurrent substitution method to the calculation formulae of reflectivity and transmittivity from n and k, using our experimental data of reflectance and transparency of the films. Then, the real part of permittivity $\varepsilon$$^\prime$ and the imaginary part of permittivity $\varepsilon$$^\prime$$^\prime$ of the films were determined based on the n and k values above-mentioned. The relationship of $\varepsilon$$^\prime$ and $\varepsilon$$^\prime$$^\prime$ to d for ultrathin aluminum films revealed maximum peaks of $\varepsilon$$^\prime$ and $\varepsilon$$^\prime$$^\prime$ at d in range of 5-20nm, corresponding to the maximum peaks of absorptance curves in the same thickness range on the films.

A6.25
KINETICS OF SILICON PRECIPITATION IN Al-5Cu-1Si THIN FILM DEPOSITION. Chi-Fung Lo , Materials Research Corporation, Orangeburg, NY.

Al-.5Cu-1Si alloy has been used as a conducting material in semiconductor devices for years. During the metallization process, the silicon will be reprecipitated in the aluminum matrix to some extent depending on the deposition temperature or post-annealing temperature. Due to the requirement of smaller device geometries, the reprecipitated silicon may cause a particle problem. To effectively inhibit the reprecipitation in the deposited film without affecting the aluminum filling requirement, the influence of the silicon precipitates in the target must be considered. This is in addition to any effects of deposition temperature. The quantitative link between silicon precipitation in sputter targets and in deposited films indicates that the precipitation size in the films increases with the precipitation size in the targets1. In addition, at the deposition temperature range from 300$^\circ$C to 450$^\circ$C, a transition of silicon growth as a function of deposition temperature occurs at about 400$^\circ$C. Based on these results together with the Lifshitz-Slyozov-Wagner relationship of particle growth as a function of diffusion coefficient and the solubility of silicon in aluminum as a function of temperature, a formula for the precipitation size of silicon in aluminum thin films as a function of silicon size in sputter targets and deposition temperature is derived. By taking into account the diffusion-controlled mechanism of particle growth and the dissolution of silicon in aluminum at elevated temperatures, a good agreement between measurement and calculation of the silicon precipitation behavior in the Al-.5Cu-1Si thin film deposition is obtained.
Ref. 1 Lo et al., VLSI Multilevel Interconnection Conference, June15-19, 1998, Santa Clara, CA.

A6.26
ROUGHNESS EVOLUTION AND CRYSTALLINITY OF THICK SPUTTERED ALUMINUM-COATINGS. A. Bergauer, C. Eisenmenger-Sittner , Institut fuer Angewandte und Technische Physik, Technische Universitaet Wien, Wiedner Hauptstrasse, Vienna, AUSTRIA.

Understanding the growth mechanisms of physical vapor deposited metallic coatings is of considerable importance for a wide range of applications from microelectronics to micromagnetism. The present work investigates the microstructural evolution of magnetron sputtered Aluminum coatings deposited on glass substrates (roughness smaller than 10 nm). The deposition temperatures were varied from -100ÉC to 280ÉC, the film thickness ranged from 10 nm to 5 µm. The film surface was characterized by Atomic Force Microscopy (AFM) in respect to roughness and power spectral density. From the evolution of the surface roughness and of the power spectral density with increasing film thickness the dominant growth mechanisms are identified for the different deposition temperatures. At low temperatures the low mobility of ad-atoms leads to the formation of surfaces similar to those of ballistically deposited aggregates. An increase in deposition temperature leads to the formation of rough, polycrystalline surfaces by surface relaxation due to the activation of surface diffusion.

A6.27
CONTROLLING AMORPHOUS CONTENT AND STRESS STATE IN ULTRATHIN Ta FILMS. J.F. Whitacre , University of Michigan, Dept of Materials Science & Engineering Ann Arbor MI; Z.U. Rek, Stanford Synchrotron Radiation Laboratory Stanford University, Stanford, CA; S.M. Yalisove and J.C. Bilello, University of Michigan, Department of Materials Science & Engineering, Ann Arbor, MI.

The relationship between deposition conditions, residual stress, and amorphous content for extremely thin Ta films was studied. Films 2.5 nm to 20 nm in thickness were sputter-deposited using different sputter gas (Ar) pressures and cathode power settings. The average in-plane residual stresses for all films were determined using double crystal diffraction topography (DCDT). X-ray analysis, using the grazing incidence x-ray scattering (GIXS) geometry, was performed using a synchrotron light source. Transmission electron microscopy (TEM) and transmission electron diffraction (TED) were used to gain further information concerning microstructure and phase content. The DCDT stress data, electron diffraction patterns, and GIXS data were self-consistent with one another. The diffraction patterns observed were indicative of films which possessed a combination of amorphous and beta (tetragonal) Ta phases. The ratio of amorphous to crystalline volume fraction was found to be dependent upon the deposition conditions during growth. The highest amorphous fraction was found in films deposited using 2mTorr Ar pressure and 460 W cathode power. Similar films grown using 10mTorr Ar sputter gas pressure and 100 W displayed a much lower amorphous content. An inverse relationship between stress state and phase content was observed; those films under higher residual stresses (-3.5 +/- 0.3 GPa) displayed a lower amorphous fraction as compared to films possessing lower residual stresses (-1.5 +/- 0.4 GPa). These results will be discussed in terms of a model which describes the relationship between film phase content, stress state, and film growth rate.
This work funded by ARO contract number DAAH 04-95-1-0120. Diffraction data collected at SSRL beam line 7-2, funded by the US DoE.



A6.28
INPUT POWER AND BACKGROUND PRESSURE EFFECT ON SURFACE MORPHOLOGY OF SPUTTERED COPPER FILM. W. Zou , H. N.G. Wadley, Department of Materials Science and Engineering, University of Virginia,Charlottesville, VA; D. Wang, Nonvolatile Electronics, Inc. Eden Prairie, MN.

RF diode sputtering is widely used for depositing microelectronic interconnects, giant magneto resistive devices, and other metal films. The growth conditions (i.e. input power, background pressure, deposition rate, bias voltage, source-substrate distance, etc) have a large effect on the surface morphology of the sputtered films. Using a Randex 2400-6J RF Diode sputtering system, the deposition rate of copper and bias voltage have been measured as a function of input power and background pressure. To establish links with the resulting surface morphology, surface images and grain size have been experimentally obtained. Using Atomic Forced Microscopy (AFM), relationships between input power, background pressure with grain size have been established. Results indicate that grain size increases with the increasing input power and decrease with increasing background pressure. These observations are related to changes in the energy spectrum of the atomic and ionic fluxes incident upon the substrate.

A6.29
LOW ENERGY MECHANICAL INTERMIXING OF Cu-ALLOYS WITH POSITIVE AND NEGATIVE HEATS OF MIXING. G. Wilde , H. Sieber* and J.H. Perepezko University of Wisconsin-Madison, Department of Material Science and Engineering, Madison, WI; *University of Erlangen-Nuernberg, Department of Material Science (III) Glass and Ceramics, Erlangen, GERMANY.

Cold rolling - a low strain rate mechanical alloying procedure - was used to produce multilayer samples with various intermixing levels. A series of binary Cu-alloys was chosen which differ considerably with respect to their thermochemical behavior. Cu-Ag, Cu-Co and Cu-Fe alloys show positive, Cu-Zr negative and Cu-Ni nearly vanishing values for the enthalpy of formation. For alloys of these systems, the late and the very early stages of the intermixing process including the formation of stable and metastable phases were studied in detail by the application of DSC, XRD, SEM and TEM techniques on as rolled and pre-annealed samples. The comparative results of the experiments were analyzed in order to examine the effects due to mechanical mixing and effects which are related to the thermodynamic properties of the respective alloy systems. It turns out, that the initial alloying at ambient temperature is governed by the deformation characteristics of the elemental components, but that the phase formation sequence is strongly influenced by the enthalpy of formation of the alloys. The support of the Alexander von Humboldt-Foundation via the Feodor-Lynen-Program (V-3-FLF-1052606), ARO (DAAG 55-97-1-0261) and ONR (N00014-92-J-1554) is gratefully acknowledged.

A6.30
THE INFLUENCE OF THE GLASSY CARBON SURFACE ELECTROCHEMICAL PRETREATMENT ON THE STRUCTURE OF POLYCRYSTALLINE THIN COPPER FILMS. Tatyana Arzhanova , Inst of Chemistry, Far-Eastern Div, Russian Academy of Sciences, Vladivostok, RUSSIA.

The most prospective technique of polycrystalline thin film formation is the method of electrocrystallization which allows to control saturation in the process of a new phase formation and growth. The crystal density and orientation, spatial distribution of crystals and their features of formation and growth with time directly determine the structure and, thus, the properties of polycrystalline thin films. These variables can be strongly influenced not only by thin film formation conditions on substrates but also by their electrochemical surface treatment which, at the same respect, can undergo significant evolution during its preliminary preparation.
This paper discusses the results of the influence of the glassy carbon surface electrochemical pretreatment and the conditions of polycrystalline thin copper film electrodeposition on their structure on the example of researching the process of copper electrocrystallization on glassy carbon from solutions of copper sulfate with sulfuric acid. The specific conditions of the quality polycrystalline thin copper film formation on glassy carbon were found.

A6.31
HYDROGEN PENETRATION AND CORROSION RESISTANCE AND MICROSTRUCTURE OF ELECTROPLATED FILMS. W. Sha and C.J. Murphy, Department of Civil Engineering, The Queen's University of Belfast, Belfast, UNITED KINGDOM.

The present work involves preparation of electroplated thin coatings on mild steel samples and investigation of their microstructure and corrosion and hydrogen penetration properties. The types of coatings made are Zn, Ni, Zn-Ni, Zn-Co, Zn-Fe and Cd. Chemical and microstructural characterisation was carried out using scanning electron microscope. The corrosion protection performance was tested using weight loss measurements after immersion in nitric, sulphuric and hydrochloric acids, and salty water, all representing accelerated corrosion conditions. The results were compared to those of bare steel substrates. Resistance to heat was also investigated, by heat treating at 300$^\circ$C for 24 hours and carrying out corrosion tests after the treatment. It has been found that Zn-Ni coating provided the best corrosion protection for steel. Hydrogen permeating experiments were carried out in a solution of sulphuric acid, at a designated current density. The quantity of hydrogen released after permeation was measured and regarded as the quantity of hydrogen absorbed during permeation. It has been found that all coatings reduced hydrogen permeating to some extent, but Ni had the best effect.

A6.32
Withdrawn.

A6.33
CORRELATIONS BETWEEN THE ELECTRICAL AND MECHANICAL PROPERTIES OF PHYSICALLY VAPOR-DEPOSITED IRIDIUM AND RHODIUM THIN FILMS AND THE DEPOSITION PARAMETERS. Ilan Golecki and Margaret Eagan, AlliedSignal, Inc., Morristown, NJ.

Iridium and rhodium are attractive candidates for use in metallizations for electronic devices. These elements are chemically inert, possess relatively high intrinsic electrical conductivities, have high values of Young's moduli and tensile strengths and acceptable thermal expansion coefficients. The actual values of these properties, especially in thin films, depend, however, on the microstructure and purity levels, which in turn, are functions of the method of preparation and processing conditions. In this study, iridium and rhodium thin films have been deposited on chromium-coated, thermally-oxidized silicon substrates by means of physical vapor deposition. We will describe the variation of the physical properties of the films, such as the electrical sheet resistance, film stress and microstructure as functions of the substrate temperature, deposition rate and film thickness.

A6.34
EFFECTS OF HELIUM ION IMPLANTATION ON THE STRUCTURE OF NANOPHASE TITANIUM FILMS. Yuzun Gao , Yonghong Li, Taisong Zhang, General Research Institute for Non-Ferrous Metals, Beijing, P.R. CHINA.

Helium can't dissolve in metals. Due to its high flow rate, behavior of Helium becomes very important for the structural materials used in fusion device. Diffusion and accumulation of Helium atoms lead to the formation of bubbles and cause the brittleness for the structural materials. In the present work, ion implantation of Helium in Ti films was used to simulate the behavior of Helium in Ti films. 100KeV He ions were implanted into both nanophase Ti films and coarse grain Ti films with the same dose. After implantation, Enhanced Proton Back Scattering (EPBS) was used to detect the Helium in both kind of films. X-ray Diffraction and Transmission Electron Microscopy(TEM) were used to characterize the structure of Ti films. Vertical cross-section TEM specimens were prepared for study of the depth distribution profile of the bubbles in Ti films. It was observed that bubbles occurred in the coarse grain of Ti films. The size of bubbles is between 1 to 10nm. Its numerical density is 10 to the power of 22 per cubic meter. No bubble was observed in the nanophase Ti films.

A6.35
ION BEAM ASSISTED DEPOSITION AND CHARACTERIZATION OF ULTRATHIN NICKEL FILMS VIA A MULTI-SAMPLE ELLIPSOMETRIC TECHNIQUE. Jhon F. Londono and Richard J. Gambino, Department of Materials Science and Engineering, State University of New York at Stony Brook, Stony Brook, NY; Kurt E. Williams, Veeco Instruments Inc., Plainview, NY.

Deposition of ultrathin nickel films using a dual Radio Frequency Inductively Coupled Plasma (RF-ICP) Ion Beam System is discussed. Nickel films ranging in thickness from 30 to 350 Angstroms were deposited onto Si substrates, which have approximately 1 micron of thermally grown oxide on the surface, using an ion beam deposition system with a nominal background pressure of 10-7 Torr. Thickness and optical properties of films were determined via a multi-sample, Variable Angle Spectroscopic Ellipsometric (VASE) technique. Determination of thickness and optical constants of the films is accomplished simultaneously by coupling the thickness and optical properties of the films during analysis. Optical properties and thickness of individual films are also obtained using the VASE technique. Comparison and analysis of properties obtained via both techniques are made, and the merits and/or benifits of both methods are discussed.

A6.36
Withdrawn.

A6.37
PHASE TRANSFORMATIONS IN TITANIUM / ALUMINUM MULTILAYERS. R. Banerjee , X.D. Zhang, S.A. Dregia and H.L. Fraser, Department of Materials Science and Engineering, The Ohio State University, Columbus, OH.

Recently, there have been reports of interesting phase transformations in polycrystalline Titanium / Aluminum multilayered thin films with a columnar growth morphology. Titanium has been reported to undergo a transition from its bulk stable hcp crystal structure to fcc in cross-section TEM specimens of Ti / Al multilayers. Similarly, Al is also reported to transform from fcc to hcp at small layer thicknesses, on the basis of cross-section TEM examination. These results have been qualitatively rationalized based on a classical thermodynamic model of multilayer phase stability and a biphase diagram for Ti / Al multilayers has been proposed. However, there is a certain amount of controversy surrounding the issue of structural transitions in Ti especially due to the inconsistencies between results obtained by cross-section TEM and x-ray diffraction. These discrepancies can possibly be accounted for on the basis of increased susceptibility of cross-section TEM specimens of Ti / Al multilayers to hydrogen contamination affecting the phase stabilities. The corresponding effect on the biphase stability diagram has been qualitatively demonstrated. In order to reduce the influence of hydrogen on the phase stability, the multilayers have been studied in a plan-view geometry. Furthermore, the formation of fcc Ti in as-deposited multilayers with very small volume fractions of Ti has been studied by fabricating new multilayers. These results will be discussed and the biphase diagram for this system correspondingly modified.

A6.38
EVOLUTION OF GROWTH STRESS IN TiCux-ALLOY FILMS. Stephan Bertel , Reinhard Abermann, Inst of Physical Chemistry, Univ of Innsbruck, AUSTRIA.

The growth stress (i. e. film forces normalized to substrate width) of TiCux-alloy films on alumina substrates was measured in situ under UHV-conditions with a cantilever beam technique. The alloy components were evaporated from separate evaporation sources. Both the effect of substrate temperature and stoichiometry on the growth stress of the respective alloy-film were investigated. Pure Cu- as well as pure Ti-films are polycrystalline (island growth) with compressive forces in the continuous film. In the alloy-films, deposited at TS<200$^\circ$C these compressive stress contributions are reduced with increasing Cu-content. For films of composition between TiCu and TiCu2 the differences in the stress curves are marginal. Growth stress as well as stress changes after deposition indicate the formation of amorphous alloy films. This is confirmed by electron microscopy (TEM) and diffraction (TED) results. A significant compressive stress is again found in TiCu3 films which in view of the TEM microstructure is interpreted to indicate Cu segregation in these films. Annealing experiments of low temperature alloy-films will also be presented. With high temperature alloy-films (TS>200$^\circ$C) a transition from amorphous to the formation of polycrystalline films is seen in the TEM and TED. The respective stress vs. thickness curves of these alloy-films show an irregular sequence of tensile as well as compressive film forces of comparable magnitude for all alloy compositions. This indicates strong recrystallization and segregation of different alloy phases already during alloy depositon. This transition in the growth mode was investigated in detail for TiCu-films. The results of these experiments show that the growth mode of these films and the stress changes after deposition are very sensitive to minute changes in the stoichiometry and substrate temperature between 300$^\circ$C and 350$^\circ$C.

A6.39
EVOLUTION OF PHASE COMPOSITION AND ASSOCIATED PROPERTIES IN THE PROCESS OF GROWTH OF THIN FILMS. S.A. Kukushkin , Institute of Mechanical Engineering, Russian Academy of Sciences, Bolshoy, RUSSIA.

A complete set of equations describing the evolution of phase composition of an island ensemble at the stage of the Ostwald ripening in the process of thin film growth is derived and its solution is found. The size distribution function of islands in solid solutions is obtained for different types of the growth mechanisms. The general approach to control phase composition and associated properties ( electric, optical, mechanical and others ) in the formed multicomponent systems is elaborated. It is shown that the most favourable conditions to control these properties are discovered at the Ostwald ripenning stage and also during non-linear phenomena, such as, self-excited oscillations or self-organization. The relationship between size and composition of new phase nuclei formed in the course of condensation of solid solution films is revealed. A set of equations describing evolution of the size distribution function of properties in island films of solid solutions during changes in external parameters of the system is derived and solved. For island type films of stoichiometric composition the diagram for co-existence of appropriate properties is plotted in the concentration-temperature coordinates that allows to determine conditions required to gain the properties. Probable periodic changes in time and space of various properties on multicomponent systems undergo the first order of phase transition are predicted.

A6.40
Abstract Withdrawn.

A6.41
, MICROSTRUCTURE AND MAGNETIC PROPERTIES OF Fe-Si ALLOY FILMS. Tie-Jun Zhou , W. Yang, J.H. Yin, Z. Yu and Y.W. Du, National Laboratory of Solid State Microstructure and Department of Physics, Nanjing University, Nanjing, P.R. CHINA.

The polycrystalline and amorphous Fe100-xSix alloy films can be prepared by co-sputtering Fe and Si on the common glass substrates at room temperature. Asx25, the films have b.c.c. structure with<110>texture and asx20the films appear some amorphous phases, whenx=28, they become completely amorphous. The crystallization temperature of the amorphous films increases with increasingx. The saturation magnetization of these films decreases with increasingx, and a plateau of constant magnetization occurs as22x28. Their coercive force shows some fluctuation asxis increased: a minimal value aroundx=12and a maximum one aroundx=28. Their polar Kerr rotation_kdecreases with increasingxasx16.2, but increases with increasingxas20x28, reach a maximum value of0.36degree (=770nm and applied field=1T) aroundx=28and decreases quickly with increasingxagain asx30$.
Amorphization may play an important role in enlarging the polar Kerr
rotati...
...e varied so that the total
magnetic film thickness is equivalent to 110\approx$ of NiFe. Magnetic, transport and structural properties of these films were studied. The results show that the change in resistance is increased by approximately 10% when sandwiching a 5 $\approx$ to 35 $\approx$ thin layer of Co or CoFe between the NiFe layers. Compared to the Co containing structures, CoFe structure preserved the soft magnetic properties. The easy axis coercivity, hard axis coercivity and anisotropy field increased with increasing (CoFe, Co) thickness. The rate of increase is a factor of 2 lower for the CoFe film series. Rocking curves and $\theta/2\theta$ scans show no significant structural differences between these two types of sandwiched structures.

A6.43
EFFECT OF DEPOSITION AND POST HEAT TREATMENT TEMPERATURE ON NiTi SHAPE MEMORY ALLOY THIN FILMS. Chen Zhang , Ralph H. Zee, Dept of Mechanical Engineering, MTL program, Auburn University, Auburn, AL; Paul E. Thoma, Johnson Controls Inc., Central Research, Milwaukee, WI.

Polycrystalline Ti-rich NiTi thin films were deposited from a single NiTi target using DC magnetron sputtering system. Free standing films were obtained by using silicon substrate. The thickness of the films was around 10-15 microns. In this investigation, the effect of different substrate temperatures during sputtering on the thin films' microstructure and transformation temperatures was examined. The influence of post heat treatment at different temperatures on the thin films' properties was also investigated. Transformation temperatures of the thin films were measured using differential scanning calorimetry (DSC). The surface microstructure and cross sectional microstructure of the thin films were studied using a scanning electron microscope (SEM), and the crystallinity of the films was determined by X-ray diffractometry. Results show that films deposited on a hot substrate are crystalline even when the substrate temperature is as low as 300 degree C, while the normal crystallization temperature for an amorphous thin film is above 500 degree C. The microstructure of the above film has very fine grain size. The grain size increases with increasing post heat treatment temperature and increasing substrate temperature. The transformation characteristics of the films are correlated with the deposition conditions and post deposition treatment.



A6.44
PULSED LASER DEPOSITION OF HIGH TEMPERATURE NiTi AND NiTiHf SHAPE MEMORY ALLOY THIN FILMS. Jonathan C.Y. Chung , L. You, City University of Hong Kong, Department of Physics and Materials Science, Hong Kong, CHINA.

Near equiatomic NiTi and NiTiHf shape memory alloys thin films were deposited by Pulsed Laser Depositions (PLD) techniques. The SMA thin films are amorphous with crystallisation temperature higher than those obtained from sputtering. Near congruent transfer of alloy can be achieved by PLD using an equiatomic NiTi target through optimising the target-substrate distance and the laser pulse energy. The average deposition rate of 0.09nm per pulse was obtained. A 10 mm NiTi film can be formed in   3 hours, which is comparable to sputtering deposition. Addition of Hf (upto 30at% Hf) to replace Ti has increased the transformation temperature to  300ÉC. However, such NiTiHf is of little practical use because they are extremely brittle. The amorphous NiTiHf thin film crystallised upon annealing to 0.1-0.4mm fine crystallites. R-phase transformation, which is absent in NiTiHf bulk materials, was observed. The transformation temperatures of NiTiHf SMA are much higher than that of the NiTi SMA. The NiTiHf would be a prospective candidate for MEMS application if the brittle problem can be solved.

A6.45
MICROSTRUCTURE AND OPTICAL PROPERTIES OF Cu-CaF2 GRANULAR FILMS. Hao Wang , Wuyi Univ, Dept of Mathematics & Physics, Jiangmen, Guangdong, PR CHINA; Ziqiang Zhao, Peking Univ, Dept of Technique Physics, Beijing, PR CHINA.

The Cu-CaF2 granular films have been prepared at room temperature by Sputter-Gas-Aggregation co-deposition technique. TEM morphology show that nanoscale Cu clusters being in triangle shape are well encapsulated in CaF2 matrix. TEM structure characterization reveal that the Cu-CaF2 granular films are polycrystalline. Compared with that of the bulk Cu, the lattice constant of Cu clusters in as-prepared samples are expanding at different degree depending on clusters size. The expanding amount is about 15$\%$ with Cu clusters being 15nm in average size, respectively. From the optical absorption spectra, the resonance absorption peak exhibits a redshift and broadening with the decreasing of the size of the Cu clusters, which are contrast to the results of the quantum confinement. The observed optical properties of the thin films originate from the variations of the lattice constant of the Cu clusters.

A6.46
EFFECTS OF ADDING THIRD TRANSITION METAL ELEMENTS ON MICROSTRUCTURES AND RESISTIVITIES OF Al-Nd(OR Gd) BINARY ALLOY FILMS. Shinji Takayama , Hosei University, Dept of System and Control Engineering, Tokyo, JAPAN.

Microelectronic conductor lines with high thermal stability have received much high attention recently because of their potential use in advanced TFT-LCD and VLSI devices. For this purpose, we had currently investigated sputtered Al-RE-TM ternary alloy films (RE=rare-earth-elements,TM = transition metal elements) to obtain very low resistivities without growth of hillocks or whiskers on the film surfaces at high temperatures (350- 450$^\circ$C)(1). In this report, subsequent works were conducted by adding transition metals such as Fe or Ni with 1 - 4 at % contents, to Al-Nd (or Gd) binary alloy fiims. Note that the elements Fe and Ni have an attractive chemical interaction with the constituent Nd or Gd. Al ternary alloy films about 400 nm thick were deposited on a 7059 glass substrate by using a DC magnetron sputtering apparatus. Their isochronal annealing revealed that compared with Al-RE binary alloy films, the addition of Fe or Ni increased resistivities and largely delayed the temperatures at which resistivitie:s started to drop significantly on annealing. It is worth to know that the growth of hillocks is not observed on the film surfaces after annealing at 350$^\circ$C for samples added Fe or Ni., like those added Cu or Co reported previously (1). X-ray diffraction analysis showed that the fine metallic compounds of Al-RE and RE-Fe(or Ni) were segregated in Al matrix for samples annealed more than 300$^\circ$C. These results can be also explained by employing a theory of grain boundary segregation taking account of a solute atom interaction.
(1) S. Takayama, abstract of 1998 MRS spring meeting, symposium B, B9.7 (1998).

A6.47
PHASE TRANSFORMATION OF RF SPUTTERED ZnS:Mn THIN FILMS USING PULSED KrF LASER ANNEALING. E.A.Mastio , The Nottingham Trent University, Department of Electrical and Electronic Engineering, Nottingham, ENGLAND; M. Robino, IPCMS-GONLO, Strasbourg; E. Fogarassy, S. de Unamuno, Laboratoire PHASE (UPR du CNRS no. 292) Strasbourg, FRANCE; M.R. Craven, W.M. Cranton, C.B. Thomas, The Nottingham Trent University, Department of Electrical and Electronic Engineering, Nottingham, ENGLAND.

Thin films of ZnS:Mn (800nm) have been deposited by r.f. magnetron sputtering onto 100mm diameter n-type single-crystal <100> Si wafers. Specifically for use as active layers in thin film electroluminescent devices (TFEL), the films need a post-deposition annealing treatment which facilitates the effective incorporation of the Mn2+ ions within the host lattice. Reported is the microstructural modification induced by thermal and laser annealing using XRD analysis. Pulsed KrF laser treated samples were annealed at fluences from 217mJ/cm2 to 533mJ/cm2 under 13.6 bars of argon pressure. Demonstrated is that at the fluences used, a gradual phase transition cubic to hexagonal is occurring. A one-dimensional thermal model of the pulsed laser annealing process shows that a surface temperature equal to the metallurgic transformation temperature should be reached at a fluence of 340 mJ/cm2, significantly below the numerically evaluated melting threshold of ZnS:Mn. Thermal treatment of the same layers, in the 400ÉC to 700ÉC range, were performed and compared to the laser processed samples.

A6.48
SYNTHESIS OF CUBIC AlN ON Si (100) AT ROOM TEMPERATURE BY PULSED LASER DEPOSITION. V. Gopal , R. Kalyanaraman, A.K. Sharma, K. Dovidenko, S. Oktyabrsky, J. Narayan, Dept of Materials Science and Engineering, North Carolina State University, Raleigh, NC.

We have synthesized cubic Aluminum Nitride (AlN) films at room temperature on Si (100) by pulsed laser ablation of sintered AlN target in nitrogen atmosphere. Systematic studies have been carried out on the nature of phases formed as a function of nitrogen partial pressure. We have found that hexagonal to cubic phase transformation occurs as the partial pressure increases. Detailed structural characterization has been carried out using TEM, EDX and XPS. Using selected area diffraction (SAD) and high resolution TEM techniques, we have analyzed that the cubic AlN possesses the NaCl (sodium chloride) structure with space group Fm3m 225. These films have been found to be (111) textured. Statistical analysis of grains from bright and dark field images has been used for grain size measurements. These films have grain sizes of the order of 10-15 nm. Implications of these microstructures on the mechanical and electrical properties is also discussed.

A6.49
ALUMINUM NITRIDE (AlN) THIN FILMS FOR SAW SENSORS. Thomas Daley , Martin Burkhart, Greg Auner, EMIT Laboratory, Wayne State Unversity, Department of Electrical Engineering, Detroit, MI.

Surface acoustic wave (SAW) technology has been applied to the field of microelectronic sensors quite successfully. The high sensitivity of these sensors make them efficient devices for measuring gaseous analytes in extremely low concentrations. Gas sensitivity is typically achieved by coating the device with a thin film stationary phase which will selectively adsorb the gaseous analyte. The prospect of using aluminum nitride (AlN) thin films as a piezoelectric substrate for SAW devices is highly promising. AlN thin films possess very high phase velocity which is responsible for less SAW dispersion, a high chemical and thermal resistance, and is mechanically durable. Thin films of AlN were grown using plasma source molecular beam epitaxy (PSMBE). The films were grown on Al2O3(1-102) and Al2O3(0001) substrates. Structural characterization includes x-ray diffraction (XRD) and high resolution electron microscopy (HREM). The XRD pattern indicates complete film texture. Cross-sectional TEM reveals epitaxy of AlN on these substrates. The Al2O3(0001) plane is latticed matched to the c-plane growth of AlN. Thermal conductivity of these films was investigated by thermal mirage technique which revealed a high value of 25 W/(mK) for the r-plane AlN film growth on Al2O3(1-102) as compared to that on Al2O3(0001). A gas sensor using delay line configuration has been designed on the basis of these material properties which indicate a higher SAW velocity on the r-plane then on the c-plane. The SAW velocity and related thermal conductivity are compared for a-plane versus c-plane growth of AlN films.

A6.50
PREPARATION AND CHARACTERIZATION OF POLYCRYSTALLINE AlN LAYER BY A NEW ION IMPLANTATION METHOD. Mu Sun , Si-ze Yang, State Key Laboratory of Surface Physics, Institute of Physics, Chinese Academy of Sciences, Beijing, CHINA.

The inner surface of an aluminum alloy cylindrical target was successfully implanted with nitrogen ion using a new plasma source ion implantation method. By means of x-ray photoelectron spectroscopy(XPS), x-ray diffraction(XRD) and transmitting electron microscope(TEM), the reactive phase, chemical state and microstructure of the implanted layer were investigated. In order to characterize the dose uniformity and the surface modification caused by the nitrogen ion implantation, the Auger depth profile of nitrogen ions and the microhardness at different positions on the inner surface of the target were measured respectively. The experimental results show that a uniform polycrystalline AIN reactive phase was formed in the implanted layer, which contributed to the improvement of inner surface microhardness. The measured root-mean-square variations of retained dose and microhardness along the axis of the target are less than 9% and 4% respectively, which is well within the acceptable tolerance range for metallic applications of ion implantation.

A6.51
STRUCTURAL STUDIES OF POLYCRYSTALLINE NANOSCALE FILMS OF NICKEL ALUMINIDE. Stephen C. McGuire , Jarvis D. Sulcer, Cornell University, Ward Center for Nuclear Sciences, Ithaca, NY.

We present results from our use of high resolution STEM imaging of boron-doped ($\sim$500 ppm) Ni3Al films having thicknesses in the range of 300 - 600 angstroms. Interest in this intermetallic rests in its potential use as a surface coating in high temperature and corrosive environments. The films were grown on single crystal NaCl and Si substrates by Ar ion beam sputttering of boron-doped compound targets. STEM bright and annular dark field images show the films to have a fine grain microstructure with an average cluster size of 30 + 3 angstroms. Films produced in this thickness range also show clustering indicative of Volmer-Weber (VW), or island growth, mode of film formation. Energy dispersive X-ray (EDX) and electron energy loss (EELS) spectroscopy measurements reveal the local composition of the cluster regions to be Ni-rich. Complementary neutron depth profiling measurements are being used to determine post-fabrication boron content. It is found using instrumental neutron activation analysis (INAA) and RBS that the bulk atomic ratio of Ni to Al in the target is replicated in the films. Minor impurities such as C, O, Si, Fe and W are interpreted in terms of their reduction of the surface mobility of adatoms and clusters.

A6.52
THE INVESTIGATION OF THE STRUCTURE OF SiO2 FILMS ON Si WITH LOCAL CATHODOLUMINESCENCE. M.V. Zamoryanskay , V.I. Sokolov, Ioffe Physico-Technical Institute, St. Petersburg, RUSSIA.

The interface Si-SiO2 has an essential influence on the parameters of planar devices. That's why the investigation of SiO2 properties and their relation with electrical characteristics of interface is an actual problem. One of more factual methods is local cathodoluminescence (CL). CL spectra excited by electron beam give information about structure defects and their distribution in thin films. In SiO2 films such defects as non-bridging oxygen states, twofold-coordinated silicon have characteristic bands. Using special method to prepare the sample it is possible to study defect distribution at different depths in layers with resolution 40-100A. At the same time CL spectra are very sensitive to the density of excitation. There is an energy threshold of excitation for every SiO2 material (film and bulk). For high-density excitation CL spectra change very much. A band at 2,2eV appears in CL spectra and grow very quickly. This energy threshold depends on the sample of SiO2. Thermal oxides (6000A thick) were grown in wet oxygen on Chochralski silicon (both p-and n-type simultaneously) at 1050C. We investigate the CL spectra of these oxides and the evolution of C/V (volt-pharade) characteristics (dark measurements on - 1mm diameter MOS capacitors, the gates being InGa evtecticum) during radiation of $\gamma$-Co60. The electrical measurements and CL study demonstrate that the fixed oxide charge defects have very different defect distribution in oxides grown on p- and n-type silicon. The energy threshold for SiO2 films on interface has lower range that in film. This energy threshold is lower on the defects which introduce the interface all along internal mechanical stresses on the interface.

A6.53
THE DEPOSITION OF TiO2 THIN FILMS FOR DYNAMIC RANDOM ACCESS MEMORY. Xuping Zhang , Qing Li, Hongli Luo, Dept of Electronic Engineering, Southeast University, Nanjing, CHINA.

In order to meet the needs of memory density increasing while each cell area shrinking in the same time of the storage capacitor in dynamic random access mcmory (DRAM), an insulator with a high-dielectric constant is required. Titanium dioxide films is one of the most promising candidates due to its high dielectric constant in rutile phase. Besides, the TiO2 also has several advantages for use as dielectric films in storage capacitors such as thermal stability in high temperatures (up to 1000$^\circ$c), good adhesion to Si substrate etc. The TiO2 thin films were deposited by DC reactive magnetron sputtering from a 120 x 250 mm2 titanium target of 99.99% purity. The preparing technique of rutile phase TiO2 thin films and the interrelation of the preparing conditions, structures, composition and properties of TiO2 films were studied. AES analysis indicates that the ratio of O to Ti in TiO2 films deposited is less than 2 and increases with the increasing of oxygen partial pressure when the oxygen gas content in sputtering gases is less than 30% and a stoichiometric TiO2 film can be obtained with higher oxygen gas content in sputtering gases (greater than 30%) or heat-treated in air at temperatures higher than 600$^\circ$c. The XRD results of TiO2 thin films heat-treated at different temperatures show that the film consists mainly of anatase phase when the heat-treating temperature is lower than 600$^\circ$c and it changes gradually into rutile phase with the increasing of temperature. The l-V characteristics, dielectric constant, leaking current of TiO2 thin films deposited were measured. And a optimum preparing condition of TiO2 films with good properties is given.

A6.54
SECOND HARMONIC GENERATION IN BaTiO3 FILM PREPARED VIA SURFACE-CRYSTALLIZATION OF BaO-TiO2-TeO2 GLASS. Aiko Narazaki , Katsuhisa Tanaka, Kazuyuki Hirao, Naohiro Soga, Kyoto Univ, Dept of Material Chemistry, Kyoto, JAPAN.

BaTiO3 polycrystalline thin films have been fabricated via surface crystallization of 15BaO$\cdot$15TiO2$\cdot$70TeO2 (in mol$\%$) glasses. The X-ray patterns indicate (101)- and (110)-oriented crystal growth of BaTiO3 with an average particle size of around 1$\mu$m. Thickness of the surface crystallized layers of BaTiO3 increases from a few to about 30$\mu$m with an increase in heat treatment temperature. Second harmonic generation (SHG) from these glass-ceramics containing BaTiO3 surface layers has been observed, variation of second harmonic intensity with incident angle of light, namely Maker fringe pattern, changes drastically with an increase in heat treatment temperature. The glass-ceramic heat-treated at 415$^\circ$C exhibits zero second harmonic intensity at the incident angle of 0$^\circ$. In contrast, Maker fringe pattern for the glass-ceramic heat-treated at 422.5$^\circ$C shows a maximum intensity at 0$^\circ$. We also found that application of a dc voltage during heat treatment facilitates the surface crystallization of BaTiO3 at lower temperature. X-ray diffraction measurements suggest that the BaTiO3 phase precipitated when the heat treatment with high dc voltage is carried out is likely to be tetragonal. Thus, it is considered that the application of high voltage enhances the ferroelectricity of surface-crystallized BaTiO3. Moreover, the second harmonic intensity is larger than that for the glass-ceramics heat-treated with no voltage applied.

A6.55
INFLUENCE OF PROCESSING CONDITIONS ON THE MICROSTRUCTURE AND DIELECTRIC PROPERTIES OF HYDROTHERMALLY DERIVED BaTiO3 AND BaxSr(1-x)TiO3 THIN FILMS. Mark A. McCormick , Elliott B. Slamovich, Purdue University, School of Materials Engineering, West Lafayette, IN.

Polycrystalline BaTiO3 and BaxSr(1-x)TiO3 thin films were processed on Ag-coated quartz substrates at temperatures ranging from 80$^\circ$C to 200$^\circ$C by hydrolyzing a metallo-organic precursor (titanium dimethoxy dineodecanoate) in alkaline solutions containing Ba2+. The effects of processing time, reaction temperature, and solution pH on film microstructural evolution were examined. The submicrometer thickness thin films were continuous and crack free, with grain sizes ranging from 40 to 100 nm. Capacitors were assembled using photolithography to apply top electrodes, and dielectric properties were examined in the context of film microstructure and thickness. Dielectric constants ranged from 5 to 25 depending on the specific processing conditions. Heat treatments up to 800$^\circ$C were used to assess the effects of absorbed hydroxyl groups and thin film porosity on dielectric properties.

A6.56
GROWTH OF BaTiO3 FILMS UNDER MILD HYDROTHERMAL CONDITIONS: TIME DEPENDENCE. M. Gueltzow, T. Hoffmann, C. Sotomayor-Torres, Universitaet Wuppertal; T. Doll, Universitaet der Bundeswehr, GERMANY, C. Silva , Chilean Nuclear Energy Commission; Judit G. Lisoni, T. Vargs and M. Fuenzalida, U. de Chile, CHILE.

The time dependence of the growth of BaTiO3 thin films under mild hydrothermal conditions was investigated. The substrates were silicon wafers coated on both sides with a W-Ti adhesion layer and a protective platinum layer, with 50 nm titanium sputtered on the polished side. The hydrothermal experiments were carried out at 80$^\circ$C, under atmospheric pressure and during times ranging from 2 to 240 minutes. During growth oxygen was bubbled through the Ba(OH)2 solution. After growth the samples were characterized by SEM, XRD and surface profilometry. These methods consistently showed that cubic BaTiO3 films nucleated rapidly on the substrate surface. After 4 minutes the surface was almost completely covered. The thickness of the films increased rapidly and reached a maximum at 15 minutes decreasing with larger treatments.

A6.57
GROWTH AND MICROSTRUCTURE OF SrBi2Ta2O9 THIN FILMS. S. Srinivas , Estevao R. Fachini, S.B. Majumdar, S. Bhaskar and R.S. Katiyar University of Puerto Rico, Rio Piedras Campus, San Juan, PR.

Layered perovskite materials such as SrBi2(Ta/Nb)2O9 present excellent ferroelectric properties. Work on these materials has been less extensive than the work on the PT/PZT based technology. In this paper, we are going to present a systematic study about the growth conditions influence on the microstructure of RF magnetron sputter deposited SrBi2Ta2O9 (SBT) thin films. A single stoichiometric SBT target of 2 inch dia has been used for depositing the films. SBT films of 200nm thick were grown using both in-situ/ex-situ methods. Films were deposited at room temperature, 400, 500, 600, 700, and 800 oC on single crystal Si, LaAlO3 SrTiO3, YSZ, and MgO substrates. All the films were post annealed at around 800 oC in oxygen. These films were characterized using XRD SEM, EDAX, AFM, and XPS techniques. We found that 800 oC is good for the growth of 00l oriented SBT films. In order to understand how the sputtering gas pressure and nature is effecting the microstructure of the SBT films we have studied the Ar /Ar+O2 gas partial pressures. We have observed that 9:1 of Ar:O2 mixture gas is good for depositing polycrystalline SBT films. When oxygen gas content is beyond 20$\%$, we noticed that back-sputtering occurs due to oxygen negative ions. These back-sputtered films were non-stoichiometric and contains intermediate phases. A strong tendency for columnar growth has been observed in the films deposited at 700 and 800 oC substrate temperatures with high gas pressures. However, AFM studies of SBT films deposited at 800oC have shown a relatively smooth microstructure with surface roughness of <1nm and free from columnar structure. However, post-annealed films were having surface roughness of 4nm. Studies on the microstructure-ferroelectric property relationship are in progress. A detail AFM and XPS studies of these films will be presented during the conference.
This research work was supported inpart by DAAG55-98-1-0012 and DE -FGO2- 91ER75764 grants.

A6.58
STRUCTURES AND PROPERTIES OF SOL-GEL DERIVED BARIUM TITANATE FILMS ON BARE AND BUFFERED SILICON SUBSTRATES. Zhang Hongxi , Zhou Yan, Kam Chan Hin, Lam Yee Loy, Microelectronics Division, School of Electric and Electronic Engineering, Nanyang Technological University, SINGAPORE.

Barium titanate films have been deposited on bare and silicon oxide buffered silicon substrates by sol-gel method. The crystallization, structures, surface morphology, and interfacial diffusion were characterized and analyzed by X-ray diffraction, atomic force microscopy, scanning electron microscopy, and Auger electron spectroscopy. It has been found that the buffer layer not only decreases interfacial diffusion but also has severe influence on the crystalline orentation and the grain size of the films. The dependence of dielectric constant of the film was measured and fitted by the space charge relaxation model. The effective dielectric constant and dissipation factor were determined from the capacitance-voltage measurement results. The leakage current density at different applied electric field was also measured for the films calcined at different temperature and the results showed that the films deposited on buffered substrates have lower leakage current. The dielectric properties of the films were analysed with respect to their structures and a comprison study has been given.

A6.59
SYNTHESIS AND CHARACTERIZATION OF Bi4Ti3O12 THIN FILMS FROM OXIDE PRECURSORS. E.B. Arajo and J.A. Eiras , Universidade Federal de Sao Carlos, Departamento de Fisica, Sao Carlos, SP, BRAZIL.

In recent years, considerable attention has been devoted to the development of thin film technology of ferroelectric materials with a view toward applications in many electronic and optical devices. Large-scale processing of high-quality thin films requires low-temperature synthesis, high reproducibility and simplicity in all processing steps with low cost. Very recently, was proposed by Arajo and Eiras [1] a hybrid chemical method for preparation of ferroelectric thin films based on oxide precursors. The method was initially applied to prepare lead zirconate titanate ( or PZT) thin films of good quality. This work reports the synthesis and electrical characterization of Bi4Ti3O12 (BIT) thin films produced from oxide precursor method [1]. The structure of these films was characterized using x-ray diffraction (XRD). At a temperature of 500-800$^\circ$C, Bi4Ti3O12 films were successfully crystallized on Pt/Si and Si substrates. Some electrical and ferroelectric properties of the films were also determined. The electrical properties reported include dielectric and capacitance-voltage (C-V). The measured dielectric constant and dissipation factor at a frequency of 100 KHz were 146 and 0.04, respectively for films annealed at 700$^\circ$C for 2 hours. Ferroelectricity was confirmed by C-V measurements in metal-ferroelectric-metal configuration, which presented two peaks, associated to ferroelectric domain switching, and P-E hysteresis loops with remanent coercive field of 1.7 mC/cm2 and 31.3 kV/cm, respectively.

A6.60
ELECTRICAL PROPERTIES OF (Ba,Sr)RuO3 THIN FILM. Chiou-Chu Lai, Hsin-Cheng Lai and Shang-Lin Chung, Materials Reserach Laboratories, Hsinchu, TAIWAN.

It was reported that the electric conductivity of a bulk BaRuO3 ranges from metallic to semiconducting after different thermal histories (1). It was also found that SrRuO3 is a metallic material and both of BaRuO3 and SrRuO3 are hexagonal and orthorhombic structures respectively (2). It is of interest to adjust the structure from hexagonal to orthorhombic by changing the atomic ratio of Ba : Sr in these ruthenates and find out the relationship of the transition of electric property and structure. We carry out a systematic study that correlates structure, processing and electrical properties of (Ba, Sr)RuO3 thin films. We may concern the interdiffusion between substrate and film interferes with the electric properties, Si (110) and Al2O3 polycrystalline substrates have been chosen for comparison. Films are prepared by rf-magnetron sputtering technique and followed by ex-situ annealing process under various ambient. X-ray diffraction (XRD) and R/T measurement are used to identify phase purity and electric properties. Scanning electron microscopy (SEM) equipped with EDS (energy dispersive spectroscopy) is used to analyze film composition and surface morphology. Auger electron microscopy (AES) will be used for observation of the variation of the composition near both the film surface and the interface between the films and the substrates. Discussion on possible mechanisms will also be included. (1) B. Szymanik and A. Edgar, Solid State Communications Vol. 79, No. 4, 355(1991) (2) P.R. Van Loan, Ceram. Bull. Am. Ceram. Soc. 51(3), 231(1991)

A6.61
ZIRCONIA FILMS ON SAPPHIRE SUBSTRATES FROM LIQUID PRECURSOR. Alain Dauger , René Guinebretiére, Francine Dherbey, Claire Mary, André Lecomte, Bernard Soulestin, Laboratoire de Matériaux Céramiques et Traitements de Surface, ENSCI, URA CNRS, Limoges, FRANCE.

Thin films of undoped zirconia have been deposited by dip-coating on sapphire substrates starting with a sol-gel process. The zirconium n-propoxide solution was chelated with acetylacetone and the precursor was hydrolyzed in air after coating. Precursor films decompose to tetragonal zirconia and continuous polycrystalline layers, 50 nm thick, were obtained after annealing at 600ÉC. Nanometer grains , about 5 nm in size, were randomly oriented throughout the film. The film quality and thickness were checked by X-ray reflectometry, the grain size and orientation were determined by X-ray diffractometry and transmission electron microscopy on cross sectional samples. Whatever the crystallographic orientation of the sapphire substrate, islanding and epitaxy phenomena arose from competitive grain growth during high temperature further heat treatments. The alumina - zirconia system displays non reactive interactions and the physical bonding at the interface is related to crystallographic features. Several substrate orientations were used, namely c-planes, a-planes and R-planes sapphire. Abnormal growth of grains with two or three preferred orientations was observed depending on the sapphire substrate orientation. In all cases film breakup and islanding resulted from preferential out of plane growth of sugar loaf shaped (hhh) or (hh0) oriented zirconia grains while in plane growth of flat (00h) oriented crystals was favored by controlling film thickness. The morphological features and in plane island orientations were studied by scanning electron microscopy and electron backscattering pattern experiments, while the heteroepitaxial relations and interface structure were determined through X-ray diffraction experiments (phi-scan, omega-rocking curves) and TEM observations.

A6.62
STRUCTURE AND PROPERTY RELATIONSHIPS IN DISPERSION-STRENGTHENED FILMS OF NICKEL-SILIKA (ZIRCONIA). Alexandr Ilinsky , Alexandr Terletsky, Alexandr Stetsenko, Svetlana Lyabuk, Kharkov State Polytechnic Univ, Kharkov, UKRAINE.

There is much current interest in novel methods for producing ultrafine-grained materials. Therefore, the goal of this report is to review the peculiarities of structure and tensile strength of binary Ni-base films, made of insoluble components (Ni-SiO and Ni-ZrO2).
There dispersion-strengthening films of thickness 20-40 $\mu$m - were prepared by e-beam evaporation of materials from two sources (PVD-method) and their deposition onto a metal and sital substrates preheated to the desired temperature. The content of strengthening oxides is not exceeding approximately 2 vol. $\%$. Films were studied byTEM and X-ray diffraction techniques. The individual films were controlled by autoion microscopy. Tensile tests were performed using micromechanical testing machine. The films as coatings were studied also by abrasion wear.
The as-deposited composite films have the two-phase structure - ultrafine-grained nickel matrix with typical face-centered lattice and grain size of 0,2-0,5 $\mu$m and nanoscale amorphous silica (zirconia) particles (10-30 nm) homogeneously distributed in the matrix. Such structure ensures an extremely high level of mechanical properties. For instance, the films of the system Ni-SiO ($\sim$ 2 vol. $\%$) have the yield strength $\sim$ 1.2 GPa. Finally, a comparison between the wearability of PVD and electroplated Ni base films will be considered.
The correlations between the structural and mechanical characteristics of composite films are discussed.

A6.63
PROCESSING-STRUCTURE-PROPERTY RELATIONSHIPS OF TIN OXIDE THIN FILMS. Xiaoqing Pan , Li Fu, and Jiechao Jiang, Dept. of Materials Science and Engineering, The University of Michigan, Ann Arbor, MI.

Tin oxide thin films were grown on the R-cut sapphire substrates by electron beam evaporation at different temperatures. Films deposited below 300$^\circ$C are amorphous, while those deposited at temperatures above 350$^\circ$C have the $\alpha$-SnO structure. Epitaxial films with the $\alpha$-SnO structure were obtained by deposition at 600$^\circ$C. SnO2 films with the rutile-type structure were obtained by post-deposition annealing of as-deposited films at 700$^\circ$C for 2 h in air. Both TEM and AFM studies showed that the surfaces of rutile-type SnO2 films are very rough in comparison to the as-deposited films, a result of the nucleation and growth of the rutile SnO2 structure during the high-temperature anneal. X-ray diffraction and TEM studies showed that the rutile-type SnO2 films are polycrystalline with final microstructures that depend on both the microstructures of the as-deposited films and the annealing conditions. SnO2 films obtained by annealing the amorphous SnO thin films have columnar microstructure, while those obtained by annealing the crystalline $\alpha$-SnO films show a laminar microstructure. The influence of film microstructures on electrical and gas sensing properties will be addressed. The film with a laminar structure shows a low electrical conductivity and a higher sensitivity to carbon monoxide than the film with a columnar structure. The processing-structure-property relationships of the tin oxide thin films will be presented.

A6.64
EFFECT OF LONG-TERM ANNEALING ON MICROSTRUCUTRES AND ELECTRICAL PROPERTIES OF INDIUM TIN OXIDE FILMS DEPOSITED ON PET SUBSTRATE. J.G. Kwon, S.C. Moon, Samsung Display Devices, Suwon Plant, SOUTH KOREA; M.G. Kang, Samsung Display Devices, Chonan Plant, SOUTH KOREA; T. Dolukhanyan, C.M. Sung , Dept. of Chemical Engineering, Center for Advanced Materials, Univ. of Massachusetts, Lowell, MA.

Indium tin oxide films of $\sim$60 nm thick have been deposited on PET substrate by radio-frequency (RF) magnetron sputtering. The effect of heat-treatment for many days on both chemical/microstructural changes and electrical properties of indium tin oxide (ITO) films are investigated by transmission electron microscope (TEM), high resolution scanning electron microscope (SEM), glancing angle x-ray diffractometer (XRD), x-ray photoelectron spectroscopy (XPS), and secondary ion mass spectroscopy (SIMS). Annealing of ITO-coated PET substrate at 60$^\circ$C for 55 days under humidity condition causes the ITO layers to crystallize and grains to grow as well as chemistry changes, e.g., non-stoichiometric ITO, formation of In islands, etc. The electrical resistivity of ITO has also increased as a function of annealing time. The relationship between microstructures and electrical properties will be discussed in order to understand reaction mechanism in this study and possible solutions for the improvement of microstructures and electrical properties will be suggested in

A6.65
KINETIC CHARACTERISTICS OF TIN DIOXIDE POLYCRYSTAL LAYERS. Vyacheslav I. Kukuev , Anna V. Raskhozheva, Voronezh State Univ, Dept of Solid State Physics, Voronezh, RUSSIA; Stanislav I. Rembeza, Tamara V. Svistova, Voronezh State Technical Univ, Dept of Microelectronics, Voronezh, RUSSIA.

Tin dioxide thin films are well known as sesing layers. Response activity depends on crystal structure and elements distribution (local non-stoichiometry) percularities which determine electrical conductivity (resistance) of the film specimen.
We analized the temperature dependence R(T), carriers mobility $\mu(T)$ and carriers concentration n(T) (Hall effect by Van der Pawn method) as well as the optical density $\alpha(h\nu)$ of the polycrystalline SnO2 films (dc-magnetron sputtering and spray techniques) with wide range of grain size 10-500 nm. There is a wide maximum on the $\mu(T)$, n(T) curves at the temperatures of 1800 C.
The comparison of Debye length with grain size and $\mu(T)$, n(T) dependences shape correlate with conductivity model for ultrafine particles system. Optical absorption measurements allow to resume the direct transitions and confirm the presence in the films of additional phase with tin in a low oxidation state.
By plasma etching we modified the structure of preliminary deposited SnO2 film decreasing their thickness up to one monolayer of grains (SEM) and increasing, at the same time, the film resistance by four orders of magnitude up to 0,5-1,0 MOhm. As-prepared films can be approximated by planar network having incommensurable resistance between separate parts: grains with low resistance and intergrain region with high resistance. According to percolation model the estimated intergrain barriers height 0,6-1,1 eV and their energy dispersion 0,2-0,4 eV differ from those ones of untreated films (current-voltage measurements).

A6.66
OPTICAL, ELECTRICAL AND THERMAL CHARACTERIZATION OF STRUCTURE AND DEFECTS IN POLYCRYSTALLINE DIAMOND FILMS. A.V. Khomich , V.I. Polyakov, A.I. Rukovishnikov, Institute of Radio Engineering and Electronics, Moscow, RUSSIA; V.G. Ralchenko, I.I. Vlasov, A.V. Vlasov, General Physics Institute, Moscow, RUSSIA.

Optical, electrical and thermal data for a representative set of diamond films are obtained in order to establish growth-property relationships. Polycrystalline diamond films were grown on silicon substrates in MW plasma reactor using methane/hydrogen/oxygen mixtures and in a DC plasma system using methane/hydrogen gas mixture. The optical measurements were carried out on free-standing films formed by selective removal of the silicon substrates. The impurity and defect contents were deduced from UV-IR optical absorption. Thermal conductivity (up to 18 W/cm K) along growth direction of columnar grains was measured by laser flash technique. The concentration and activation energy of trapping centers were determined using a deep-level transient spectroscopy. The presence of nondiamond phases and intrinsic stress were analyzed by micro-Raman spectroscopy. The relation between electrical, optical and photoelectrical properties in the different spectral regions, and thermal conductivity has been investigated. The influence of remnants amorphous carbon phases located at the grain boundaries and defects in the bulk material on the CVD diamond properties was discussed. A correlation between concentrations of impurities (nitrogen (1-15 ppm) and hydrogen (30-400 ppm) in different carbon-hydrogen groups), diamond film's growth regimes and grain structure was discussed. It is shown that defective fine-grained layer at substrate side of free-standing films can be removed by laser ablation and subsequent oxidation of graphitized layer. It is found that the quality of best samples approach to that of IIa type natural diamonds. This work was partly supported by grants No 98-02-16679 and No 98-03-332117a of the Russian Fund of Fundamental Research.

A6.67
THEORETICAL STUDIES OF NANOCRYSTALLINE DIAMOND NUCLEATION AND GRAIN BOUNDARY STRUCTURE AND BONDING. D. Gruen , L. Curtiss, P. Redfern, D. Horner, and P. Zapol, Argonne National Laboratory, Materials Science and Chemistry Divisions, Argonne, IL.

The many extraordinary properties of diamond can be optimized for particular applications only through controlling the microstructure, since neither the molecular structure nor the composition (except for doping) can be altered. One can now transform microcrystalline into nanocrystalline diamond continuously by stepwise addition of argon to methane-hydrogen mixtures. Films grown from microwave plasmas containing more than 95% argon consist of crystallites with an average size of 3-5 nm. Such films have $\sim$3% of the carbon at two-atom-wide grain boundaries. The complex structure and bonding of the carbon at the high angle, high-energy grain boundaries typical of the nanocrystalline diamond films impart unique electrical, mechanical, and tribological properties to this material. A secondary nucleation mechanism based on density functional calculations involving direct carbon dimer insertion into the $\pi$-bonds of the reconstructed (100) surface will be presented. The mechanism accounts for the 1010 cm-2 sec-1 heterogeneous nucleation rates required to account for the preservation of nanocrystallinity independent of film thickness. The reaction of singlet C2 with the double bond of the C9H12 cluster leads to either carbene structures or a cyclobutynelike structure. At the HF/6-31G* level, the carbene product has a C2v structure, while at the B3LYP/6-31G* level of theory, it has a Cs structure with the inserted C2 tilted. No barrier for insertion into the C=C double bond of the C9H12 cluster was found at the HF/6-31G* and B3LYP/6-31G* levels of theory. Thus, calculations including correlation energy and geometry optimization indicate that insertion of C2 into a C=C double bond leads to a large energy lowering, $\sim$120 kcal/mol for a C9H12 cluster, and there is no barrier for insertion.
*Work supported by the U.S. Department of Energy, BES-Materials Sciences, under Contract W-31-109-ENG-38.

A6.68
CRYSTALLIZATION BEHAVIOUR OF SILICON CARBIDE THIN FILMS PRODUCED BY SOLUTION PRECURSOR METHOD. Christof Rau , Joachim Bill, Thomas Wagner, Fritz Aldinger, Max-Planck-Institut f. Metallforschung, Stuttgart, GERMANY; Fred Lange, Materials Department, Univ. of California, Santa Barbara, CA.

Polymethylvinylsilane precursors with varying chemical compositions were used for the growth of SiC thin films by pyrolysis at different temperatures. The films were grown on single crystalline 6H-SiC, sapphire and Si substrates by the solution precursor method. Thin amorphous films with a thickness less than 0.5 $\mu$m were obtained by dip- or spin-coating and subsequent thermal treatment at 1100 degree Celsius in an inert atmosphere. XPS and AES analysis revealed that the films mainly consisted of C and Si. The crystallization behaviour of films heat treated at T>1200 degree Celsius was investigated in detail. As revealed by XRD and TEM, growth of polycrystalline beta-SiC was observed on the 6H-SiC and Si substrates with increasing temperature. The annealing time and the purity of the inert gas atmosphere during pyrolysis and heat treatment was critical for the formation of SiC. By controlling these parameters films of reproducible quality can be obtained.

A6.69
PREPARATION OF CRYSTALLINE CARBON THIN FILMS BY DC MAGNETRON SPUTTERING WITH A HOT FILAMENT. Myo Than Oo , Yuji Matsumoto, Masato Nakao, Kiichi Kamimura, Yoshiharu Onuma, Shinshu University, Department of Electric and Electronic Engineering, Faculty of Engineering, Nagano, JAPAN.

Generally, carbon thin films produced by sputtering method are amorphous in crystallinity. But, using a newly developed DC magnetron sputtering system with a tungsten hot filament yields crystalline carbon thin films at relatively low substrate temperature. The crystal structure of the carbon films was investigated by X-ray diffraction, TEM and TED analysis. X-ray diffraction analysis of the films prepared at substrate temperatures 600‰È and with the filament temperature up to 2000‰È showed diffraction peaks around 2Šê= 47.56, 35.16 and 30.37 degrees. From TEM images of the sample, the needle shaped microcrystallites were observed. They were several hundred nanometer in length and from 10 to 20 nanometer in width. According to the TED analysis of the carbon thin films, it was found to be net patterns. And also, the electrical properties of the films was examined to observe it's expected superconductivity.

A6.70
CHARACTERIZATION AND PROPERTIES INVESTIGATION OF MOCVD LEAD GERMANATE THIN FILM. Fengyan Zhang , Tingkai Li, Doug Tweet, Sheng Teng Hsu, Sharp Microelectronics Technology, Inc., Camas, WA.

Lead germanate (Pb5Ge3O11) thin film has many applications because of its piezoelectric, dielectric, electro-optical and its ferroelectric properties. But in the complex PbO-GeO2 system the stability range for the Pb5Ge3O11 phase is very limited and that even relatively small deviation in composition or in growth temperature could lead to the formation of other lead germanate compounds, such as Pb3GeO5, PbGeO3 compounds. Therefore when growing single phase lead germanate thin film by advance MOCVD technique it has been a big challenge on optimizing the processing parameters and on characterizing and controlling the secondary phases' formations in order to achieve good film properties. This paper will emphasize on the characterization of the lead germanate phase and other secondary phases and on their forming conditions. The microstructures, compositions and crystal orientations of each phase have been investigated by SEM, EDS, XRD, OIM, XRF, etc. The influence of the crystal orientation and secondary phases on the ferroelectric properties of the Pb5Ge3O11 thin film will also be discussed. It has been confirmed that the lead rich phase Pb3GeO5 is very easily to be formed during the MOCVD process and it exhibit a ferroelastic property. The c-axis oriented Pb5Ge3O11 thin film and random oriented polycrystalline Pb5Ge3O11 with small amount of Pb3GeO5 secondary phase will be presented with well saturated hysteresis loop and 2Pr about 4 $\mu$C/cm2 and dielectric constant about 45.

A6.71
GROWTH OF HIGHLY <200>- OR <111>-TEXTURED POLYCRYSTALLINE MgO FILMS ON AMORPHOUS SUBSTRATE BY AEROSOL-ASSISTED MOCVD ON AMORPHOUS SUBSTRATE. Olivier Renault , Michel Labeau, Laboratoire des Matériaux et du Génie Physique, CNRS UMR 5628, ENSPG-INPG, St Martin d'Héres, FRANCE.

Polycristalline magnesium oxide (MgO) thin films have been grown on large-area glass substrates using the aerosol-assisted MOCVD method with a high frequency ultrasonic spraying of the liquid source. At a deposition temperature of 400$^\circ$C, the maximum deposition rate can be varied by using different kinds of liquid sources allowing to transport variable raw material quantity and thus to achieve very low (10-20$\AA$/min) or somewhat higher (120$\AA$/min) growth rates. This induces major changes in the preferrential orientation of the film, which exhibit extremely strong <200> or <111> texture at respectively very low and very high deposition rate. Columnar microstructure is obtained for highly textured films and nodular-like one for non oriented films, as deduced by high-resolution SEM. The grain sizes along the texturing direction are in the range of 200-1000$\AA$ in agreement with the growth rates and the X-Ray Diffraction measurements. The early stages of the growth of one film has been studied by Atomic Force Microscopy and evidence a 3D (Volmer-Weber) growth mechanism with a periodic variation of the surface roughness (Rms) as the film thickness increases. Doping the film with small quantities of aluminium (0.2$\%$at.) enhances the MgO grain growth. Further addition of aluminium strongly reduces the grain size and decreases the MgO lattice parameter from 4,21 to 4,18$\AA$ at 15$\%$at.

A6.72
PTCRS WITH La(Ni,Co)O3 THIN-FILM ELECTRODES: PREPARATION AND CHARACTERIZATION OF THE INTERFACE. Bernd Trummer , Otto Fruhwirth, Klaus Reichmann, SFB Elektroaktive Stoffe, TU Graz, AUSTRIA.

A series of different ceramic thin-film electrodes of the La(Ni, Co)O3 system ranging in composition from LaNiO3 (n-conducting) to LaCoO3 (p-conducting) was prepared by spincoating of precursor solutions and subsequent thermal treatment onto n-conducting BaTiO3 (donor doped) PTCR ceramic pellets. Thereafter, the different interfaces were characterized by structural and electrical methods. Structure and chemical composition of the interfaces were investigated by SEM and XRD. Electrical characterization was carried out by using conductivity measurements (van der Pauw geometry) and impedance spectroscopy in a temperature range from 20$^\circ$C to 400$^\circ$C. RESULTS: A close contact of dense, polycrystalline thin-film electrodes onto the polycrystalline PTCR ceramic was obtained. The thickness of the electrodes was ranging from 200 to 500 nm, depending on the parameters of the preparation process. The electrodes themselves consisted of nanosized particles. The electrical conductivity of the PTCR ceramic was about 0.1 Scm-1 at room temperature with an exponential decrease of the conductivity to higher temperatures. The conductivities of the thin-film electrodes were between 300 Scm-1 (LaNiO3) and 60 Scm-1 (LaCoO3) at room temperature and exhibited varying temperature dependences. Overall electrical behavior of the interface was compared to Ag and InGa metal electrodes and the behavior of the ceramic electrodes was placed between noble metal and base metal electrodes. An equivalent circuit, explaining frequency and voltage behavior of the interface, was modeled. Good agreement between measured and calculated data was obtained.

A6.73
SYNTHETIC CONDITION EFFECT ON Li1-xNi1+xO2 AND LiCoO2 FILM PROPERTIES IN SOFT SOLUTION PROCESSING. Kyoo-Seung Han , Seung-Wan Song, Itsuro Sasagawa, Masahiro Yoshimura, Center for Materials Design, Materials and Structures Laboratory, Tokyo Institute of Technology, Yokohama, JAPAN.

A process called ``Soft Solution Processing'' was used to prepare lithiated thin-film electrodes as a cathode for lithium rechargeable microbatteries in a single synthetic step. Li1-xNi1+xO2 and LiCoO2 films were fabricated using the Soft Solution Processing in a concentrated LiOH solution at fixed temperatures between 20 and 200$^\circ$C with a fixed current density between 0.1 and 5.0mA/cm2 without any post-synthesis annealing. The prepared films exhibit prospective electrochemical activity, however, it is dependent on the synthetic conditions. Similarly, the synthetic condition effect on the surface morphology and film thickness was also detected. In order to determine the optimal synthetic conditions, the effect of various synthetic conditions such as fabrication temperature, applied current density, concentration of LiOH solution, and reaction time was investigated. The synthetic condition effect study shows that Soft Solution Processing is capable of preparing advanced inorganic materials with planned properties through the active control of synthetic conditions.

A6.74
SPUTTERED CHROMIUM NITRIDE FILMS STUDIED BY IN SITU X-RAY DIFFRACTION AND SYNCHROTRON GRAZING INCIDENCE SCATTERING. Z.B. Zhao , Z.U. Rek*, S.M. Yalisove and J.C. Bilello Center for Nanomaterials Science, Department of Materials Science and Engineering, The University of Michigan, Ann Arbor, MI *Stanford Synchrotron Radiation Laboratory, Stanford University, CA.

The films of chromium nitrides have been deposited in a magnetron sputter equipped with an in situ X-ray characterizing system, which allows the growth of films to be studied in a quasi-real time fashion. The objective of the paper is to investigate the effect of deposition conditions on phase formations, crystallographic characteristics, and their evolving nature as a function of film thickness. In addition to the in situ X-ray diffraction, grazing incidence x-ray scattering with synchrotron radiation and scanning electron microscopy were also used to characterize the post-deposited films. The phases in the sputtered films can be either bcc Cr, or Cr2N, or CrN or their mixtures, depending on the deposition conditions (mainly the nitrogen flow). Small amount of nitrogen typically leads to the mixture of Cr and Cr2N phases. Sufficient nitrogen flow is observed to be crucial for formation of single phase CrN. As abundant nitrogen is supplied, strong (111) out-of -plane crystallographic texture develops, regardless of the variation of other deposition conditions. The transition from N-deficient CrN to fully ordered CrN has been observed. The out-of-plane texture is found to be 111) type and the in-plane texture is correlated to the deposition geometry.

A6.75
C-AXIS ORIENTED STRONTIUM BISMUTH NIOBIUM OXIDE FILMS PREPARED BY SOL-GEL DIP-COATING. Yun Wu and G.Z. Cao, University of Washington, Department of Materials Science and Engineering, Seattle, WA.

We report the (00l) oriented SrBi2Nb2O9 (SBN) films dip-coated on (100) single crystal strontium titanate, SrTiO3 (STO) substrates. A multiple step hydrolysis-condensation process was applied to the synthesis of strontium bismuth niobium oxide sol using inorganic salts as precursors with citric acid as a complexing regent. Uniform thin SBN films were formed by dip-coating the sol onto (100) single crystal STO and (100) silicon substrates. Single crystalline SBN phase was obtained after a heat-treatment at 650 C. The phase evolution, crystallinity and orientation of the SNB films on both STO and silicon substrates under various heat-treatments were studied by means of X-ray diffraction and Rocking curves. Highly c-axis oriented SBN films were obtained on single crystal STO substrates and well aligned microstructure was observed by SEM with the average grain size of approximate 200 nm after a heat-treatment at 800 C for 1 hour.

A6.76
CHARACTERIZATION OF POST ION IMPLANTED c-BN FILM BY HIGH RESOLUTION TRANSMISSION ELECTRON MICROSCOPY. E.S. Byon, S.W.Lee, S.R. Lee, Korea Institute of Metal and Machinery, Changwon, KOREA; Sandhya Gunasekara, Changmo Sung , Center for Advanced Materials, Dept. of Chemical Eng., University of Massachusetts, Lowell, MA.

Boron nitride thin films have been deposited on (100) oriented Si substrate by Magnetically Enhanced Evaporation Technique.The build up of c-BN is normally accompanied by strong compressive stresses. When these stresses exceeds the strength of the adhesion forces at the interface, adhesion fails causing the destruction of the c-BN film. This has been one of the major limiting factors of using the c-BN films for many important industrial applications such as cutting tools and semiconductor devices. In this experiment, the plasma immersion ion implantation has been applied to improve the adhesion of the film. The post ion implantation was conducted at several implantation energies and constant dose. The effect of ion implantation on the microstructures was investigated by Fourier Transform Infrared Spectroscopy (FTIR) and High Resolution Transmission Electron Microscopy (HRTEM). Cross-sectional TEM images show three distinct layers on the deposited film: an initial layer of amorphous BN at the interface, a layer of h-BN and a layer of c-BN at top. The Fast Fourier Transform (FFT) performed on HRTEM images matched these results and also showed that the c-BN layer continues to grow as a single phase. HRTEM images also show sharp interface between the ion implanted film and the substrate, which indicates a better adhesion of the film to the substrate.It is concluded that the post ion implantation proved to be an effective technique which reduces the compressive stresses build up on the film improving the adhesion between the film and the substrate.

A6.77
THE USE OF DOPANT ADDITIONS TO FORM NANOCRYSTALLINE BERYLLIUM COATINGS. Alan Jankowski , University of California, Lawrence Livermore National Laboratory, Livermore, CA.

We are developing a process to sputter deposit Be-rich, thick coatings that must be both strong and gas permeable. Both material properties are sensitive to the growth morphology and microstructure of the deposit. For example, it appears advantageous to form a coating that is dense in order to control gas permeation and that has as small a grain size for enhanced strength. We have shown that a dense columnar growth can be induced using ion-assisted processing as in application of a substrate bias. Additionally, it is known from prior studies of evaporative and sputter deposition processes that the grain size of nominally pure (>99.8 atomic percent) Be films can be dramatically refined through the incorporation of specific metal impurities. Presently, we examine the feasibility of Fe and B as dopants to the sputter deposition of Be to form a dense, nanocrystalline microstructure.
This work was performed under the auspices of the United States Department of Energy by Lawrence Livermore National Laboratory under contract #W-7405-Eng-48.

A6.78
STRUCTURAL CHARACTERIZATION OF BARIUM-FERRITE THIN FILMS ON SAPPHIRE BY SYNCHROTRON X-RAY SCATTERING. Tae Sik Cho , Seok Ju Doh, Jung Ho Je, Pohang Univ of Science and Technology, Dept of Materials Science and Engineering, Pohang, KOREA; Do Young Noh, Kwangju Inst of Science and Technology, Dept of Materials Science and Engineering and Center for Electronic Materials, Kwangju, KOREA.

The structural nature of Ba-ferrite thin films was investigated using synchrotron x-ray scattering. Ba-ferrite films were grown on sapphire(0001) substrate at room temperature by RF magnetron sputtering. We found that a very thin ($\sim$ 40 $\AA$ thickness) interlayer of magnetite Fe3O4 was, in initial stage, grown epitaxially on sapphire inside amorphous Ba-ferrite film. As Ba-ferrite films were post-annealed at 750 $^\circ$C for 5 hrs in air, the magnetite interlayer was disappeared. In addition, not only hexagonal Ba-ferrite but also hematite $\alpha$-Fe22O3 were grown epitaxially on sapphire as a surprise. The epitaxial relationship was Ba-ferrite (000l) [$\alpha$-Fe2O3 (0006)]// sapphire (0001), Ba-ferrite (10$\bar{1}$7)// sapphire (11$\bar{2}$3), and $\alpha$-Fe2O3 (10$\bar{1}$4)]//sapphire (10$\bar{1}$2). The domain size of both phases that is estimated from the broadening of the scattering profile was almost the same as $\sim$ 200 $\AA$ in the normal direction to the substrate. These results indicate that Ba-ferrite and $\alpha$-Fe2O3 are presumably formed as hexagonal pillar-shape. The structural change of the as-deposited Ba-ferrite films during in-situ annealing will be presented as well.

A6.79
STRUCTURE AND COMPOSITION OF GRAIN BOUNDARIES IN Fe1-xO POLYCRYSTALLINE THIN FILMS BY MOLECULAR-DYNAMICS SIMULATION*. S.R. Phillpot a, D. Wolfa and P. Keblinskia,b; aMaterials Science Division, Argonne National Laboratory, Argonne, IL; bForschungszentrum Karlsruhe, Karlsruhe, GERMANY.

W½stite, Fe1-xO, is a prototypical system for the study of off-stoichiometry in ceramic oxides. We have used molecular-dynamics simulations to grow highly-textured, small-grain sized ($\sim$4nm) polycrystalline thin films of Fe1-xO and its hypothetical stoichiometric analog, FeO. To grow the thin film, a layer of liquid was placed on top of a previously grown polycrystalline substrate. On cooling below the melting point, the thin film grew on the substrate with highly ordered grains and rather narrow grain boundaries (GBs). We compare the structures of crystallographically identical GBs in the Fe1-xO and FeO thin films with particular reference to deviations from bulk composition in the GBs in Fe1-xO and the presence of a compensating space-charge region around the GBs. To elucidate the effects of the small grain size on interface structure, the structures of GBs in the films are compared with those of crystallographically identical bicrystalline GBs.
* This work was supported by the U.S. Department of Energy BES-Materials Science under Contract No. W-31-109-Eng-38. P. K. gratefully acknowledges support from the Alexander von Humboldt Foundation.

A6.80
MAGNETIC AND STRUCTURAL PROPERTY OF Fe-O FILMS AND Fe-O/MgO MULTILAYERS GROWN ON <111> POLYCRYSTALLINE MgO BUFFER LAYER. D.V. Dimitrov , Y. Ding, G. C. Hadjipanayis, Dept. of Physics and Astronomy, University of Delaware, Newark, DE; A. Simopoulos, NCSR Demokritos, Athens, GREECE.

Fe-O films (350 nm) and (Fe-O 10 nm /MgO 10 nm) multilayers with 500 nm <111> MgO buffer layer were grown on glass and Kapton substrates. Reactive sputtering in a mixture of oxygen and argon with fixed dc power for MgO and variable dc power for Fe- O was used to deposit the films. Samples were characterized by, low and high angle X- ray diffraction, cross-sectional transmission microscopy, SQUID magnetometry, and Mossbauer spectroscopy. Sputtering conditions (dc sputtering power) for deposition of thick films of non-stoichiometric wustite, magnetite, and mixtures of magnetite and maghemite phases were identified. The saturation magnetization of the best magnetite films was 430 emu/cc and the Verwey transition was clearly observed in the temperature dependence of the low field magnetization and coercivity. The transformation from magnetite to a mixture of magnetite and maghemite was identified by both magnetic and lattice parameter determination. Studies of Fe-O/MgO multilayers showed that the MgO layers stabilize the spinel structure and the formation of magnetite phase for the whole range of dc power used. The lattice parameter was practically constant for all samples (0.842 nm) and exactly twice that of MgO (0.421 nm). The saturation magnetization consistently decreased from 340 emu/cc to 117 emu/cc with the decrease of the sputtering power. Mossbauer spectroscopy studies showed that the Fe ion occupation of A and B sites in the spinel structure systematically changes and correlates with the sputtering power. The isomer shifts of the A and B sites suggests that there is a transfer of electron density from A to B sites as the dc power decreases. The decrease of the saturation magnetization is consistent with the change in the site occupation and electron density transfer between A and B crystallographic sites. This work was supported by NSF DMR 9307676

A6.81
MICROSTRUCTURE OF ALKALINE EARTH AND RARE EARTH FLUORIDE THIN FILMS PREPARED BY CHEMICAL PROCESS. Munehiro Tada , Shinobu Fujihara, Toshio Kimura, Keio Univ, Dept of Applied Chemistry, Yokohama, JAPAN.

Fluoride thin films are of great importance in the field of optics because they exhibit low refractive indices, wide range of transmittance and fine insulation. A chemical route to prepare the fluoride films is interesting as a viable and low-costing method, which can extend research in the optical thin films. In the present work, alkaline earth and rare earth fluoride thin films have been prepared by a sol-gel process using trifluoroacetic acid as a fluorine source. Metal acetates were dissolved in a mixture of isopropanol, TFA and water. The solution was stirred for 2 h at a room temperature, and spin-coated on silica glass substrates. Then the films were heated at a constant temperature between 300 and 800$^\circ$C for 10 min in air followed by quenching. Surface morphology and roughness of the films were evaluated with FE-SEM and AFM. The fluoride phases were formed by heating the coated films below 500$^\circ$C. On the contrary, oxide or oxyfluoride phases were formed above 600$^\circ$C. The surface morphology and roughness of the films depended strongly on the heat-treatment temperature. The fluoride films exhibited high optical transmittance more than 90$\%$ in the UV-visible region. The film thickness was calculated to be about 200 nm from interference fringes of the transmittance spectra. The refractive indices of the films were lower than the theoretical values because the films were porous. These results indicate that the refractive index of the fluoride films can be controlled by the heat-treatment process through the chemical route.

A6.82
Abstract Withdrawn.

A6.83
PROPERTIES OF IRON OXIDE FILMS PREPARED BY THE SOL-GEL TECHNIQUE. Brigida Allieri, Laura E. Depero, Luigi Sangaletti , INFM and Dipartimento di Chimica e Fisica per l'Ingegneria e per i Materiali, University di Brescia, ITALY; Sandro Santucci, A.R. Phani, INFM and Dipartimento di Fisica, University dell'Aquila, ITALY; Guglielmina Gnappi, Co.R.I.Ve, University di Parma, ITALY; Angelo Montenero, Dipartimento di Chimica, Universita' di Parma, ITALY; Gualtiero Gusmano, Enrico Traversa, Dipartimento di Scienze e Tecnologie Chimiche, University di Roma Tor Vergata, ITALY.

Iron oxide thin films have been deposited onto amorphous SiO2 by the sol-gel technique. The structural and vibrational properties of the thin films as function of the annealing temperature have been investigated with X-ray diffraction, optical absorption, and microraman techniques. Four annealing treatments have been performed in air at $300^\circ$C, $500^\circ$C, $700^\circ$C, and $900^\circ$C. The results of the experimental studies show that above $500^\circ$C the thin films are mainly composed of Fe2O3 hematite. A smaller amount of magnetite is also detected, but the hematite/magnetite ratio does not change with temperature. Both vibrational bands and diffraction peaks get narrower with the annealing temperature, which indicates an increase of the crystallite size. A microraman mapping of the thin film surface did not show inhomogeneity on a micrometer scale. Below $500^\circ$C an amorphous phase is observed both by X-ray diffraction and Raman spectroscopy. This finding is related with a change in the optical absorption spectrum in the region below the absortion edge. The results are compared to those already obtained for iron-oxide powders grown by the same sol-gel method. In particular, the effect of the SiO2 substrate on the evolution of the structural properties with temperature is discussed.

A6.84
CHARACTERIZATION OF Ti1-xSixN FILMS BY SURFACE ACOUSTIC WAVES. S. Carvalho 1, F. Vaz1, L. Rebouta1, D. Schneider2, M.Z. Silva1; 1Dept Física, Universidade do Minho, Azurém, Guimarães, PORTUGAL; 2Fraunhofer Institut für Werkstoffphysik und Schichttechnologie, Dresden, GERMANY.

The aim of this work is to present the results regarding a non-destructive Young's modulus evaluation of thin films using Surface Acoustic Waves (SAW). This technique allows the correlation between composition changes, bias voltage and working gas flow, with the Young's modulus of hard TiN based coatings. These Ti1-xSixN coatings, with 0 $\le$ x $\le$ 0.37 and thickness ranging from 1.2 to 3.5 $\mu$m, were deposited onto polished high-speed steel substrates by r.f. reactive magnetron sputtering. The atomic composition of the samples was obtained by Rutherford Backscattering Spectrometry (RBS) and the structure and grain size were determined by X-ray diffraction (XRD). The results reveal an increase in the Young's modulus for samples with low Si content (0.05 $\le$x $\le$ 0.15) when compared with TiN. For higher Si contents a decrease in Young¼s modulus was observed, which becomes smaller than the corresponding value of TiN. The dependency of Young's modulus as a function of x, bias voltage and working gas (Ar) flow and its correlation with the developed texture will be discussed in some detail. Also, a comparison between these results and those obtained from ultramicrohardness tests will be presented.

A6.85
MICROSTRUCTURE AND ELECTRICAL RESPONSE TO NO2 OF In2O3 THIN FILMS GAS SENSORS PREPARED BY VACUUM THERMAL EVAPORATION. C. Cantalina , M. Pelino, University of L'Aquila, Dept. of Chemistry and Materials, L'Aquila, ITALY; S. Santucci, University of L'Aquila, Dept. of Physics, L'Aquila, ITALY.

Microstructures of thermally evaporated In2O3 thin films have been investigated by wide angle X-ray diffraction, atomic force microscopy and X-ray photoelectron spectroscopy. The films have been prepared by evaporating commercially pure In2O3 powders on sapphire and Si/SiO2 substrates provided with Pt interdigital electrodes. The as-deposited films have been annealed in static air at different temperatures ranging from 300 to 500$^\circ$C and for different times ranging from 5 to 96 hours. All the films have resulted to be crystalline after annealing with the formation of cubic In2O3 (JCPDS card 6-0416). Detailed XPS scan have confirmed the formation of nearly stoichiometric In2O3 (58% O and 42 % In). The increasing of the annealing temperature have shown a positive effect on the surface roughness, surface area, grain size and fractal dimension, as computed by Atomic force microscopy measurements. The H2O, C2H5OH, CO, CH4, NO and SO2 cross sensitivity to NO2 ``target'' gas, as well as preliminary long term stability of the electrical response, have been discussed in the light of different preparation conditions and different working temperatures. All the films have shown high sensitivity to NO2 gas (0.7-7 ppm in Air) at 250$^\circ$C working temperature. The highest sensitivity of the 500$^\circ$C annealed for 96 hours is explained in terms of the annealing temperature effect on the geometrical (surface area and crystallites size) and chemical (oxygen vacancies and grain boundaries) heterogeneities.

A6.86
THE EFFECTS OF HIGH TEMPERATURE ON CERAMIC THIN-FILMS GROWN ON SAPPHIRE AND SILICON BASED SUBSTRATES. Michael Post , Dongfang Yang and Jim Tunney, National Research Council of Canada, Ottawa, Ontario, CANADA.

The present work reports a study of the effects of temperature on the morphology and chemical composition of ceramic type, perovskite thin-films which have been deposited by pulsed laser ablation onto silicon oxide, silicon nitride and sapphire as substrates. The technological issues related to this combination of materials are important because of proposed integration of this type of functional ceramic film with various substrate platforms to provide multiple array, thin-film gas sensor devices. In sensor devices which exploit the electronic properties of the films, the morphology becomes a key factor in determining sensor properties. For experimental work, an excimer laser (Kr/F, $\lambda$=248nm, E=2J.cm-2, t$\sim$25ns) was used to deposit the films from targets of SrRuO3 and non-stoichiometric perovskites of the family SrFeO2.5+x (0<x<0.5). The substrates were cut from thermally oxidised Si wafers (SiO2 thickness $\sim$500nm) or Si upon which had been grown silicon nitride by CVD (SiNy thickness $\sim$500nm). The thickness of the ceramic films was between 100nm and 300nm. After deposition, the samples were given thermal treatments in different gas atmospheres for various time periods at temperatures up to T=600$^\circ$C. Both oxidising and reducing conditions were used. At set time intervals the sample films were characterised by techniques including XRD, XPS (with depth profiling), SEM, AFM and optical microscopy. Results from this study will be presented which indicate morphological and chemical composition changes which occur in the evolution of film texture, grain growth and diffusion at the ceramic film interface with the SiO2 (or SiNy) coating. The consequent changes which are observed in the electrical conductivity of the films when used as oxygen sensors are correlated with these physical changes.

A6.87
STRENGTHENING EFFECT OF NANOCOMPOSITE MULTILAYER FILMS. R.F. Huang, City University of Hong Kong, Department of Physics and Materials Science, Hong Kong, CHINA; L.P. Guo, State University of New York at Buffalo, Dept of Materials Science, Buffalo, NY; J. Gong, L.S. Wen , Institute of Metal Research, Academia Sinica, Shenyang, CHINA.

The idea of strengthening by nanocomposite multilayer structure could be stemmed from Koehler in 1970. Recently, more and more nanocomposite multilayer films have been used as commercial coating series. However, the strengthening mechanism has not yet been enough studied. To reveal the origin of strengthening, Ti/TiN nanocomposite multilayer films with single layer thickness in range of 10-500 nm have been prepared by ion plating. Microstructure of the films was studied by X-ray diffraction and transmission electron microscopy. The single layer thickness of the multilayer films were measured using cross-sectional sample electron microscopy, while grain size of the multilayer films was obtained by planar sample electron microscopy. Composition modulation wavelengths $\Lambda$ of the films are twofold single layer thickness. Relationship of grain size with $\Lambda$ reveals a grain refining effect of multilayer film with decreasing $\Lambda$. Microhardness of the films was measured by using super-hardness tester. The relationship of microhardness with $\Lambda$ of the multilayer film shows hardness strengthening with decreasing $\Lambda$, approximately similar to Hall Petch relation. On the other side, electron microscopy of cross sectionally fractured sample shows toughening effect of multilayer films. Therefore, the results on Ti/TiN suggests a grain refining mechanism of hardness strengthening and toughening of nanocomposite multilayer films.

A6.88
FIRST STAGES OF THE INTERMETALLIC PHASE FORMATION IN COLD ROLLED ALUMINUM-TANTALUM MULTILAYERS. H. Sieber , University of Erlangen-Nuernberg, Department of Material Science (III) Glass and Ceramics, Erlangen, GERMANY; G. Wilde, J.H. Perepezko, University of Wisconsin-Madison, Department of Material Science and Engineering, Madison, WI.

The reaction kinetics of the first stages of the intermetallic phase formation in Al-25Ta multilayer samples was investigated by XRD, SEM, TEM/SAED, DSC and DTA measurements. Multilayered structures with elemental layer thickness between 100-1000 nm were prepared by cold rolling of elemental Al and Ta foils. XRD and TEM investigations indicate no phase formation during the cold rolling process. DSC annealing shows a first phase formation reaction to the TaAl3 phase starts at around 570K. The phase formation kinetics exhibits a double exothermic peak, related to nucleation and 2-dimensional lateral growth at lower temperatures and a 3-dimensional phase thickening at higher temperatures that is known for the formation of the first phase in different other multilayer systems. The as-rolled multilayer microstructure and the reaction product morphology are characterized by detailed SEM and TEM investigations in plan view and cross section geometry. The kinetics and thermodynamics of the reactive phase formation are examined by isothermal and isochronal DSC and DTA investigations. The support of ONR (N00014-92-J-1554) is gratefully acknowledged.

A6.89
X-RAY DIFFRACTION INTERNAL STRESS STUDY OF TANTALUM CARBIDE. Sucharitha Alagudu , Robert Catchings, Howard Univ, Dept of Physics, Washington, DC.

The phenomenon of residual stress occuring in materials such as metals, polymers and thin film composites is important since it can cause material degradation which alters significantly the performance and physical properties of the material. The high residual stress generally observed can result in debonding, film rupture and substrate cracking. However, the effects of residual stress is more prounced in thin film samples compared to the bulk material. The stresses developed in the deposited film is related to the internal arrangement of the atoms comprising the film and due to the thermal expansion and the lattice mismatch between the substrate and the deposited film. In this work, we report on the variation of residual stress as a function of temperature performed on hot pressed and thin film samples of Tac in the temperature range of 25-350K. Our results indicate that residual stresses developed in Tac thin film are more predominant at lower temperatures compared to measurement performed on hot pressed pellet under identical conditions and vice versa. The lattice spacing versus temperature for (200) reflection of X-ray beam shows decrease in d spacings with increase in sample temperature. Further results of stress mesurement analysis will be presented.

A6.90
GROWTH, STRUCTURE AND MECHANICAL PROPERTIES OF PULSED LASER DEPOSITED MICROLAMINATES COATINGS. Ashok Kumar and R. Bahl, Dept. of Electrical Engineering, University of South Alabama, Mobile, AL.

Hard coatings of TiN and TiB2 have many interesting properties such as high thermal and electrical conductivity, high melting point, good thermodynamic stability and combination of these properties make them an interesting prospect for a wide range of tribological and electronic applications. It is understood that artificial multilayer structures have shown anamolously high hardness and modulii making them likely candidate for future protective coatings. Single layer of TiN, TiB2, and TiB2/TiN microlaminates coatings with varying thicknesses have been deposited on Si (100) by in-situ pulsed laser deposition method. These films are deposited at 10 Hz repetition rate of excimer laser ($\lambda$ = 248 nm). Our preliminary results show that elastic modulii and hardness values of multilayered coatings are superior than monolithic coatings of either of the two constituent materials. The coatings will be characterized by X-ray diffractometer, scanning and transmission electron microscopic techniques. Detailed results will be presented to correlate thc effect of microlaminate thickness on the mechanical properties.

A6.91
INTERMETALLIC PHASE FORMATION SEQUENCE IN COLD ROLLED ALUMINUM-NICKEL MULTILAYERS. H. Sieber , University of Erlangen-Nuernberg, Department of Material Science (III) Glass and Ceramics, Erlangen, GERMANY; J.H. Perepezko, University of Wisconsin-Madison, Department of Material Science and Engineering, Madison, WI.

During the reactive phase formation in thin bi- and multilayer structures the reaction kinetics is determined mainly by the very small diffusion distances for the atomic species. The reactive phase formation follows a sequential reaction pathway that allows only one phase to form at a given temperature and time. A systematic investigation of the of the phase formations sequence was conducted in `massive samples' of multilayered structures of different Al-Ni (Al-20Ni, Al-25Ni, Al-40Ni, Al-50Ni and Al-75Ni) composites. The multilayered samples were prepared by cold rolling of elemental foils at ambient temperature. The as-rolled microstructure and phase development during alloying were characterized by XRD, SEM and TEM/SAED and the reactive phase formation kinetics was examined by DSC and DTA measurements in multilayer foils rolled for different deformation levels. The cold rolling procedure results not only in a decrease of the layer thickness of the elemental foils (down to below 100 nm in average) but also in the decrease of the individual grains size (down to below 50 nm in average). No intermetallic phase formation is observed during cold rolling. The reactive phase formation occurred upon annealing and was then studied in multilayer foils for different compositions. The first phase formed is the Al3Ni phase starting at temperatures below 470K. The phase formation shows a double exotherm in DSC measurements related to a 2-dimensional nucleation and lateral growth and a 3-dimensional phase thickening. In Ni-rich samples further annealing yield the formations of the Al3Ni2 phase at about 620KC and the AlNi and AlNi3 phases at about 670K. The support of ORN (N00014-92-J-1554) is gratefully acknowledged.

A6.92
METAL BORIDE THIN FILMS FABRICATED BY LASER-INDUCED DEPOSITION FROM SOLUTION. Z.C. Zhong , P.A. Dowben and D.J. Sellmyer, Center for Materials Research and Analysis and Department of Physics and Astronomy, University of Nebraska, Lincoln NE.

We have explored a new deposition technique called laser-induced solution deposition (LISD). This technique is both simple and efficient. Unlike gas phase deposition (e.g. CVD and PECVD), deposition from solution is compatible with thin film formation on thermally sensitive substrates while allowing for recovery of the unused metals. The results of LISD deposition indicate the formation of polycrystalline thin films of rare earth hexaborides such as gadolinium hexaboride and lanthanide hexaboride through the chemical photolysis of nido-decaborane and rare earth chloride in liquid solution is possible. Deposition were carried out on a variety of substrates including glass and silicon. The X-ray diffraction (XRD) and scanning electron microscopy (SEM) have been used to characterize the formed thin film materials. In addition, the possibility for applying these rare-earth boride thin films as cathode materials in plasma display technology will be discussed.
*) Supported by Dale Electronics and the National Science Foundation through grant OSR-92-55225

A6.93
DISLOCATIONS AND TWINNING IN MBE Al THIN FILMS GROWN ON SAPPHIRE. B.J. Inkson , Dept. of Materials, University of Oxford, Oxford, U.K.; Th. Wagner, Max-Planck-Institut fuer Metallforschung, Stuttgart, GERMANY.

Metal films on ceramic substrates are widely used for electronic applications. Al thin films have been grown on basal plane sapphire by MBE with no subsequent annealing. The microstructure of the films was examined by cross-sectional electron microscopy, and by surface studies using a focussed ion beam microscope (FIB).
Under the MBE conditions used, the Al grew with the orientation relationship <-110>Al// Al2O3 and {111}Al //(0001) Al2O3. The two variants of this relationship are observed, that is Al twins [1-10]Al and [-110]Al // Al2O3. In the examined as-grown samples, one twin variant was observed by FIB to have a higher area density than the second. The Al-Al twin boundaries predominantly lay parallel to the foil normal in the Al //[0001] Al2O3 zone. {1-21}Al twin interfaces were atomically flat, with a rigid body shear component in the foil normal direction causing deviation from mirror symmetry.
In addition to extensive twinning, dislocation loops were also observed in the TEM foils. These loops were mobile, migrating in-situ within the Al film under the influence of the electron beam. The Al-sapphire surface itself was observed to have a atomically rough interface by HREM.

SESSION A7: MECHANICAL PROPERTIES
Chairs: Eduard Arzt and Caroline A. Ross
Thursday Morning, December 3, 1998
Salon A/B (M)
8:00 AM *A7.1
MECHANISMS OF PLASTICITY IN POLYCRYSTALLINE THIN FILMS ON SUBSTRATES. W.D. Nix , Department of Materials Science and Engineering, Stanford University, Stanford, CA.

Mechanisms of plasticity in polycrystalline thin films are reviewed with particular reference to understanding the softening and hardening effects of grain boundaries in thin metal films on substrates. It is shown that diffusional deformation involving mass transport between the free surface of the film and the grain boundaries is constrained by kinetic processes at the film/substrate interface and that classical Coble or Herring-Nabarro creep relations do not apply unless the film/substrate is free to slide. For the case of no sliding at the film/substrate interface, diffusional deformation alone cannot relax the stresses completely at high temperatures, with the consequence that dislocation plasticity must be active when full relaxation is observed.
The hardening effects of grain boundaries are also explored and compared with the hardening processes that occur in single crystal films. ThompsonÌs mechanism of dislocation storage at grain boundaries is reviewed and compared with experiment. An attempt is made to extend ThompsonÌs model to account for the propagation of slip from one grain to another by focusing on the energy of the defect structure left at the grain boundary by the process of slip transmission. This approach to grain size hardening leads naturally to a recovery process for plastic deformation at elevated temperatures. These mechanisms will be explored and compared with experiment.

8:30 AM *A7.2
STIFFNESS, YIELD STRENGTH AND CREEP RATE OF FREE-STANDING METALLIC THIN FILMS AND MULTILAYERS. Frans Spaepen , Division of Engineering and Applied Sciences, Harvard University, Cambridge, MA.

Methods for tensile testing of free standing thin films to large strains are reviewed. Differences have been observed between the quasistatic moduli of thin films and the bulk stiffnesses; possible microstructural origins will be discussed. The yield stress of mulitlayers increases with decreasing individual layer thickness. The formal dependence and microscopic interpretation (Hall-Petch) will be presented. Power law creep has been observed, and was found to be considerably faster than creep in bulk at low stresses. Deviations from bulk behavior start to occur when the dislocation cell size becomes on the order of the film thickness. Comparisons will be made with the plastic behavior of free-standing and supported thin films.

9:00 AM A7.3
THE THICKNESS DEPENDENCE OF THE FLOW STRESS OF CAPPED AND UNCAPPED POLYCRYSTALLINE AG THIN FILMS. Mauro J. Kobrinsky and Carl V. Thompson, Massachusetts Institute of Technology, Dept of Materials Science and Engineering, Cambridge, MA.

The flow stress of capped and uncapped polycrystalline silver films on oxidized silicon wafers is reported as a function of Ag film thickness (in the range 0.2-1.2 $\mu$m) and temperature (in the range -50 to 500$^\circ$C). Capping layers, when present, were sputtered SiOx films. As expected, the flow stress was found to continuously increase with decreasing film thickness in capped films. However, in uncapped films, while the flow stress at low temperature (below 150$^\circ$C) was found to increase with decreasing film thickness in the film thickness range 0.5-1.2 $\mu$m, the flow stress at low temperature was found to decrease with decreasing film thickness in the thickness range 0.2-0.5 $\mu$m. The flow stress at low temperature increases with decreasing temperature in all cases. When uncapped films were cooled from 500$^\circ$C, we found two different inelastic regimes. In the high temperature regime (150-500$^\circ$C), the flow stress is independent of temperature and the Ag film thickness. In the low temperature regime (T < 150$^\circ$C), the flow stress is strongly dependent on temperature and film thickness. In uncapped films, the temperature at which the transition between regimes occurs, Tp, decreases with decreasing film thickness with an Arrhenius dependence characterized by an activation energy of 0.4 eV. These results suggest a role of surface diffusion in the higher temperature regime in uncapped films. Because the average grain size of the Ag films scales with the film thickness, diffusion distances decrease so that stress relaxation becomes more rapid with decreasing film thickness, leading to the observed decrease in the flow stress with decreasing film thickness in the thinnest uncapped films. Surface diffusion is suppressed in capped films, so that the flow stress continuously increases with decreasing film thickness.

9:15 AM A7.4
EFFECTS OF PROCESSING PARAMETERS ON MICROSTRUCTURE AND MECHANICAL PROPERTIES OF ELECTRODEPOSITED NICKEL. F. Ebrahimi , G. R. Bourne, M. S. Kelly, and T. E. Mathews, Materials Science and Engineering Dept., University of Florida, Gainesville, FL.

Recently, attention has been given to the electroforming of nickel, which has diverse applications from printing or embossing to compact disc manufacturing. In this study thin polycrystalline foils ($\sim$30 micrometer thick) have been produced by electrodeposition on polycrystalline copper substrates with a strong <100> texture. Nickel was deposited galvanostatically using a sulfumate solution. The copper substrate was removed after the deposition. The effects of pH, current density, and pulse plating on the microstructure and mechanical properties were evaluated using XRD, SEM, and tensile testing. It has been shown that the processing parameters affect the crystallite size, grain morphology, and the defect structure of the deposits. For example, inceasing the pH decreases the crystallite size without a modification of texture or grain morphology. The results of this study show that high quality (very low pore density), high strength (>1000 MPa) nanocrystalline (<100 nm) nickel foils can be produced by optimizing the processing parameters.

9:30 AM A7.5
MICROSCOPIC AND BULK BEHAVIOR OF THE ELASTIC MODULI IN PLASMA SPRAYED COATINGS. Thomas Gnupel-Herold , University of Maryland, Department of Materials and Nuclear Engineering, College Park, MD and National Institute of Standards and Technology, Center for Neutron Research, Gaithersburg, MD; Paul C. Brand, Henry J. Prask, National Institute of Standards and Technology, Center for Neutron Research, Gaithersburg, MD; Jiri Matejicek, State University of New York, Stony Brook, NY.

The elastic properties of Yttrium Stabilized Zirconia and Alumina plasma sprayed coatings are examined. Free standing pieces of sprayed deposits have been used to perform uniaxial load tests both normal and parallel to the deposit surface. In situ neutron diffraction under load has been used for the determination of the diffraction elastic constants (DEC) which probes directly the average elastic behavior on a microscopic scale. On the macroscopic scale, the bulk deformation behavior has been measured by examining the total specimen strain under load. Both deposits exhibit a strong anisotropy both in their microscopic and bulk behavior in the directions normal and parallel to the deposit surface. Although crystallographically random, these coatings possess a grain shape anisotropy that is caused by pancake shaped grains all having the same orientation. Based on recent results which relate the DEC and single crystal elastic constants, and allowing for the influence of the grain shape, the isotropic elastic constants have been calculated. Due to porosity, there are strong deviations of the bulk elastic constants compared to the microscopic ones so that the bulk moduli are only a fraction of the elastic constants that have been determined from the DEC. The influence of shape and arrangement of the pores on the bulk behavior is discussed.



10:15 AM A7.6
RESIDUAL STRESSES IN POLYCRYSTALLINE Cu/Cr MULTILAYERED THIN FILMS. A. Misra , H. Kung, T.E. Mitchell and M. Nastasi, Materials Science and Technology Division, Los Alamos National Laboratory, Los Alamos, NM.

Residual stresses in sputter deposited Cu/Cr multilayers, and Cu and Cr single layered polycrystalline thin films are evaluated by substrate curvature method. The stresses in the multilayers are found to be tensile and to increase in magnitude with increasing layer thickness (h) to a peak value of $\sim$1 GPa for h = 50 nm. For h > 50 nm, residual stress decreased with increasing h but remained tensile. Same trends are observed in single layered Cu and Cr thin films. While the maximum tensile stress in Cr films is $\sim$1.7 GPa, the maximum stress in Cu films is an order of magnitude lower. The residual stresses in multilayers are attributed primarily to the growth stresses in the Cr layers with secondary contributions from the interface stress. The contribution of Cu layer growth stresses to the total stress of the multilayers is insignificant. Substrate curvature measurements on bilayer films of different thicknesses are used to determine the interface stress. Transmission electron microscopy is used to relate the stress evolution to the microstructures. Cu films exhibit defects such as dislocations and twins which may serve to relax the growth stresses. Cr films exhibit columnar porosity independent of the film thickness. The generation of tensile stresses as a function of Cr film thickness is explained using a grain growth model. For h $\le$ 50 nm, the model predictions fit the experimental data but for h $\ge$ 50 nm, the model overestimates the residual stress. We have used a model for the yield stress of polycrystalline films proposed by Thompson (J.Mater.Res., vol.8, p.237, 1993) to show that the maximum value of the residual stress is set by the film yield strength and hence, the decrease in residual stress with increasing h for h $\ge$ 50 nm reflects a decrease in the film yield stress with increasing thickness. The effects of processing parameters on the microstructure and the corresponding residual stresses is also discussed.

10:30 AM A7.7
SUBSTRATE EFFECTS ON DETERMINATION OF INTERFACIAL FRACTURE PROPERTIES. N. R. Moody , D. Medlin, J. Schneider, Sandia National Laboratories, Livermore, CA; A. Strojny, W. W. Gerberich, University of Minnesota, Minneapolis, MN; A. Talin, Motorola, Tempe, AZ; D. Feiler, Rockwell International, Newport Beach, CA.

Thin tantalum nitride films are often used in microelectronic applications because of their long term stability and low thermal coefficients of resistance. However, they are high heat generators that when combined with a high structural defect content and high compressive residual stresses can alter properties over long service lives. This has motivated replacing aluminum oxide substrates currently in use with aluminum nitride. However, recent results characterizing interface structure and fracture properties of these films on aluminum oxide and aluminum nitride substrates lead to contradictory conclusions. We have therefore combined continuous nanoscratch testing with a multi-layer aluminum oxide and aluminum nitride single substrate system to eliminate sample-to-sample variations. The results show that the difference between fracture property results on individual substrates arises primarily from differences in substrate properties. In this presentation, the results will be used to show how substrate properties and interface structure affect measurement and analysis of film fracture.
This work supported by U.S. DOE Contract DE-AC04-94AL85000.

10:45 AM A7.8
INTERCONNECT LINES ON SILICON WAFERS: THERMOMECHANICAL ANALYSIS AND EXPERIMENTS. Adam Wikstrom , Peter Gudmundson, Dept of Solid Mechanics, Royal Institute of Technology, Stockholm, SWEDEN; Subra Suresh, Dept of Materials Science and Engineering, MIT, Cambridge, MA.

Stresses and curvatures arising from patterned thin lines on initially flat isotropic substrates are analyzed. Approximate analytical expressions are derived for volume-averaged stresses as well as curvatures along and normal to the lines, for any thickness, width and spacing of the lines. The predictions of the analysis are shown to compare favorably with finite element simulations of stresses and curvatures for Si substrates with Al, Cu or SiO2 lines. The predictions also match prior experimental measurements of curvatures along and normal to patterned SiO2 lines on Si wafers, and further capture the general experimental trends reported previously for curvature evolutions in Si wafers with Al lines. The model presented here thus provides a very convenient and simple analytical tool for extracting stresses in thin lines on substrates from a knowledge of experimentally determined film stress, thereby circumventing the need for detailed computations for a wide range of unpassivated line geometries of interest in microelectronic applications. This constitutes a new extension of the well known Stoney formula to thin lines on substrates. Possible extensions to analyze the onset of plastic deformation are also explored.

11:00 AM A7.9
EXPERIMENTS AND ANALYSIS OF PLASTIC DEFORMATION OF ALUMINUM LINES ON SILICON SUBSTRATES. A. Gouldstone , V.T. Srikar, S. Suresh and C.V. Thompson, Massachusetts Institute of Technology, Dept of Materials Science and Engineering, Cambridge, MA.

The inelastic deformation of periodic Al thin lines on Si substrates has been investigated by recourse to theory, computations and experiments. The onset and progression of plastic yielding are examined as a function of the line thickness, width and spacing, and are compared to those of continuous aluminum films of comparable thicknesses on Si substrates. By employing wafer curvature and nanoindentation experiments along with finite element simulations and theoretical analysis of curvatures and stresses, the dependence of line yield strength on line geometry has been identified. A theoretical model which facilitates direct interpretations of line yield strength from routine wafer curvature measurements is suggested. The predicted volume-averaged stresses are compared with x-ray measurements. Possible extensions of the present results to passivated Al lines on Si substrates are also considered.

11:15 AM A7.10
ON THE NATURE OF GRAIN BOUNDARIES IN NANOCRYSTALLINE DIAMOND. P. Keblinski a,b, D. Wolfa and S.R Phillpota and H. Gleiterb, aMaterials Science Division, Argonne National Laboratory, Argonne, IL, bForschungszentrum Karlsruhe, Karlsruhe, GERMANY.

Recent molecular dynamics simulations of nanocrystalline silicon microstructures have shown that, provided the grain orientations are random, all the grain boundaries are incommensurate and have a relatively high energy. Based on these insights, we have used Monte Carlo simulations to determine the atomic structures of a few representative large-unit-cell grain boundaries thought to dominate the behavior of nanocrystalline diamond. In these highly disordered grain boundaries up to 80$\%$ of the C atoms exhibit local sp2 bonding. However, because the three-coordinated C atoms are poorly connected amongst each-other, graphite-like electrical conduction through the grain boundaries is unlikely. Surprisingly, based on their fracture energies, the high-energy, large-unit-cell boundaries are more stable against brittle decohesion into free surfaces than low-energy ones and perhaps even the perfect crystal. The presence of only high-energy GBs thus appears to be the main reason for the excellent mechanical performance of nanocrystalline diamond films.
$\dagger$ This work was supported by the U.S. Department of Energy BES-Materials Science under Contract No. W-31-109-Eng-38. P. K. gratefully acknowledges support from the Alexander von Humboldt Foundation.

11:30 AM A7.11
STRESS ANISOTROPY, IN-PLANE TEXTURING AND THEIR THICKNESS DEPENDENCE IN MAGNETRON SPUTTERED Cr FILMS. Z.B. Zhao , Z.U. Rek*, S.M. Yalisove and J.C. Bilello, Department of Materials Science & Engineering, University of Michigan, Ann Arbor, MI. *Stanford Synchrotron Radiation Laboratory, Stanford University, CA.

Cr films deposited onto the moving substrates have been investigated by means of synchrotron grazing incidence x-ray scattering (GIXS) and double crystal diffraction topography (DCDT). For films at certain thicknesses, the lattice curvatures of the (100) Si substrates have been observed to be significantly non-spherical. This indicates that the biaxial in-plane stresses are highly anisotropic in these Cr films deposited under the given conditions. The anisotropic in-plane stresses in Cr films are quantified using a modified Stoney equation. The maximum in-plane stress (tensile) is always in the direction perpendicular to the direction of motion of the substrates. In addition to the (111) out-of-plane texture for these thin films, the remarkable in-plane crystallographic anisotropy (texturing) has also been revealed by means of the azimuthal (f) x-ray scans at a grazing incidence angle. The f scans have also established the correlation between the in-plane texturing directions and the deposition geometry. The [110] and [200] crystallographic orientations of the Cr films align preferentially parallel and perpendicular to the direction of motion of the substrates, respectively. Furthermore, such in-plane texturing appears to have connections with the stress anisotropy: the directions of the principal stresses (i.e. maximum and minimum) coincide with the [110] and [200] preferred orientations. This viewpoint is further supported by the observations of their similar thickness dependence.

11:45 AM A7.12
EFFECT OF GRAIN ORIENTATION AND GRAIN-BOUNDARY MISORIENTATION ON ELECTROMIGRATION FAILURE IN SUB-MICROMETER ALUMINUM INTERCONNECTS. X. Chu , C.L. Bauer, W.W. Mullins, Department of Materials Science & Engineering, Carnegie Mellon University, Pittsburgh, PA; J.A. Prybyla, S.K. Theiss, M.A. Marcus, Bell Laboratories, Lucent Technologies, Murray Hill, NJ.

Although void formation, growth and movement represent important electromigration-induced failure modes in fine line interconnects, corresponding mechanisms for nucleation and mass transport, in general, have not been identified. Electromigration-induced (open-circuit) failures for <111> textured sub-micrometer (bamboo) Al interconnects were recorded as a function of failure time at 215$^\circ$C, line width (300, 500 and 800 nm) and Cu concentration (0, 0.5 and 0.9 wt.%). Subsequently, (fatal) void shape and surrounding grain structure were observed by focused ion beam microscopy, whereas grain orientation was measured both sequentially along the interconnect length (several hundred grains) and locally by electron back-scattered diffraction. Measured orientations were then processed in order to obtain global and local (adjacent-to-void) distributions of grain orientation and grain-boundary misorientation. These distributions are presented, compared with existing models, and analyzed further in order to identify likely electromigration-induced failure mechanisms in fine-line interconnects. Research supported, in part, by Lucent Technologies and the National Science Foundation under Award DMR-9319896.

SESSION A8: MAGNETIC PROPERTIES
Chairs: Theodore I. Kamins and Frans Spaepen
Thursday Afternoon, December 3, 1998
Salon A/B (M)
1:30 PM *A8.1
IN-PLANE MAGNETIC ANISOTROPY IN POLYCRYSTALLINE COBALT ALLOY FILMS. C.A. Ross , D.J. Twisselmann, Department of Materials Science and Engineering, M. Farhoud, Henry I. Smith, Department of Electrical Engineering and Computer Science, Massachusetts Institute of Technology, Cambridge MA.

Sputtered cobalt-alloy polycrystalline thin films, on a chromium underlayer, are commonly used to store data in magnetic hard disks. The hard-disk substrate is often roughened by mechanical abrasion to form shallow circumferential scratches, prior to sputtering the Cr/Co-alloy bilayer. It is found that the in-plane magnetic properties of the cobalt-alloy film depend on the substrate topography. The coercivity, remanence, squareness and S* are higher parallel to the scratches compared to their values perpendicular to the scratches, and this affects the recording performance of the disk. The origin of this topographically-induced in-plane anisotropy has been debated. It could be a result of in-plane stress differences, of the preferential alignment of the cobalt c-axes parallel to the scratches, or to differences in magnetic interactions between the grains in different in-plane directions. We have investigated in-plane anisotropy by making oxidised silicon substrates with controlled, periodic grooves using interferometric lithography. Grooves with periods of 100 - 300 nm and depths in the range of 10 - 50 nm have been made over areas of several square cm. The profile of the grooves can be varied from square-wave to sinusoidal by appropriate etching. Cr/CoCrPt films were deposited on the substrates by both d.c.- and r.f.-magnetron sputtering, and the in-plane magnetic properties were measured using vibrating sample magnetometry. Deposition conditions were established under which films grown on silica have similar microstructure and preferred Co (11.0) orientation compared to those grown on standard NiP hard disk substrates. Films deposited on grooved silica show an increase in anisotropy with amplitude of the grooves. The influence of groove period, amplitude, and profile on the magnitude of the magnetic anisotropy will be described, and related to the models for the origin of topographically-induced anisotropy.

2:00 PM *A8.2
INFLUENCE OF THE MICROSTRUCTURE ON THE MAGNETIC PROPERTIES OF GIANT MAGNETOSTRICTIVE TbDyFe FILMS. Michael Hirscher , Bernhard Winzek, Saskia F. Fischer, Helmut Kronmüller, Max-Planck-Institut für Metallforschung Stuttgart, GERMANY.

Amorphous TbDyFe films show excellent soft-magnetic properties combined with giant magnetostriction. However, for technical applications the major drawback is the low Curie temperature which is typically around 400 K. To increase the Curie temperature and simultaneously achieve good soft-magnetic properties as well as giant magnetostriction the preparation of crystalline films with nanometer-sized grains is necessary. Terfenol-D-like films with additives of Zr, Nb, Mo were prepared by ion beam sputtering and different heat treatments were applied to investigate the crystallization behaviour. These additives enhance the nucleation of REFe2 grains and hinder the formation of REFe3 which is assumed to be responsible for high coercivity values. Furthermore, nanometer-scaled multilayers with Nb interlayers were prepared. This multilayer structure is suitable to inhibit grain growth and hence further decreases the average grain size. The resulting nanocrystalline microstructure leads to small coercive fields and high Curie temperatures. In addition, protective layers were investigated in order to avoid oxidation during the heat treatments for crystallization.
The results will be discussed with respect to possible applications in micro system technology.

2:30 PM A8.3
MICROSTRUCTURE AND MAGNETIC PROPERTIES OF ANNEALED CoPt/C FILMS PREPARED BY LASER ABLATION. I. Panagiotopoulos , S. Stavroyiannis, D. Niarchos, IMS, NCSR ``Demokritos'', Ag. Paraskevi Attikis, GREECE; J. Christodoulides and G.C. Hadjipanayis, Department of Physics and Astronomy, University of Delaware, Newark, DE.

Bulk Co-Pt alloys around the equiatomic composition have a disordered fcc structure at high temperatures which transforms to an ordered face centered tertagonal (fct) upon annealing below 800$^\circ$C. The high anisotropy of the tetragonal phase makes these materials attractive for high density magnetic recording media. We have recently studied the formation of nanoscale isolated CoPt phases in annealed CoPt/C pseudomultilayers obtained by laser ablation From CoPt and C targets using an KrF excimer laser with fluence of 4 J/cm2. The as-made films have been found to have a disordered fcc structure and are magnetically soft. Upon annealing at temperatures in the range of 500-700$^\circ$C a two phase microstructure consisting of CoPt particles in a C matrix is obtained. The type of Co-Pt phases formed depends on the amount of Pt and the microstructure morphology on the C content in the sample. For films with Pt content below 40%, the microstructure consists of fcc CoPt nanoparticles embedded in a C matrix and the magnetic properties are still soft. For higher Pt content samples the anisotropic fct phase is formed leading to an increase in coercivity to several kOe. However, the formation of this phase is rather slow as compared to CoPt/Ag films with similar composition. By varying the annealing conditions, microstructures with dfferent particle size have been obtained and will be correlated with the magnetic properties of the sample.

SESSION A9: ELECTRICAL AND OPTICAL PROPERTIES
Chairs: Theodore I. Kamins and Frans Spaepen
Thursday Afternoon, December 3, 1998
Salon A/B (M)
3:00 PM A9.1
ELECTRICAL PROPERTIES OF GRANULAR THIN FILMS FORMED BY METAL IMPLANTATION INTO SILICON. C.P. Li, K.Y. Lai, Qicai Peng, W.Y. Cheung, S.P. Wong , Dept of Electronic Engineering, The Chinese University of Hong Kong, Shatin, N.T., Hong Kong, CHINA.

Granular thin films consist of metal silicide nanocrystals embedded in silicon substrates have been prepared by high dose Co or Ti ion implantation using a metal vapor vacuum arc (MEVVA) ion source. The MEVVA implantation was performed at extraction voltages ranging from 30 to 70 kV to doses ranging from 5x1016 to 4x1017 cm-2. Characterization of the implanted samples was performed using x-ray diffraction, Rutherford backscattering spectrometry, transmission electron microscopy and electrical measurements. It is found that nanocrystals of CoSi2 or TiSi2 with various sizes dependent on the implantation conditions have been formed in the as-implanted samples. For samples prepared under appropriate conditions, the electrical resistance was found to exhibit a nearly-temperature-independent (NTI) behavior from 20K to 300K. Such an NTI behavior is believed to be a common phenomenon for metallic nanocrystals embedded in a semiconducting matrix. The detailed temperature dependence of the electrical properties of these implanted granular thin films will be presented and discussed in conjunction with the results of the other characterization techniques. This work is supported in part by the Research Grants Council of Hong Kong (Ref. No.: CUHK 374/96E). One of us (QP) is supported by a Postdoctoral Fellowship of CUHK.

3:15 PM A9.2
COMPOSITE CVD DIAMOND FILMS WITH UNUSUAL ELECTRICAL PROPERTIES. Maria L. Terranova , Susanna Piccirillo, Vito Sessa, Dip. Scienze e Tecnologie Chimiche, Univ. Tor Vergata, Roma, ITALY; Marco Rossi, Dip. Energetica, Univ. La Sapienza, Roma, ITALY; Giovanni Micocci, Antonio Serra, Antonio Tepore, Dip. Scienza dei Materiali, Lecce, ITALY.

A new technique utilizing a powder-flowing apparatus coupled with a CVD reactor is being used to produce a novel class of diamond-based composite materials. This methodology, which allows to incorporate a variety of elements and compounds in form of aggregates , clusters or dispersions of nanosized grains inside a polycrystalline diamond matrix, has been used in the present study for depositing composite Nd-containing diamond films on Si(100) plates. To produce the mixed phases, flowing Ar streams containing either Nd-oxide or Nd-acetylacetonate (fine-grained powders) were mixed in the CVD chamber with CH4/H2 diamond feeding mixtures. Hall effect measurements performed by the van der Pauw method on deposits containing 5-10% Nd evidenced very surprising electrical transport properties. The p-type conductivity measured at room temperature (of the order of 220 Ohm-1 cm-1) is that of a conducting material, the hole density is as high as 10exp18 cm-3, whereas the Hall mobility is about 1500 cm2/V s , value typical of diamond single crystal. Overall , the alteration of the electronic properties of the host diamond matrix by randomly dispersed conducting domains gives rise to an unexpected electrical behaviour of the composite system, which exhibits a doped-semiconducting behaviour, with very high conductivity values.

3:30 PM A9.3
HIGH TEMPERATURE ELECTRICAL PROPERTIES OF REACTIVELY SPUTTERED INDIUM TIN OXIDE. Otto J. Gregory , Joseph M. Bienkiewicz, Brian M. Erwin, Univ. of Rhode Island, Sensor and Surface Technology Partnership, Chemical Engineering Dept., Kingston, RI.

The high temperature electrical properties of oxygen deficient indium-tin oxide (ITO) thin films prepared by rf reactive sputtering were investigated. These films were prepared in various argon:oxygen mixtures from a high density ITO target (90 wt% indium oxide and 10 wt% tin oxide). The resulting ITO films were transparent in the visible spectrum, tested n type by hot probe, and exhibited room temperature resistivities in the range 1x10-2 to 2x102 ohm cm after annealing in nitrogen. Mobilities and charge carrier concentrations in the as-deposited and annealed ITO thin films were determined from Hall measurements. In addition, the films were characterized by glancing angle X-ray diffraction, UV-Vis spectroscopy and XPS to determine crystallinity and composition. The piezoresistive response and electrical resistivity of the ITO films at elevated temperature were dependent on the oxygen content in the plasma. In particular, the ITO films prepared in high oxygen content plasmas produced a large, negative piezoresistive response, with little or no hysteresis when strained in either tension or compression (up to 700 $\mu$in/in) at temperatures up to 1250$^\circ$C. The temperature coefficient of resistance (TCR) of the nitrogen annealed ITO films was also dependent on the oxygen content in the plasma. The electrical behavior of films prepared in low oxygen partial pressures showed a relativley large, negative TCR (-1560 ppm/$^\circ$C) over the temperature range 150$^\circ$C<T<1100 $^\circ$C, whereas films produced in high oxygen partial pressures exhibited very different behavior; i.e. a relativley small, negative TCR (-430 ppm/$^\circ$C) in the temperature range 100$^\circ$C<T<800$^\circ$C and a relatively large TCR (-1760 ppm/$^\circ$C) in the temperature range 800<T<1250$^\circ$C. This implies that two different thermally activated charge carriers were responsible for the observed electrical behavior. The relationship between processing parameters, electrical properties, and piezoresistive properties of these ITO films is reviewed and the prospects of using these films as high temperature strain sensors is discussed.

3:45 PM A9.4
OF LARGE GRAIN POLYCRYSTALLINE LEAD IODIDE FILMS FOR DIGITAL IMAGING APPLICATIONS. K.C. Mandal , L.P. Moy, L. Cirignano, K.S. Shah, M.S. Squillante, Radiation Monitoring Devices, Inc., Watertown, MA; O. Savadogo, Department of Materials Engineering, Ecole Polytechnique, Montreal, CANADA; R.N. Bhattacharya, National Renewable Energy Laboratory, Golden, CO.

In this paper, we report our recent research in developing large grain polycrystalline PbI2 (Eg $\sim$ 2.3 eV at 300 K) films for digital medical imaging applications. The grown films have shown high promise for this application due to their high dark resistivity (5 x10^12

\begin{displaymath}
\cdot$cm), reasonably good charge transport properties
($\mu\end{displaymath}

_e= 8 x 10-7 cm2/V), low cost and easy scale-up. Lead iodide films (up to 7.5 x5 cm^2$ area)
have been deposited and characterized by scanning electron
microscopy,...
...AL
TIPS BY IN SITU I-V MEASUREMENT. D. H. Kang , G. J.Wojak,
V.V. Zhirnov^{*}$, D.E. Jonsen, J.J. Hren and J.J. Cuomo Department of Materials Science and Engineering, North Carolina State University, Raleigh, NC; *Institute of Crystallography, Moscow, RUSSIA.

AlN has excellent properties for use in Field Emission Displays such as good thermal conductivity and high chemical stability. Previous study show AlN can be used as a coating material on metal and silicon tips. In general, it is known that electron emission characteristics of wide band gap materials depend on the crystal quality, structure and thickness of deposited materials. However, few studies have examined the relationship between these deposition parameters and I-V characteristics. In this study, AlN was deposited on metal tips under a variety of deposition temperatures and gas mixture ratios. Thickness effects of AlN on metal tips were observed by measuring I-V characteristics immediately after every 20 sec AlN deposition. All I-V data was obtained by measurement in situ, which was set up inside of a typical magnetron sputtering chamber at pressures of $\sim$10-7 Torr. TEM is used to examine crystal structure and thickness of AlN on the metal tips. Preliminary results show that a critical thickness exists, at which the emission characteristics of the coating are optimized.

4:15 PM A9.6
INFLUENCE OF MICROSTRUCTURE ON THE DIELECTRIC PROPERTIES OF HYDROTHERMALLY DERIVED BaTiO3/POLYMER THIN FILMS. David E. Collins , Elliott B. Slamovich, Purdue University, School of Materials Engineering, West Lafayette, IN.

Nanocomposite BaTiO3/polymer films (<1$\mu$m in thickness) for dielectric applications were prepared below 100$^\circ$C by hydrothermal processing. This method involves the formation of crystalline materials from metallo-organic precursors, in this case a titanium alkoxide, under strongly alkaline conditions to form nanocrystalline particles. Films were prepared by dissolving the polymer and a titanium metallo-organic precursor in a mutual solvent to facilitate spin casting. Subsequent hydrothermal treatment (<100$^\circ$C) of precursor films in alkaline solutions of Ba(OH)2 or BaCl2 produced BaTiO3 within the polymer matrix. Films fabricated in this manner consisted of a continuous BaTiO3 layer on the surface and a subsurface composite layer of dispersed BaTiO3 particles. These films were investigated to determine the influence of processing conditions and precursor composition on the ensuant composite microstructure and dielectric properties.

4:30 PM A9.7
GROWTH AND CHARACTERIZATION OF ZnGa2O4: Mn THIN FILM PHOSPHORS USING PULSED LASER ABLATION. Yong Eui Lee *, David P. Norton, J.D. Budai, S.J. Pennycook, C. Rouleau, and G.E. Jellison, Jr., *ORISE Postdoctoral Research Program, Solid State Division, Oak Ridge National Laboratory, Oak Ridge, TN.

Polycrystalline ZnGa2O4:Mn thin films have received considerable attention as green light emitting phosphors for use in field emission displays and thin-film electroluminescent devices because of their excellent chemical stability and good luminescence characteristics. Unfortunately, as-deposited ZnGa2O4:Mn thin films often do not exhibit good luminescent properties, requiring post-annealing at 1,000$^\circ$C in order to achieve high performance luminescent characteristics. Correlating the structural and optical properties of these materials is essential in further improving these materials. We will report on the synthesis and properties of ZnGa2O4:Mn thin film phosphors deposited on various substrates using pulsed laser deposition. The focus of this work is to investigate the relationship between processing conditions, structure, and luminescence in ZnGa2O4:Mn films. The structural and optical properties of as-deposited luminescent films will be described, comparing epitaxial single crystal and polycrystalline films, in an attempt to delineate the role of specific defects detemining photo- and electroluminescent properties. The effect of post-annealing on structural and optical properties of the films will be also discussed.
This research was sponsored by the Oak Ridge National Laboratory, managed by Lockheed Martin Energy Research Corp., for the U.S. Department of Energy, under contract DE-AC05-96OR22464. newline ``The submitted manuscript has been authored by a contractor of the U.S. Government under contract DE-AC05-96OR22464. Accordingly, the U.S. Government retains a nonexclusive, royalty-free license to publish or reproduce the published form of this contribution, or allow others to do so, for U.S. Government purposes.''

4:45 PM A9.8
TEM MICROSTRUCTURAL STUDIES ON YHx FILM. M.A. Verheijen , Philips Centre for Manufacturing Technology, Eindhoven, THE NETHERLANDS; F.J.A. den Broeder, P.A. Duine, Philips Research Laboratories, Eindhoven, THE NETHERLANDS.

Thin films of yttrium and certain rare-earth (RE) metal hydrides can reversibly be switched between a metallic opaque and a transparant semiconducting state when the hydrogen concentration is varied in the film [1]. This concentration can be controlled by varying the pressure of the surrounding hydrogen gas. Upon loading an Y film with hydrogen two phase transitions occur:

 

The first step is unidirectional, the second step is a reversible transition between the opaque and transparant states. The crystal structure of the YHx film changes from h.c.p. via f.c.c. towards a distorted h.c.p. structure. In this paper we present studies on the microstructure of the different YHx phases and the mechanism of the phase transitions.
The sample geometry of the yttrium hydride films studied consist of a 150 - 300 nm thick Y film, deposited on a substrate by evaporation or sputtering. Normally, a cap layer of palladium (5 to 10 nm thick) is used to protect the hydride film against oxidation.
In order to study the hydrogen diffusion and the consecutive phase transitions within one sample, on our samples only a small strip of Pd has been deposited, thereby localising the area in which the hydrogen can enter the Y film. As a result a lateral H concentration profile is obtained and thus a lateral sequence of phases [2].
Cross-sectional as well as plan-view samples show that the first phase transition involves a total recrystallisation of the Y layer from fine to coarse crystalline. Growth of the YH2 phase proceeds by stimulated nucleation and results in a layer with a very small spread in orientation of the individual crystals. In the transition towards the YH3 phase the YH2 microstructure is conserved. The effects of deposition conditions on the microstructure of the Y film and the role of oxidation of the Y will be treated in more detail.
[1] J.N. Huiberts et al., Nature 380 (1996) 231.
[2] F.J.A. den Broeder et al., Nature (1998), to be published.