Symposium Organizers
Zvonimir Z. Bandic Hitachi Research Center
Michael Rooks IBM T. J. Watson Research Center
Ruediger Berger Max Planck Institute for Polymer Research
Takashi Ando Hitachi Research Lab #431
O1: Patterned Magnetic Media
Session Chairs
Monday PM, November 27, 2006
Room 310 (Hynes)
9:30 AM - **O1.1
The First 12 Years of Patterned Media
Stephen Chou 1
1 Electrical Eng, Princeton University, Princeton, New Jersey, United States
Show AbstractSince the proposal of “quantum magnetic disks” (now termed “patterned media”) in 1994 [1], the field has been gone through different phases. Now this new paradigm for magnetic data storage is being pursued aggressively by entire industrial as a key path to increase data storage density. Yet, initially, quantum disks were poorly understood and were considered as academic interest only, until a low-cost, high-throughput, large-area sub-10 nm patterning technology, nanoimprint lithography (NIL), became available [2]. The talk will present author’s bird-eye view of the patterned media developments in the past 12 years, as well as its new directions and potentials in the future. The talk will also address advances in NIL, which has demonstrated 6 nm half-pitch [3] and 400G dots/in2 and its application in manufacturing of patterned media.[1] S. Y. Chou, M. S. Wei, P. R. Krauss, P. B. Fischer, J. Appl. Phys. 76 (10), 6673 (1994).[2] S. Y. Chou, P. R. Krauss, and P. J. Renstrom, Appl. Phys. Lett, 67 (21), 3114 (1995) and Science, 272, 85 (1996)[3] Austin MD, Zhang W, Ge HX, Wasserman D, Lyon SA, Chou SY, Nanotechnology 16 (8): 1058-1061 AUG 2005.
10:00 AM - **O1.2
Future Trends in Magnetic Recording Media.
Dieter Weller 1
1 Media Research Center, Seagate Technology, Fremont, California, United States
Show Abstract10:30 AM - O1.3
Novel Methods to Reduce Pattern Size and Pitch for Data Storage Using Electron Beam Writing.
Zhou Lu 1 , Alexander Cartwright 1
1 Electrical Engineering, University at Buffalo, Buffalo, New York, United States
Show Abstract Pattern size and pitch are two major factors that directly affect the capacity of a data storage unit. As a technique that can be used for direct writing or molding in the nanometer scale, electron beam lithography has been expected to be one of the best candidates to fabricate ultra-fine and ultra-compact patterns for the next generation of data storage media. In the talk, we present novel methods which are used to reduce the pattern size and pitch written by electron beam on positive tone resist Poly(methylmethacrylate) (PMMA).Specifically, the current work uses a very fine writing dose which is much lower than the critical dose of the PMMA. The distance between the adjacent writing spots (which correspond to Gaussian functions) is finely adjusted till the partially overlapping Gaussian functions form a contour with periodic dose peaks higher than the critical dose, which results in a periodic exposure of the PMMA. This writing strategy making use of fine dose Gaussian function overlapping results in patterns with smaller size and pitch compared to the conventional method that use writing dose higher than the critical dose. Additionally, we use ultrasonic development in combination with cold development to greatly increase the resist contrast, which further defines smaller pattern size, and enables smaller pattern pitch, as well as brings higher pattern uniformity and reproducibility. Using a conventional beam voltage of 15kV, with the methods above, we achieve ultra-fine and high density patterns with about 10nm in size and 40nm in pitch, which corresponds to a storage capacity near the range of trillion bits/inch2. In comparison, using dose (slightly higher than the critical dose) and normal development strategy (resist developed at room temperature, without ultrasonic agitation), we can only obtain patterns with size about 20-30nm and pitch about 100nm.
10:45 AM - O1.4
Hierarchical Magnetic Nanodot Array for Multiple States Storage Device.
Qijun Xiao 1 , Robert Krotkov 1 , Thomas Russell 2 , Mark Tuominen 1
1 Physics, Umass, Amherst, Amherst, Massachusetts, United States, 2 Polymer Science and Engineering, Umass, Amherst, Amherst, Massachusetts, United States
Show Abstract11:30 AM - **O1.5
Patterned Media Technology: How Nanopatterning Will Change Magnetic Recording Media and Hard Disk Drives.
Thomas Albrecht 1 , Z. Bandic 1 , M. Best 1 , E. Dobisz 1 , D. Kercher 1 , T. Thomson 1 , Tsai-Wei Wu 1 , H. Yang 1
1 San Jose Research Center, Hitachi Global Storage Technologies, San Jose, California, United States
Show AbstractAs the superparamagnetic effect continues to make scaling of conventional magnetic recording technology to higher densities more difficult, alternative technologies such as thermally assisted recording (TAR) and patterned media (PM) are being considered as likely routes to terabit per square inch density and beyond. PM solves the thermal stability problem by moving away from the conventional concept of using multiple independent random magnetic grains per bitcell and instead uses a single domain pre-defined magnetic island per bitcell, the island having larger volume than the individual grains in conventional recording media. Creating a workable data recording system based on PM presents multiple challenges, not the least of which is cost-effective high volume fabrication of disk media with ~1012 pre-patterned magnetic islands per surface. The size of these islands (~25 nm diameter and ~35 nm periodicity in 2 dimensions) is generally beyond the capabilities of conventional optical lithography. Advanced e-beam lithography may be used to create a master pattern for PM disks, and nanoimprint lithography shows promise as a practical and low-cost method to replicate e-beam generated patterns over large numbers of disks. Since nanoimprint lithography is itself an emerging technology, it presents its own set of challenges, including resist materials selection, control of resist flow during printing, resist curing methods, mold release strategy, and pattern transfer issues. Other media fabrication challenges include etching of nm-scale features into the surface of disk substrates, planarization of media, and metrology. Integration of PM into a disk drive recording system also creates challenges in head-disk interface, track-following servo, and signal processing. While PM alone can significantly extend recording density, even higher density can be achieved by combining PM and TAR, creating a new set of technical hurdles to overcome in the future.
12:00 PM - O1.6
Annealing Study of Co/Pd Magnetic Multilayers for Applications in Bit-Patterned Magnetic Recording Media
Chunsheng Ee 1 , James Rantschler 1 , Shishan Zhang 1 , Darren Smith 2 , Sakhrat Khizroev 2 , Dieter Weller 3 , Dmitri Litvinov 1 4
1 Electrical and Computer Engineering, University of Houston, Houston, Texas, United States, 2 Electrical and Computer Engineering, Florida International University, Miami, Florida, United States, 3 , Seagate Technology, Fremont, California, United States, 4 Chemical & Biomolecular Engineering, University of Houston, Houston, Texas, United States
Show AbstractHigh anisotropy magnetic multilayer thin films such as (Co/Pd)n and (Co/Pt)n have been studied extensively as promising recording layer materials for applications in magneto-optical and perpendicular magnetic recording. These materials are characterized by very large values of magnetic anisotropy resulting from the hybridization of 3d electrons in Co and 4d electrons in Pd at the Co/Pd interfaces and are suitable for ultra-high density recording application beyond the superparamagnetic limit of conventional CoCr alloys. Magnetic multilayer properties are strongly affected by the thicknesses of Co and Pd layers in the bi-layer stack, which can be used as an efficient pathway to achieve material with desirable properties.Recently, the magnetic multilayers have been considered for applications in patterned medium recording, the technology with a promise to advance magnetic recording beyond the areal bit densities achievable with conventional magnetic recording schemes. This work presents an annealing study of Co/Pd magnetic multilayers developed for bit-patterned medium recording applications. The effects of microstructure modifications resulted from multilayer annealing are correlated to the change in magnetic properties. Several samples of Co/Pd magnetic multilayers with qualitatively distinct magnetic properties, achieved by varying Co and Pd layer thicknesses, were deposited by magnetron sputtering. Evolution of the microstructure and magnetic properties under different annealing temperatures was studied. Magnetic properties including M-H loops, DCD and IRM curves, and micromagnetic properties were evaluated using VSM, MOKE, and MFM. Surface morphology and microstructure were characterized using AFM, SEM, and X-ray diffraction. Hybridization of the d-shells was evaluated using XPS.It has been found that for lower annealing temperatures (<200C), the coercivity, Hc, and nucleation field, Hn, increased monotonically with the annealing time. However, for higher annealing temperatures, the initial increase in Hc and Hn was followed by the decrease of these parameters. This transition results in the change of the magnetization reversal modes from a two-stage reversal by domain nucleation and domain wall motion to a single stage reversal by magnetization rotation. XRD showed improved texture before the transition. AFM and SEM imaging showed increased surface roughness - the indication grain growth. XPS spectra reveal a shift in the valence band indicating the alloying of Co and Pd layers. The MFM images of a AC demagnetized multilayers demonstrate a transition from long-range ordered stripe domain patterns to the short range ordered cluster-like domain patterns. The transition from primarily exchange interaction to dipolar-like interaction was observed from DCD and IRM curves.Detailed evaluation of the magnetic properties of the patterned Co/Pd multilayers including the mechanisms of magnetization reversal will be presented as well.
12:15 PM - O1.7
Development of Block Co-Polymers as Self-Assembling Templates for Patterned Media
Martin Bakker 1 2 , Warke Vishal 1 2 , David Nikles 1 2 , Micheal Curry 1 3 , Kunlun Hong 4 , Jimmy Mays 4 , Phillip Britt 4
1 Center for Materials for Information Technology, The University of Alabama, Tuscaloosa, Alabama, United States, 2 Department of Chemistry, The University of Alabama, Tuscaloosa, Alabama, United States, 3 Physical Sciences, The University of West Alabama, Livingston, Alabama, United States, 4 Center for Nanophase Materials Science, Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States
Show AbstractBlock Co-polymers that self-organize are of interest as templates for patterned media, as they potentially provide a low cost fabrication route. Poly(styrene)-Poly(methylmethacrylate) block co-polymers (PS-b-PMMA) of appropriate block length and PS to PMMA ratio self-assemble into a 2-D hexagonal phase in which the PS majority phase is continuous and surrounds cylinders of the minority, PMMA phase. For application of this phase to patterned media it is necessary that the cylinders of the minority phase be oriented perpendicular to the substrate surface. This can be achieved by a number of methods, including appropriate choice of substrate and use of a random co-polymer underlayer We report here that Indium Tin oxide surfaces are also capable of inducing a perpendicular orientation in block co-polymers, likely due to the effect of surface roughness of the substrate. By use of an acetic acid wash the minority PMMA component can be induced to rearrange, giving rise to pores perpendicular to the substrate. By using a conducting substrate such as ITO, electrodeposition can be carried out into the open pores to produce arrays of magnetic nanopillars of 18 nm diameter.
12:30 PM - O1.8
Self-assembly of L10 FePt Nanocrytals for Patterned Media
Jiao-Ming Qiu 1 , Byeong-Su Kim 2 , T. Andrew Taton 2 , Jian-Ping Wang 1
1 The Center for Micromagnetics and Information Technologies (MINT) and Department of Electrical and Computer Engineering, University of Minnesota, Minneapolis, Minnesota, United States, 2 Department of Chemistry, University of Minnesota, Minneapolis, Minnesota, United States
Show Abstract12:45 PM - O1.9
Patterned Media Based on CoPt/Ni Composite Nanowire Array.
Andrew Gapin 1 , Xiang-Rong Ye 1 , Li-Han Chen 1 , Sungho Jin 1
1 , University of California San Diego, La Jolla, California, United States
Show AbstractA convenient fabrication of patterned media with discrete magnetic elements and high crystal anisotropy is highly desirable for future ultra-high-density magnetic recording media with terabit/in2 level density. We have fabricated an array of CoPt/Ni composite nanowires using anodized aluminum oxide (AAO) templates by electrochemical deposition and annealing treatment. The array consists of vertically aligned, ~25 nm diameter, composite nanowires with ~80 nm long, magnetically soft Ni at the base and ~20 nm long, magnetically hard, L10 phase CoPt at the top. To see the influence of the composite structure, separate samples with Ni and CoPt nanowires of the same height were prepared and annealed using the same conditions. M-H hysteresis loops perpendicular to the substrate and along the nanowire axis show that the Ni nanowires exhibit relatively soft magnetic coercivity of 242 Oe, while the CoPt nanowires show a very high coercivity of at least 10.97 kOe. The composite CoPt/Ni nanowires exhibit an intermediate coercivity of ~1.96 kOe. The results for further optimization and analysis of materials and processes including guided and patterned anodization, as well as implications for potential patterned media applications will be discussed.
O2: Nanoimprinting Lithography I
Session Chairs
Monday PM, November 27, 2006
Room 310 (Hynes)
2:30 PM - **O2.1
Room-Temperature Nanoimprint Lithography
Shinji Matsui 1 , Ken-ichiro Nakamatsu 1
1 , University of Hyogo, Hyogo Japan
Show AbstractNanoimprint lithography (NIL)1-3, has promise as a simple, high-throughput, low cost process for replicating various nanostructures and is beginning to attract plenty of attention from industries for various applications. Previously, we proposed the use of hydrogen silsesquioxane (HSQ; Dow Corning FOX-16) as a replication material for NIL. HSQ has the unique property of enabling NIL at room temperature (RT), meaning that nanostructures can be replicated without a resist thermal cycle and UV-exposure. In previous experiments on HSQ pattern replication, we formed HSQ resin on a substrate using the conventional spin coating technique. However, the following issues still remain to be addressed in NIL using spin-coated HSQ as the replication material. (1) A high imprinting pressure, ranging from 5 to 40 MPa is required. (2) HSQ imprinted depth often depends on mold linewidth12, which means that complex mold patterns containing structures with various linewidths cannot be precisely imprinted into HSQ thin film. (3) Transferring large-size patterns with linewidths exceeding 10 mm is extremely difficult. (4) After mold pressing, a residual HSQ layer over 100 nm thick remains in recessed areas. We attributed these problems to surface hardening of HSQ resin by evaporation of the solvent contained in HSQ during spin-coating. To overcome the above problems, we developed a new nanoimprint technique using liquid-phase HSQ as an alternative to the conventional HSQ resin spin coating technique. The steps are as follows. (1) HSQ solution was dropped onto the Si substrate. (2) A SiO2/Si mold was pressed to the substrate with 1 MPa press-pressure. (3) The HSQ-coated substrate was heated up to 90oC while the press-pressure was held. (4) After cooling, the mold was removed from the Si substrate, and then the HSQ patterns were completely replicated. HSQ patterns with 150-nm-linewidth and 300-nm-pitch was successfully obtained by the new imprinting. Furthermore, the new imprint technique also generated nano-scale pattern of 25-nm-linewidth and 75-nm-pitch. We also succeeded in reducing the thickness of residual HSQ. The measured thickness of the residual layer was less than 10 nm. Large size patterns of over hundred microns were precisely imprinted into the HSQ resin, which was very difficult to realize using spin-coated HSQ. The new technique enabled us to simultaneously fabricate arbitrary patterns including both nano- and micro-scale structures by one-step process using the SiO2/Si mold with complex patterns. Thus, liquid-phase HSQ enhanced the fidelity of HSQ imprinted patterns, and is more suitable as a replication material for nanoimprinting than spin-coated HSQ resin. We believe that the new imprint technique using liquid phase HSQ developed here will be widely applied to the nano- and micro-structure replications.
3:00 PM - **O2.2
High Resolution Imaging Technology: a View of the Future.
Grant Willson 1
1 Chemistry and Chemical Engineering, University of Texas, Austin, Texas, United States
Show AbstractThe National Nanotechnology Initiative (NNI) has focused hundreds of millions of dollars of research funds into the area of nanoscale structure fabrication. Government agencies have great expectations for the influence of this research on the world economy and on employment. The drive to manufacture semiconductor devices with ever smaller features has inspired amazing improvements in imaging materials science and technology for over 3 decades. Billions of dollars have been spent in efforts to devise methods and materials that enable the printing of ever smaller transistors. The most advanced microelectronic devices in full scale production have minimum features in the range of 60-90nm and fully functional transistors with 10nm gates have been characterized. Continued scaling of CMOS devices is projected to occur by introducing immersion lithography in various forms, adaptation of double exposure processes and ultimately, EUV exposure. The lithographic process used to produce these nanostructures is becoming extremely expensive and the cost of that process threatens the structure and the economics of the semiconductor manufacturing industry and the expectations of the NNI founders. Imprint lithography, a much lower cost, high resolution patterning technology is emerging as a potential adjunct to photolithography. It is now recognized on the ITRS roadmap. Imprint lithography loosely defines a set of techniques that include several forms of embossing; stamping and molding that show great promise as low cost methods for producing nanostructures. These techniques take many different forms, each of which has it’s own special applicability. The technique we call Step and Flash Imprint Lithography (S-FIL) is designed to allow the fabrication of high resolution, high aspect ratio images that can be aligned with precision. The process accurately replicates arbitrary shapes as small as 20nm (below) and structures smaller than 10 nanometers in width have been faithfully reproduced. The state of high resolution imaging processes for production of devices with nanoscale features will be presented with emphasis on the Step and Flash Imprint Lithography Process.
4:30 PM - **O2.3
Template Fabrication Challenges for Patterned Media
Douglas Resnick 1
1 , Molecular Imprints, Inc., Austin, Texas, United States
Show AbstractUltra Violet Nanoimprint Imprint Lithography (UV-NIL) and in particular, Step and Flash Imprint Lithography (S-FILTM) are unique methods for printing sub-100 nm geometries. Relative to other imprinting processes, these technologies have the advantage that the template is transparent, thereby facilitating conventional overlay techniques. Further, these imprinting methods provide sub-100 nm feature resolution without the significant expense of multi-element, high quality projection optics or advanced illumination sources. The S-FIL process differentiates itself from other UV imprinting methods through the use of field-to-field drop dispensing of UV curable liquids for step and repeat patterning. Several applications, including patterned media, photonic crystals and wire grid polarizers, are better served by a patterning process that requires full wafer coverage with minimal alignment. In general, the substrates employed in these are markets do not have the stringent flatness specifications needed for silicon integrated circuit fabrication. As a result the imprinting of nano-scale features becomes particularly challenging, especially when imprinting with rigid templates such as those typically in Step and Flash Imprint Lithography. The purpose of this paper is to present methodologies suitable for creating a thin template suitable for full wafer imprinting. Included in this paper are the challenges that are encountered when fabricating both a Master Template and a Replicate (or Daughter) Template.
5:00 PM - O2.4
Wafer-scale Metal Imprint Stamps and their Applications for Perfectly Ordered Anodic Aluminum Oxide (AAO).
Woo Lee 1 , Ran Ji 1 , Caroline Ross 2 , Ulrich Goesele 1 , Kornelius Nielsch 1
1 Experimental Dept. II, Max Planck Institute of Microstructure Physics, Halle Germany, 2 Department of Materials Science and Engineering, Massachusetts Institute of Technology (MIT), Boston, Massachusetts, United States
Show Abstract5:15 PM - O2.5
Fabrication of Ordered Polymer Structures by Nanoimprinting Using Anodic Porous Alumina
Takashi Yanagishita 1 , Kazuyuki Nishio 1 2 , Hideki Masuda 1 2
1 , Kanagawa Academy of Science and Technology, Kanagawa Japan, 2 , Tokyo Metropolitan University, Tokyo Japan
Show AbstractFabrication of nanometer-scale structures is of growing importance for preparing various types of functional nanodevices, such as electronic, optoelectronic, and biological devices. Nanoimprinting is a promising technique for fabricating ordered fine structures of nanometer dimensions with high throughput, because of its high resolution capability. In the present report, we describe the fabrication of large-sized ordered polymer structures by nanoimprinting using anodic porous alumina. Anodic porous alumina, which is a typical self-ordered nanohole array material formed by anodization of Al in an appropriate acidic solution, has recently attracted increasing interest as a key material for fabrication of nanometer structures [1]. Using naturally occurring ordered anodic porous alumina as a mold for nanoimprinting, large-sized fine patterns can be prepared easily. In the experiment, ordered polymer pillar arrays were fabricated by nanoimprinting of photopolymerized polymers using anodic porous alumina as a mold. In addition, ordered polymer nanohole arrays were fabricated using a metal mold prepared from anodic porous alumina template [2,3]. The obtained polymer nanostructures will be applied to several types of functional nanodevices. [1] H. Masuda et al., Science, 268, 1466 (1995). [2] H. Masuda et al., Appl. Phys. Lett., 78, 826 (2001). [3] T. Yanagishita et al., Jpn. J. Appl. Phys., accepted.
5:30 PM - O2.6
Impact of Planarization Layers Stack on Full Wafer Printing Uniformity.
Tanguy Leveder 1 , Stefan Landis 1 , Nicolas Chaix 2 , Laurent Davoust 3
1 D2NT, CEA/LETI, Grenoble France, 2 , CNRS-LTM, Grenoble France, 3 , LEGI/ENSHMG, Grenoble France
Show Abstract5:45 PM - O2.7
Residual Stresses and Pattern Stability in Nanoimprint Lithography.
Yifu Ding 1 , Hyun Wook Ro 1 , Jack Douglas 1 , Alamgir Karim 1 , Daniel Hines 2
1 , NIST, Gaithersburg, Maryland, United States, 2 , University of Maryland, College Park, Maryland, United States
Show Abstract
Symposium Organizers
Zvonimir Z. Bandic Hitachi Research Center
Michael Rooks IBM T. J. Watson Research Center
Ruediger Berger Max Planck Institute for Polymer Research
Takashi Ando Hitachi Research Lab #431
O3: Cross-Point Memories
Session Chairs
Zvonimir Bandic
Stanley Williams
Tuesday AM, November 28, 2006
Room 310 (Hynes)
9:30 AM - **O3.1
Nanoimprinting and Fabrication of Crossbar Memories.
Stan Williams 1
1 , HP Labs, Palo Alto, California, United States
Show AbstractCrossbar memories can have extremely high densities and are conceptually easy to construct. However, there are very few examples of commercial crossbar memories today because of the difficulty of reading from and writing into large arrays of serial/parallel connections with enough voltage margin to clearly distinguish a ONE bit from a ZERO bit, and at the same time not perturb neighboring bits. In the future, as wire sizes shrink into the few nanometer diameter regime, the frequency of broken wires and connections will get much worse. Thus, any strategy to produce a crossbar memory that will successfully scale into the future must consider both the manufacturing process and viable defect tolerance schemes for handling manufacturing mistakes and operating faults. This talk will cover the following issues: fabricating crossbar structures using nanoimprint lithography with half-pitch as small as 17 nm, writing and reading the memory with appropriate multiplexers and demultiplexers, the use of coding theory to improve the manaufacturing yield and the voltage margin of the memory, and finally experimental results from memories in which the individual bits in the memory have an ON to OFF conductance ratio exceeding one hundred thousand.
10:00 AM - O3.2
Resistance-change Memory from Nanoscale Volumes of Metal Sulfides Fabricated Using Self-assembled Di-block Copolymers.
John Jameson 1 , Yoshiaki Fukuzumi 3 , Ho-Cheol Kim 2 , Joy Cheng 2 , Oun-Ho Park 2 , Sung-Woo Kim 1 , Peter Griffin 1 , Yoshio Nishi 1
1 Center For Integrated Systems, Stanford University, Stanford, 94305-4075, California, United States, 3 , Toshiba Corporation, Yokohama, 235-8522, 8 Shinsugita-cho, Isogo-ku, Japan, 2 Advanced Organic Materials, IBM Almaden Research Center, San Jose, 95120-6099, California, United States
Show AbstractThe scaling of current memory technologies beyond the 32nm node of the ITRS poses difficult challenges for the semiconductor industry, and recent years have seen a flurry of activity aimed at finding alternate technologies. One promising idea is to use a material whose electrical resistance can be changed reversibly between two or more values. Such materials include metal sulfides and selenides (e.g., Cu2S, ZnCdS, AgGeSe), binary metal oxides (e.g., NiO, TiO2, WO3), perovskites (e.g., SrTiO3), and others. At the high bit densities of future technology nodes, the area allocated to each bit of a memory circuit will become exceedingly small, so a critical question in gauging the viability of these materials is whether and/or how their electrical properties change when they are reduced to nanoscale volumes. The present work investigates this question for metal sulfides by synthesizing them within the pores of a self-assembled di-block copolymer. The first step in the process is to spin a uniform organosilicate underlayer onto a Pt substrate to control the interfacial energy between the copolymer and substrate. The di-block copolymer is then spun onto the underlayer, self-assembling to form a hexagonal array of columnar pores approximately 20nm in diameter, covering an area of several square centimeters. A plasma etch is used to remove the underlayer from the bottoms of the copolymer pores, and a thin layer of metal (e.g., Cu or Ag) is then electroplated onto the exposed Pt. Finally, the electroplated metal is transformed into a sulfide (e.g., Cu → Cu2S) by anodizing it in a solution of sodium sulfate.The resulting sulfide-filled pores are suitable for probing individually by conductive AFM or STM, or in parallel by patterning a top electrode with conventional lithographic techniques. The present work focuses on the latter approach, with top electrodes formed by a shadow mask. Initial electrical measurements of these devices confirm that metal sulfides retain their ability to switch when confined to nanoscale volumes. It appears, however, that the switching voltages are significantly higher than in comparable devices fabricated in continuous blankets of sulfide.Besides being a test bed for evaluating the properties of sulfides at nanoscale dimensions, the large areas and high pore densities of the present copolymer/sulfide blankets make them suitable for scanning-probe-based memory applications. By altering the chemistry of the anodizing solution or by replacing this step with a gas-phase oxidation, the present technique could also be extended to metal oxides.
10:15 AM - O3.3
Non-volatile Resistance Switching in In-Zn-O/TiOx Bi-layers.
Choong-Rae Cho 1 , Genrikh Stefanovich 1 , DongChul Kim 1 , SeungEon Ahn 1 , Myongjae Lee 1 , Sunae Seo 1 , Eun-Hong Lee 1 , YoungSoo Park 1 , InKyeong Yoo 1
1 , Samsung Institute of Technology, Suwon Korea (the Republic of)
Show Abstract10:30 AM - O3.4
Observation of Ge2Sb2Te5 Thin Film Phase Transition Behavior According to the Number of Cycles Using Transmission Electron Microscope and Scanning Probe Microscope.
Hyunjung Kim 1 , Sikyung Choi 1 , Sukhoon Kang 2 , Kyuhwan Oh 2 , Soonyong Kweon 3
1 , Korea Advanced Institute of Science and Technology, Daejeon Korea (the Republic of), 2 , Seoul National University, Seoul Korea (the Republic of), 3 , Chungju National University, Chungju Korea (the Republic of)
Show AbstractRecently, the development of information technology (IT) increases the demands of memory devices. Phase change random access memory (PRAM), based on the reversible phase change of the chalcogenide alloy, Ge2Sb2Te5, is widely regarded as a favourite candidate for the next generation memory. Because of PRAM has a simple cell structure with high scalability; it is non-volatile, has a relatively high read/write operation speed (≤50ns). The PRAM operation relies on the fact that chalcogenide-based materials can be reversible switched from an amorphous phase to a crystalline state by an external electrical current. It is important to study the electrical property with set/reset cycles, since film thickness shrinkage occurs with the phase transition. In this work, we fabricated the 100nm amorphous Ge2Sb2Te5 thin film on TiN/Ti/Si substrate using dc-magnetron sputtering. The 50X50μm2 isolated Ge2Sb2Te5 cell was lithographed by the lift-off pattern and wet etching. And TiN top electrode was deposited using pattern align process at room temperature after the SiO2 insulator CMP. Phase transition behavior with the set/reset cycle was observed using I-V measurement and transmission electron microscope (TEM) on isolated Ge2Sb2Te5 cell. The set/reset programming was operated using tungsten SPM tip which was fabricated using focused ion beam (FIB) lithography. I-V curve which was observed by the I-V probe clearly showed that the phase transition was occurred by applying the electric field through the I-V probe. The resistivity difference between amorphous and crystal state was more than 102. After the phase transition, it was also demonstrated with transmission electron microscope (TEM) analysis. For the preparation of TEM specimen of the amorphous and crystalline cell, focused ion beam (FIB) lithography was adopted.
10:45 AM - O3.5
General Synthesis of 1-D Phase-Change Chalcogenide Nanowires for Information Storage Application
Xuhui Sun 1 , Bin Yu 1 , Garrick Ng 1 , Meyya Meyyappan 1
1 Center for Nanotechnology, NASA Ames Research Center, Moffett Field, California, United States
Show AbstractThe electrically operated phase-change random access memory (PRAM) features faster write/read, improved endurance, and much simpler fabrication as compared with the traditional transistor-based nonvolatile semiconductor memories. One-dimensional phase-change materials in nanoscale offer advantages over their bulk or thin-film counterpart in several aspects such as reduced programmable volume and reduced thermal energies in phase transition. These features contribute to low power operation, excellent scalability, and fast write/erase time. In this paper we reported a general bottom-up synthesis approach and systematic material analysis study of one-dimensional chalcogenide-based phase-change materials including germanium telluride (GeTe), indium selenide (In2Se3), antimony telluride (Sb2Te3), and germanium antimony telluride (Ge2Sb2Te5) nanowires that are targeted for nonvolatile resistive switching data storage. The phase-change nanowires have been synthesized via thermal evaporation method under vapor-liquid-solid (VLS) mechanism. The physical morphology, chemical composition, and crystal structure of the synthesized nanowires were investigated by scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDS), high-resolution transmission electron microscopy (HR-TEM) and X-ray photoemission spectroscopy (XPS). The as-synthesized nanowires are structurally uniform with single crystalline structures (i.e., GeTe nanowires with cubic structure and In2Se3 nanowires with hexagonal structure). The 1-D phase-change chalcogenide nanowires exhibit significantly reduced melting points, low activation energy and excellent morphology, making them promising nanomaterials for data storage devices with very low energy consumption and excellent scalability. Prototype data storage devices based on these synthesized 1-D phase-change nanowires are demonstrated.
11:30 AM - **O3.6
Application of Electron Beam Lithography to the Fabrication of Prototype Memory Devices.
Charles Rettner 1 , Luisa Bozano 1 , Geoff Burr 1 , Yi-Chou Chen 1 , Masamitsu Hayashi 1 , Kailash Gopalakrishnan 1 , Jennifer Hou 1 , Xin Jiang 1 , Jean Jordan-Sweet 1 , Robin King 1 , Robert Miller 1 , Rai Moriya 1 , Stuart Parkin 1 , Simone Raoux 1 , Martin Salinga 1 , Jeyaprakash Samuel 1 , Campbell Scott 1 , Robert Shelby 1 , Rohit Shenoy 1 , Luc Thomas 1
1 Almaden Research Center, IBM Research Division, San Jose, California, United States
Show Abstract12:00 PM - O3.7
Nonvolatile Two-Terminal Molecular Memory.
Jason Snodgrass 1 , Glen Kennedy 1 , Wai-Ning Mei 1 , Renat Sabirianov 1
1 Physics, University of Nebraska at Omaha, Omaha, Nebraska, United States
Show AbstractA tunnel junction composed of one or few monolayers of polar molecules sandwiched between two electrodes made of materials with different conducting properties is proposed as a nonvolatile two-terminal memory with two resistance states. As a prototype model system we study rare earth endohedral metallofullerene molecule attached to metal and semiconducting electrodes. Such molecule exhibit reversible dipole moment. We use the Thomas-Fermi model to calculate the potential profile across the device and tunneling current through the proposed structure. We have solved this model exactly and show that bi-stable resistance switching occurs upon applied voltage due to the electromigration of Gd atom inside of the cage and different screening in asymmetric electrodes.
12:15 PM - O3.8
Experimental Investigation of Resistive Memory Switching Origin in NiO.
Dong chul Kim 1 , Sunae Seo 1 , Myoung-Jae Lee 1 , Seung-Eon Ahn 1 , Dong-Seok Suh 1 , Ranju Jung 1 , YoungSoo Park 1 , InKyeong Yoo 1 , In-Gyu Baek 2 , Hyun-Jo Kim 2 , Eun-Kyung Yim 2 , JangEun Lee 2 , SoonOh Park 2 , Hee-seok Kim 2 , U-In Chung 2 , Joo-Tae Moon 2 , Byung-Il Ryu 2
1 Semiconductor Device & Material Lab, Samsung Advanced Institute of Technology, Suwon Korea (the Republic of), 2 Process Development Team, Semiconductor R & D Center, Samsung Electronics, Co. Ltd., Yongin-City, Kyeonggi-Do, Korea (the Republic of)
Show Abstract12:30 PM - O3.9
Filamentary Conduction in Memory Switching NiO Film Observed by Impedance Spectroscopy.
Seung-eon Ahn 1 , Sunae Seo 1 , Myoungjae Lee 1 , Dongchirl Kim 1 , Dongseok Suh 1 , Ranju Jung 1 , YoungSoo Park 1 , InKyeong Yoo 1 , Gyu Tae Kim 2
1 , Samsung Advanced Institute of Technology, Suwon Korea (the Republic of), 2 Department of Electrical engineering, Korea University, seoul Korea (the Republic of)
Show Abstract12:45 PM - O3.10
An Electronic Nonvolatile Memory Device Based On Electrostatic Deflection of a Bistable Mechanical Beam.
Joshua Rubin 1 , Sandip Tiwari 1
1 , Cornell University, Ithaca, New York, United States
Show AbstractThe speed of memory structures is considerably slower than that of logic permitting a variety of approaches to achieving and using bistability. In this work, we show the use of bistability of an anchored beam to achieving two states that can potentially be used in implementing non-volatile memory. The speed of such structures is in 100’s of MHz, similar to the frequencies achieved by small electromechanical oscillators that have a single anchor. Our non-volatile memory device, in the first form of implementation, is achieved by employing a bistable beam as the gate of an air gap transistor. The bistable beam is obtained by patterning and releasing a compressively stressed thin film with sufficient internal stress to cause buckling upon release. This enables the bistable mechanism - the beam can be deflected either up or down, thus creating two states. In addition to the beam used for the gate, another beam or plate must be suspended above the gate to perform the write function. The write/erase mechanism operates on the principle of electrostatic attraction. Therefore, in order to obtain the “down” state a large bias is applied between the gate and the source/drain, thus attracting the gate towards the channel. Upon removal of the voltage the gate remains in the “down” position due to it being energetically favorable as a result of the bistable mechanism. On the other hand, in order to obtain the “up” state, a large bias is applied between the upper plate and the gate so that the gate is pulled up to the other stable position. The upper plate is rigid, unlike the gate. This establishes the gate as the only deformable element of the device. The read function is executed using small biases between the gate and the substrate. In read mode, the device operates like a MOSFET. The read voltages must be lower than the write voltages in order to prevent any additional deflection of the gate. The device’s electrical characteristics substantially change as the gate is moved between the two stable states. The “down” state corresponds to a lower threshold voltage and sub-threshold slope, whereas the “up” state is described by a higher threshold voltage and sub-threshold slope. In theory, in scaled structures, the threshold voltage shift corresponding to a gate deflection of nanometer dimensions provides sufficient change to provide sensing of the state of the nonvolatile memory cell. The device structure is potentially scalable if mechanical properties maintain expected characteristics, and is thus highly dense. In addition, by removing the need to store charge, the retention time of the device is not limited by electronic defects or leakage paths, but by fatigue and other mechanical failures. By operating the gate such that the material is stressed in its elastic regime, material fatigue can be minimized. Details of the device fabrication and performance will be presented along with the material considerations for the gate and upper plate.
O4: E-Beam Lithography in Information Storage
Session Chairs
Tuesday PM, November 28, 2006
Room 310 (Hynes)
2:30 PM - **O4.1
Electron-beam Mastering with Fine Beam and Precise Positioning for Patterned Disks.
Tetsuya Nishida 1
1 Central Research Laboratory, Hitachi,Ltd., Tokyo Japan
Show AbstractPatterning disks is one of the most effective techniques to increase data density on hard disk drives (HDDs). Discrete track media can prevent disk noise from an erasure band on the track edge at a narrow track pitch. Patterned media consisting of a dot array can reduce thermal magnetic fluctuation from the superparamagnetic effect in small magnetic domains. While a pre-patterned servo is of course necessary on such patterned disks, high-cost servo track writing is unnecessary.Large-scale electron-beam (e-beam) lithography on a rotatively moving stage should enable fabricating masters for the patterned disks. The e-beam mastering tool needs fine and precise beam positioning. Two types of e-beam mastering tools are introduced: an XY-stage driving e-beam recorder [1] and a rotary stage driving e-beam recorder [2]. The former has a Y stage moving along a sine oscillation on an X stage moving along a cosine oscillation, allowing a disk on the XY stage to move smoothly along a circular or spiral trajectory in a wide area. The latter has a rotational spindle on a translatory stage.The prototyped XY-stage driving e-beam recorder can precisely draw fine pit patterns by e-beam deflection correction from an accurate detection of stage position error. The electron-optical column provides a fine beam of 20-nm diameter at a 1-nA current. The stage is smoothly driven by friction force transmitted from DC motors. The position of the XY stage is detected with 0.05-nmσ precision by using the moving-average processing of the output signal from the laser interferometer. Residual errors between the controlled stage position and the destination address are less than 4 μm at a stage velocity of 10 mm/s. The errors are eliminated by accurate e-beam deflection with two sets of two parallel electrodes. The recorded pit size was less than 40 nm. The recording accuracy was less than 2 nmσ in the radial & tangential directions and alignment between pits on adjacent tracks.The prototype for a rotary stage driving e-beam recorder can draw fine pit patterns quickly. It has a high-speed turn table at an order of 1 m/s, and its electron-optical column provides a high current of 35 nA at a 50-nm diameter. A rotation stage with a noncontact vacuum seal and a rotation error correction system were applied to improve the recording position accuracy. In addition, an active magnetic shield system and learning compensation for beam displacement were adopted to stabilize the beam position. The recording accuracy was also less than 2 nmσ in the radial & tangential directions and alignment between pits on adjacent tracks.These large-scale e-beam recorders can be applied to master fabrication for high density HDD patterned disks as well as conventional patterned optical disks.[1] T. Nishida, F. Isshiki, et al., Jpn. J. Appl. Phys. 42, 772 (2003)[2] H. Kitahara, T. Nishida, et al., Jpn. J. Appl. Phys. 43, 5068 (2004)
3:00 PM - **O4.2
Nanofabrication for Patterned Media.
Elizabeth Dobisz 1 , Tsai-Wei Wu 1 , Margaret Best 1 , Dan Kercher 1
1 Research, Hitachi Global Storage Technologies, San Jose, California, United States
Show AbstractDisk drives continue to provide the highest density data storage with over 100 Gb/in2 commercially available and 240 Gb/in2 in laboratory demonstrations. The areal density of magnetic data storage has increased by 100% per year thru the 1990’s and 40% per year since 2001. However, as the storage density increases and the bit size decreases, the energy to flip a bit approaches the thermal stability limit, imposed by the superparamagnetic effect. Patterned media is a leading candidate as a solution to the problem of thermally stable data and is expected to emerge at storage densities between 500 Gb/in2 and 1000 Gb/in2 . In nanofabrication terms, the relevant densities require ~ 15-25 nm diameter magnetically isolated islands spaced 25- 35 nm center-to-center. In addition, disk manufacture is a high volume and high throughput process. UV nano-imprint lithography is a promising technology to pattern disks, because of its high resolution and throughput. Disk patterning requires only one lithographic level per disk side and alignment is not very critical. Disk patterning is likely to be one of the first manufacturing applications of nanoimprint lithography. However imprint lithography requires 1X masters. Presently, e-beam lithography is the only tool with the resolution to make the masters. The required resolution and precision present a formidable challenge to e-beam tool technology and the throughput is low. The e-beam patterning of masters for imprint lithography is discussed in detail. We examine patterning, metrology, and the relationship between the master and the imprinted patterns.
4:00 PM - O4.3
Nano-Phase Change Random Access Memory Fabrication by A Hybrid Technology of E-beam and Optical Lithography
Rong Zhao 1 , Luping Shi 1 , Eng Kuan Yeo 1 , Kianguan Lim 1 , Hockkoon Lee 1 , Weijie Wang 1 , Hongxin Yang 1 , Towchong Chong 1
1 , Data storage institute, Singapore Singapore
Show AbstractPhase Change Random Access Memory (PCRAM) has a near ideal memory properties, low cost, low power, high speed, high retention, high scalability and non-volatile. It stores data by phase change induced by electrical pulses between the high resistance amorphous and low resistance crystalline states of chalcogenide materials. The performance of PCRAM device is highly dependent on the volume of the material involved in the phase change. Less power consumption is required when the volume of the phase change is reduced. Hence PCRAM has been considered as the best candidate to replace FLASH beyond 45 nm. Therefore, shrinking the device or active area size of the device is the most important activity for PCRAM development. Because the conventional optical lithography encounters the optical diffraction limitation, alternative technologies are required to achieve smaller feature size. In this paper, the fabrication and characterization of PCRAM devices in nano-scale were investigated. A hybrid fabrication process integrating with E-beam lithography (EBL) and optical lithography has been developed. The EBL is mainly used to pattern the active area in nano-scale, while the optical lithography is used to pattern all of the other layers of the device. Thus, not only nano-scale size, but also fast and reproducible fabrication could be achieved using this approach. In this work, the process employed 75 kV EBL to pattern a PMMA-based e-beam resist. PCRAM devices in nano-scale have been successfully designed and fabricated. The testing results of device characteristics showed the scaling effect of the programming current to the memory cell features. The smallest device size achieved is 50 nm. It demonstrated a low programming current in sub-mA. However, although EBL exhibits distinguished performances in creating minimum feature size, the proximity effect due to the forward and backward scatterings makes it difficult to precisely determine the distribution of electron irradiation. This effect becomes more dominant with further reduction in feature size, and thus imposes a severe constraint for creating deterministic geometry. In this work, different geometry shapes of the active area have also been designed and fabricated using EBL. The geometry effect on the device performance is investigated experimentally though the device fabrication and characterization. The detailed results will be presented and discussed in the conference.
4:15 PM - **O4.4
Lithography Strategy and Requirements for Patterned Media.
Kim Lee 1 , Chris Formato 1 , David Kuo 1 , Shuaigang Xiao 2 , XiaoMin Yang 2 , Dorothea Buechel 2 , Dieter Weller. 1
1 Seagate Technology, RMO , Fremont, California, United States, 2 Seagate Research Center, Seagate , Pittsburgh, Pennsylvania, United States
Show AbstractThere is currently great interest in patterned media as a possible solution for magnetic data storage at densities beyond 500Gb/in2. To realize this, tremendous challenges in manufacturability must be overcome. Conventional wisdom envisages a manufacturing process involving fabricating a master template from which tens of thousands of disks are replicated by nanoimprinting. The imprinted patterns are then transferred into disks by either a subtractive or an additive process thus forming periodic arrays of isolated magnetic islands. Besides the requirements for high throughput, high volume, and low cost, very precise printing and pattern transfer are critical. Final disk planarization for head flyability is another key issue.This talk will focus on the lithography for patterning the masters or templates. Key requirements are resolution, placement accuracy, size and shape uniformity, throughput and extendibility. For bit areal density of 500 to 1000Gb/in2, the bit size is between 12.5 and 25nm, and the center-to-center spacing between adjacent bits is between 25 and 50nm. Moreover, for reasonable SNR, the bit size variation must not exceed 6% (1σ), and the periodicity maintained within 6% (1σ)1. Various lithographic options are being considered, the most important being electron-beam lithography at this early developmental stage. Although electron-beam technology possesses both resolution and precision potentials, it suffers from a substantial trade-off between resolution and throughput. Such tools will also require a rotating stage and these are woefully lacking in both selection and sophistication in the market. Guided self assembly may be more practical but the technology is still in its infancy. Other innovative approaches considered are interference lithography, frequency multiplication of periodic structures, and cross-imprint lithography.1Richter et al, APL 88, 222512 (2006)
4:45 PM - O4.5
Development Stopping Characteristics and Multiple Development of the HSQ Resist in the E-beam Lithography.
Hyo-Sung Lee 1 , Jung-Sub Wi 1 , Sung-Wook Nam 1 , Hyun-Mi Kim 1 , Ki-Bum Kim 1
1 School of Materials Science & Engineering, Seoul national university, Seoul, Seoul, Korea (the Republic of)
Show Abstract5:00 PM - O4.6
Nano-Si-dot Arrays with a Bit and a Track Pitches of 25 nm Formed by EB Writing and Reactive Ion Etching for 1 Tb/in2 Storage.
Sumio Hosaka 1 , Hirotaka Sano 1 , Hayato Sone 1
1 Nano Material Systems, Gunma University, Kiryu Japan
Show Abstract5:15 PM - O4.7
Combination of Electron Beam Recorders for Mastering with NanoImprint Lithography for HVM-Production of Patterned Magnetic Media and Next Generation Optical Media.
Marc Beck 1 , Torgny Moller 1 , Nicklas Nilsson 1 , Roland Palm 1 , Erik Theander 1 , Anette Lofstrand 1 , Babak Heidari 1
1 , Obducat AB, Malmo Sweden
Show AbstractO5: Poster Session: Cross-Point Memories II
Session Chairs
Wednesday AM, November 29, 2006
Exhibition Hall D (Hynes)
9:00 PM - O5.10
Memory Effects in Manganese Perovskites. Experiment and Theory.
Natalia Noginova 1 , Joseph McClure 1 , Empress Etheridge 1 , Vladimir Gavrilenko 1 , Dmitri Novikov 2
1 , NSU, Norfolk, Virginia, United States, 2 , TIAX LLC, Cambridge, Massachusetts, United States
Show AbstractElectrically induced quasi-permanent changes in low-field conductivity have been observed in single crystals of LaGa1-xMnxO3 in the broad range of Mn ion concentrations (x= 0.1 – 1). The memory effects can last for a long time at room temperature and can be easily erased by heating up to Tc ~ 300 C. The temperature dependence of the resistivity demonstrated a sharp drop around the phase transformation temperature, pointing to the role of phase transformation processes. We explain our experimental data in terms of thermo induced local phase transition with the oxidation of Mn ions. The results of the ab initio calculations of the electron energy structure in Jahn-Teller-distorted and non distorted cells confirm the mechanism suggested.
9:00 PM - O5.12
Process Integration of Composite High-k Tunneling Dielectric for Nanocrystal Based Carbon Nanotube Memory.
Udayan Ganguly 1 2 , Tuo-Hung Hou 3 , Edwin Kan 3
1 Nanotechnology, NASA Ames Research, Moffet Field, California, United States, 2 Dept. of Materials Science and Engineering, Cornell University, Ithaca, New York, United States, 3 School of Electrical and Computer Engineering, Cornell University, Ithaca, New York, United States
Show Abstract9:00 PM - O5.3
Molecular Memory Device patterned by Organic Conducting Electrode
Hyoyoung Lee 1 , Nak-Jin Choi 1 , Junghyun Lee 1 , Gyeong Sook Bang 1 , Jonghyurk Park 1 , Kang-Ho Park 1
1 NCRI, Center for Smart Molecular Memory , ETRI, Daejeon Korea (the Republic of)
Show Abstract For the realization of high density memory device in nanotechnology field, many researchers have applied functional organic memory molecules to molecular electronic device by using self-assembled monolayers (SAMs) with soft lithography such as nano-imprinting technique which is promising for cheap fabrication cost and easy process. However, to implement single organic monolayer device in the vertical structure of metal-molecule-metal electrode, there are fundamental problems, that is, an electrical short. The yield of the molecular device, even in nano-via-hole, is less than 5 %. For the realization of molecular memory device using single mono-layer, here we introduce new way by using organic conducting electrode. The yield of newly developed molecular device is over 95%. In addition, we report the synthesis of novel thiol-terminated organic molecules having memory effect, the characterization of single monolayer formed by self-assembly process (SAM), and device fabrication by using nano-imprinting technique. Finally, we will report the detailed experiments and fabrication process in this talk.
9:00 PM - O5.4
Nanostructural Evolution in Non-epitaxial Growth of Thin Films.
Minghui Hu 1 , Suguru Noda 2 , Hiroshi Komiyama 2
1 Biology Department, Brookhaven National Laboratory, Upton, New York, United States, 2 Department of Chemical System Engineering, The University of Tokyo, Tokyo Japan
Show Abstract9:00 PM - O5.5
Quantum-Cross Tunneling Junction for High Density Memory
Hideo Kaiju 1 , Kenji Kondo 1 , Akira Ishibashi 1
1 Research Institute for Electronic Science , Hokkaido University, Sapporo Japan
Show Abstract We have proposed double nano-baumkuchen (DNB) structure, composed of two thin slices of alternating metal/insulator hetero layers, as a candidate to augment or replace the conventional lithography technology. The DNB structure has potential application in a high-density memory device, the cross point of which can scale down to ultimately a few nanometers feature sizes because the pattering resolution is determined by the metal-deposition rate, ranging from, typically, 0.01 nm/s to the order of 0.1 nm/s. Not only memory device but also novel functional phenomenon may emerge from quantum effects arising from the DNB structure having the scale-down active area, corresponding to a small number of molecules sandwiched between the two electrodes. One element of DNB structure having those features mentioned above is called ‘quantum cross’ structure that consists of two metal nano-ribons having edge-to-edge configuration. It differs from face-to-face conventional configuration such as molecular-electronic devices comprising a single molecular monolayer sandwiched between two metal electrodes and magnetic tunneling junctions (MTJs) consisting in ferromagnetic electrodes separated by insulating tunneling barriers, fabricated by today’s production procedures, such as nanoimprint lithography, electron-beam lithography, and optical lithography. In this present study, we derived equations for the transport properties of edge-to-edge quantum cross structure with tunneling barrier and calculated the current-voltage characteristics depending on the metal-ribbon thickness, the barrier height and the barrier thickness. The current-voltage characteristics of face-to-face MTJs consisting Co(10nm)/Al-oxide (2.5nm)/Co(50nm) on glass substrates fabricated by ion-beam mask sputtering are found to be explained by Simmons formula, which is the generalized expression for the leakage-free tunnel effect through the insulating thin barrier. The transport equation extended Simmons formula is, also, derived for the edge-to-edge quantum cross structure with the tunneling barrier. The metal-ribbon thickness is 5 – 30 nm and the barrier height and thickness are 0.5 – 1.5 eV and 0.5 – 1.0 nm, respectively. Interesting behavior of transport properties is that the metal-ribbon thickness affects the current density due to the quantization of nano-ribon and also the tunneling current density, being dependent on the barrier height φ and the barrier thickness d, decreases with high φ and thick d. It indicates that we can precisely obtain the information, such as the barrier height and the barrier thickness, on the material sandwiched between two electrodes, by a fit of experimental data to our derived equation, resulting in a distinction between the sandwiched material and the electrode. These results will play an important role not only to find a novel functional device but also to develop the next-generation high-density memory.
9:00 PM - O5.7
Localized Pressure Induced Ferroelectric Pattern Arrays of Semi-crystalline PVDF by Micro-imprinting
Seok ju Kang 1 , Youn Jung Park 1 , Ho-Cheol Kim 2 , Cheolmin Park 1
1 Materials science and engineering, Yonsei university, Seoul Korea (the Republic of), 2 Advanced organic Materials, IBM Almaden Research Center, San Jose, California, United States
Show AbstractInformation storage devices fabricated from ferroelectric polymers such as poly(vinylidene fluoride) (PVDF) have drawn a great attraction due to their potential use in non-volatile memory technology. Permanent dipole formation between hydrogen and fluorine atom can be rotated around carbon backbone upon application of electric field large enough to induce conformational change of the polymer chain, resulting in bistability. One of the major advantages of ferroelectric polymers is their solution compatibility. The polymers can be dissolved in common organic solvents, and memory unit capacitors are achieved by sandwiching highly uniform thin ferroelectric film prepared through a simple spin-casting process between two electrodes. Several crystalline structures induced by the regular chain packing of the different configuration orders are found in PVDF known as α, β, and γ which correspond to TGTG, TTTT and TTTG, respectively. Among the three crystalline forms, α crystal is primarily produced from the melt, even though β and γ form are the most important polymorph with outstanding intrinsic ferroelectric properties. Therefore, it is critical to find the ways to transform non-polar α crystals into the useful ferroelectric β and γ ones. We investigated the control of pressure driven polymorphic transition of PVDF by using micro/nanoimprint lithography. The localized regions pressed by a patterned PDMS mold turn into polar γ type structure under certain temperature and pressure. The pressure induced polymorphic phase transition was evidenced by the remnant polarization and coercive voltage of approximately 6.0µC/cm2 and 11V, respectively measured in the pressurized PVDF films with 200nm in thickness. Several PDMS patterns such as hexagonal, square and reverse hexagonal were used. The resulting ferroelectric PVDF micropatterns are characterized by both 2D imaging Infrared spectroscope, atomic force and electron microscopes including cross-section Transmission Electron Microscope.
O6: Poster Session: Nanoimprinting Lithography II
Session Chairs
Wednesday AM, November 29, 2006
Exhibition Hall D (Hynes)
9:00 PM - O6.1
Preparation of Transition Metal Oxides Nano-Array by Imprint Technique using MgO Nano-Mask
Hidekazu Tanaka 1 2 , Naoki Suzuki 2 , Yoshihiko Yanagisawa 1 , Satoru Yamanaka 1 , Kaoru Ojima 2 , BongKuk Lee 2 , JungHyun Seo 3 , Heayeon Lee 1 2 , Masaki Kanai 2 , Tomoji Kawai 1 2
1 ISIR-Sanken, Osaka University, Osaka Japan, 2 , JST-CREST, Saitama Japan, 3 , POSTECH, Pohang Korea (the Republic of)
Show AbstractWe report a new simple technique to prepare transition metal oxide nano scale array over 2cmx2cm large area. Transition metal oxides exhibit a broad spectrum of characteristics including ferromagnetism, ferroelectricity, and metal-insulator transition, leading to application of oxide-MRAM, FeRAM, RRAM, and of various kinds of sensors. Therefore, their nano-pattering would be indispensable to realization highly integrated oxide based devices.We pattered nano-well array with from 500nm×500nm square size to 3μm×3μm square size, with 100nm depth on PMMA organic resist layer on SiOx/Si substrate by quartz mold, and then deposited an amorphous MgO layer on them by Pulsed Laser Depostion (PLD) technique at room temperature. Next, PMMA layer was removed by ultrasonic treatment in acetone to prepare “MgO nano-mask”. Thirdly ferrimagnetic (Fe,Zn,Mn)3O4 spinel oxide film (≈10nm) were deposited by PLD technique at substrate temperature of 300C under oxygen pressure of 10-6mbarr. Finally, the area of (Fe,Zn,Mn)3O4 just above MgO nano-mask were removed by ultrasonic treatment in water, leading to nano-well array with minimum size of 500nmx500nm square within (Fe,Zn,Mn)3O4 film. Superior to conventional organic resist mask, amorphous MgO nano-mask is stable even under metal oxide film formation condition (high temperature and high oxidation atmosphere) and soluble to water, to realize damage-less lift-off. This technique allows us to construct high quality epitaxial, and also, multilayered nano-array systems of transition metal oxides.
9:00 PM - O6.2
Patterning of the Au-nanoparticles onto SiO2 and Flexible Substrates via Langmuir-Schaefer Method Combined with μ-Contact Printing Technique: The Effect of UV Irradiation on the Electrical Conductivity.
Gun-Chul Shin 1 , Jae-Hyun Park 1 , Jeong Sook Ha 1
1 Department of Chemical and Biological Engineering, Korea University, Seoul Korea (the Republic of)
Show AbstractGold nanoparticles (Au-NPs) are the most stable metal nanoparticles which have various fascinating characteristics including the size-dependent electronic and optical properties and the applicability to catalysis and biology. In particular, sub-100 nm line patterns of Au on insulating substrates can be used as electrical wires in the nanoelectronic circuits. Here, we introduce a simple method to fabricate Au nanopatterns onto SiO2, polymer and flexible substrates via transferring Au-NPs using Langmuir-Schaefer (LS) technique combined with μ-contact printing (MCP) and subsequent UV-treatment. Well-ordered monolayer of Au-NPs stabilized with alkanethiol molecules were easily formed on water surface. This monolayer of Au-NPs was transferred from the water surface to a polydimethylsiloxane (PDMS) stamp by LS technique and subsequently transferred to SiO2, polymer, and flexible substrates by MCP. Au-NPs line patterns with a width from 40μm to 250nm were successfully fabricated on the various substrates. UV irradiation onto those Au-NPs patterns for about 30 min reduced the height of the pattern from 25nm, 50nm, and 90nm to 7nm, 15nm, and 30nm, respectively. It is attributed to the UV-oxidation of thiol molecules adsorbed on Au-NPs and resultant coagulation of the Au-NPs. The electrical conduction through the Au-NPs lines was enhanced after UV irradiation due to the coagulation of Au-NPs. In this study, we have successfully fabricated Au-NPs patterns with a line width as small as 250 nm on the various substrates, especially on the flexible substrate. This technique can be extended to combine monolayers of different nanoparticles and produce multilayers of nanoparticle with control over the properties of the individual layers. The patterned nanoparticle array can be used as sensing elements in sensor arrays and as functional components in electronic devices.
9:00 PM - O6.3
Toughnening of Dielectric Material by Thermoplastic Polymer
JungWoo Lee 1 , MyeongHo Hong 1 , ChoonKeun Lee 1 , JaeChoon Cho 1 , HyukSu Lee 1 , SeungHyun Ra 1
1 Central R&D institute, SamSung Electro-Mechanics Co., LTD., Suwon, Gyuggi-Do , Korea (the Republic of)
Show Abstract Recently, high performance microelectronic devices are designed in multi-layer structure in order to make dense wiring of metal conductors in compact size. Imprint lithography have received significant attention due to an alternative technology for photolithography on such devices. In this work, we synthesized dielectric composite materials based on epoxy resin, and investigated their thermal stabilities and dynamic mechanical properties for thermal imprint lithography. In order to enhance the mechanical properties and toughness of dielectric material, various modified polyetherimide(PEI) was applied in the resin system.Curing behaviours, thermal stabilities, and dynamic mechanical properties of the dielectric materials cured with various conditions were studied using dynamic differential scanning calorimetry (DSC), thermogravimetric analysis (TGA), and Universal Test Method (INSTRON).
9:00 PM - O6.4
Nanofabrications for Information Technology.
Kyung Choi 1
1 , Bell Labs, Lucent Technologies, Murray Hill, New Jersey, United States
Show Abstract9:00 PM - O6.5
Nanopatterning and Nanomachining with Table-top Extreme Ultraviolet Lasers.
Mario Marconi 1 , Maria Capeluto 2 , Przemyslaw Wachulak 1 , Carmen Menoni 1 , Jorge Rocca 1 , Georgyi Vaschenko 1 , Erik Anderson 3 , Weilun Chao 3 , David Attwood 3 4 , Oscar Hemberg 5 , Bradley Frazer 5 , Scott Bloom 5
1 Electrical and Computer Engineering, Colorado State University, Fort Collins, Colorado, United States, 2 Departamento de Fisica. FCEyN, Universidad de Buenos Aires, Buenos Aires Argentina, 3 Center for X Ray Optics, Lawrence Berkeley National Laboratory, Berkeley, California, United States, 4 Electrical Engineering and Computer Science, University of California Berkeley, Berkeley, California, United States, 5 , JMAR Technologies Inc., San Diego, California, United States
Show Abstract9:00 PM - O6.6
Replication of Grating-Based Optically Variable Devices in Polypropylene
Patrick Leech 1
1 CMIT, CSIRO, Clayton, Victoria, Australia
Show AbstractAdvanced types of document security device have comprised complex arrays of gratings which produce diffractive optically variable images in metallised foil. This paper describes the fabrication of large area arrays of grating-based OVDs using a unique method of electron beam lithography. The 30 x 30 µm pixels which comprised the grating structure in the array were individually assigned a grating pitch (0.7-1.3 µm) and/or groove angle [1]. The grating structure thereby represents a very large amount of encoded data across the area of a device (up to 8 cm square). A switch between two images was achieved by dividing each image into vertical tracks of width 30 µm. One image was defined as columns with a specific grating spacing while the second image corresponded to alternate columns with a different grating spacing. The two images produced first order diffraction at different angles of viewing or tilt to create an image switch effect. This paper also reports on the replication of these grating array structures by hot embossing in biaxially-oriented polypropylene film. Grating-based optically variable devices were hot embossed over an area of 80 x 80 mm in the transparent film. At temperatures significantly above the glass transition temperature, Tg, (>120 °C) at 135 kN force, a high quality diffractive image was achieved over the full embossing area. The novel embossing of several different types of optically variable device has been examined including portrait, non-portrait and 3 dimensional images. Each of the embossed optically variable devices displayed a switch in diffractive images when viewed in transmitted light. [1] P.W. Leech, B.A. Sexton and R.J. Marnock, Microelectronic Engineering, 60, (2002) 339.
9:00 PM - O6.7
Feature size and Density Tuning in Nanoimprint Lithography.
Li Tan 1 , Zheng Li 1 , Ocelio Lima 1 , Haojing Lin 1
1 Engineering Mechanics, University of Nebraska-Lincoln, Lincoln, Nebraska, United States
Show AbstractNanoimprint lithography (NIL) has made deep impacts on nanomanufacturing due to its nanometer scale resolution, easiness in operation and compatibility to a variety of materials. In this process, a master stamp is used to transfer topographic features into thin films, such that, either negative or positive replicas are obtained. Attenuation of the replica structures, however, presents great challenges, such as complication in tuning feature density, lack of means for reducing line edge roughness, as well as a much concerned resolution in competing with beam or scanning probe lithography. Recently (2006) Tan and others presented the concept of feature miniaturization through external mechanical deformation upon the substrate, which shed light on addressing part of above challenges. In one of the examples, lateral contraction process, such as uni- or bi-axial contraction, was used to aggressively reduce feature size and increase feature density at the same time. For instance, sub-40 nm protein gratings are revealed after contracting original sub-micrometer features, and correspondingly pattern density has been increased 10 fold.This added process in NIL suggests great flexibility in tuning both feature size and density, thus, suggesting less demand on precision manufacturing of the master stamp from other high-end lithography platforms. To make this miniaturization process controllable with thorough understanding, systematic work on fabrication, modeling and simulation are provided in this discussion. In particular, we discuss the change in pattern profile and density on elastic membrane and hope the investigation provide guidance on relating mechanical deformation to patterned features, and more importantly, to better regulate pattern integrities.
9:00 PM - O6.8
Nanomold of Less than 30 nm Features and High Aspect Ratio for Nanoimprint Fabricated by Electron Beam Lithography.
Fu-Der Lai 1 , Yi-Chiuen Hu 1 , Chih Hsun Lin 1 , Yu Hin Lin 1
1 , Electro-Optical Engineering, Kaohsiung Taiwan
Show AbstractO7: Poster Session: Patterned Media II
Session Chairs
Wednesday AM, November 29, 2006
Exhibition Hall D (Hynes)
9:00 PM - O7.1
Preparation of Magnetic Nanowires with Controlled Anisotropy Using Porous Oxide Matrices
Alexey Lukashin 1 , Andrey Eliseev 1 , Kirill Napolsky 1 , Alexandr Vyacheslavov 1 , Irina Kolesnik 1 , Yuri Tretyakov 1
1 Dept. of Materials Science, Moscow State University, Moscow Russian Federation
Show Abstract9:00 PM - O7.2
Development of 3-D Magnetic Nano-Arrays by Electrodeposition into Mesoporous Silica Films.
Roger Campbell 2 , Jason Manning 1 2 , Martin Bakker 1 2 , Xuefa Li 3 , Dong Ryeol Lee 3 , Jin Wang 3
2 Department of Chemistry, The University of Alabama, Tuscaloosa, Alabama, United States, 1 Center for Materials for Information Technology, The University of Alabama, Tuscaloosa, Alabama, United States, 3 Advanced Photon Source, Argonne National Laboratory, Argonne, Illinois, United States
Show AbstractThe development of periodic nanostructures fabricated by self-assembly of surfactants and block co-polymers has opened up the possibility of generating periodic magnetic nanostructures of types not accessible by self-assembly of nanoparticles. The fabrication of mesoporous silica thin films around self-assembled block co-polymers is well established. Common structures for such films are SBA-15 which consists of hexagonal arrays of cylindrical pores and SBA-16 which has face centered arrays of spherical voids. These pores are connected by 1-2 nm thick flaws in the continuous silica phase producing an effectively continuous porous phase. After removal of the block co-polymer template, electrodeposition into the mesoporous silica thin films produces arrays of 5-10 nm diameter nano-wires and nano-particles. We have demonstrated that such materials can be fabricated on a wide range of metal substrates. Characterization by Scanning Electron Microscopies shows that the mesoporous silica is well ordered over micron scale areas. Grazing Incidence Small Angle X-ray Scattering (GISAXS) studies shows diffraction spots, consistent with the entire film being well ordered. GISAXS also shows that the mesoporous silica films survive removal of the template and electrodeposition of nickel and cobalt into the mesoporous silica films. Such films are of interest for their magnetic properties, as the nanophase and scale can be independently varied. Further, the presence of nanowires inside an insulator suggests that these films might also be of interest as the current confining element for Confined Current Path-Current Perpendicular to Plane GMR sensors.
9:00 PM - O7.3
Size and Composition Dependent Magnetic Properties of Co/Pt Nanodot Arrays.
S. Park , J. Bang 1 , C. Bae 2 , S. Park 2 , J. Ha 1
, 1 Department of Chemical and Biological Engineering, Korea University, Seoul Korea (the Republic of), 2 Department of Chemistry, Kyunghee University, Seoul Korea (the Republic of)
Show Abstract
Symposium Organizers
Zvonimir Z. Bandic Hitachi Research Center
Michael Rooks IBM T. J. Watson Research Center
Ruediger Berger Max Planck Institute for Polymer Research
Takashi Ando Hitachi Research Lab #431
O8/P1: Joint Session: Patterned Films
Session Chairs
Wednesday AM, November 29, 2006
Room 310 (Hynes)
9:30 AM - **O8.1/P1.1
Magnetic Films on Self-assembled Nanoparticles.
Manfred Albrecht 1 , Till Ulbrich 1 , Ildico Guhr 1 , Olav Hellwig 2 , Sebastiaan Van Dijken 3 , Thomas Schrefl 4
1 , University of Konstanz, Konstanz Germany, 2 Hitachi San Jose Research Center, HGST, San Jose, California, United States, 3 , Trinity College Nanoscience Lab., Dublin Ireland, 4 , University of Sheffield, Sheffield United Kingdom
Show AbstractIn modern magnetic recording materials the ‘superparamagnetic effect’ has become increasingly important as new magnetic hard disk drive products are designed for higher storage densities [1]. In this regard, nanoparticle media [2], where two-dimensional arrays of monodisperse nanoparticles with high magnetic anisotropy are used, is assumed to be the ideal future magnetic recording material. In this presentation a novel magnetic gradient nanomaterial, which has been created by depositing Co/Pd multilayers onto two-dimensional arrays of self-assembled nanoparticles [3] will be introduced. The magnetic nanostructures formed on top of the particles are in a magnetically exchange-isolated quasi-single-domain state. This nanoscale system is quite distinct from the classical geometries: Neither extrinsic properties nor the intrinsic properties are uniform in space. The film is extended over a wide region of the sphere and thus shows substantial curvature. The film thickness varies and so do the intrinsic magnetic properties most notable the magneto-crystalline anisotropy, which is a key factor affecting the fundamental nature of the reversal process. The specific magnetic characteristics of such a gradient nanomaterial and in particular its impact on the reversal mechanism will be discussed. Angle-dependent reversal studies were performed for different particle sizes and the experimental results were interpreted using micromagnetic simulations [4]. Moreover, these nanoscale magnetic patterns are used to study the scaling behavior of the exchange bias effect employing antiferromagnetic layers of IrMn and CoO, offering new opportunities in the functionalization of magnetic nanostructures.[1] A. Moser et al., J. Phys. D: Appl. Phys. 35, (2002) R157.[2] S. Sun et al., Science 87, (2000) 1989.[3] M. Albrecht et al., Nature Mater. 4, (2005) 203.[4] T. Ulbrich et al., Phys. Rev. Lett. 96, (2006) 077202.
10:00 AM - **O8.2/P1.2
Magnetic And Structural Roughness In A Multilayer PatternedUsing Self-Assembled Spheres
Sean Langridge 1 , Timothy Charlton 1 , Lisa Michez 2 , Mannan Ali 2 , Christopher Marrows 2 , Bryan Hickey 2 , Ernie Hill 3 , Mike Toohey 3 , Sam McFadzean 4 , John Chapman 4
1 ISIS, Rutherford Appleton Laboratory, Didcot United Kingdom, 2 School of Physics and Astronomy, University of Leeds, Leeds United Kingdom, 3 Department of Computer Science, University of Manchester, Manchester United Kingdom, 4 Department of Physics and Astronomy, University of Glasgow, Glasgow United Kingdom
Show AbstractThe functionality of magnetic multilayers is derived from their interfaces, which may exhibit both structural and magnetic roughness. We have prepared antiferromagnetically coupledCo/Ru multilayers on a nanoscale patterned substrate to study the influence of a controlledsurface morphology on the relationship between these two forms of disorder. The patterningwas realised through nanosphere lithography to prepare a template onto which the magneticmultilayers were deposited, and the internal magnetic microstructure was probed using offspecular neutron reflectometry. Here we show that a quantitative analysis reveals that thepatterning induces a concomitant magnetic roughening of the system which is not observed in the unpatterned system. The results are confirmed by micromagnetic simulation. This effectis particularly prominent for the exposed surface structures. Significantly, the magneticordering is controlled by the structural morphology throughout the entire thickness of thestructure. For the optimisation and understanding of the next generation of spin-electronicdevices, exchange biassed systems and particularly patterned systems, that rely on interfacialmagnetism then measurements such as those presented, which are able to probe inter and intralayer ordering and separate bulk from interfacial phenomena from buried interfaces are particularly useful and extend the information attainable through more conventional magnetometerytechniques.
10:30 AM - O8.3/P1.3
Magnetic Nanostructures by Laser Manipulation of Atoms.
Grzegorz Myszkiewicz 1 , Erich Jurdik 1 , Fred Atoneche 1 , Tonnie Toonen 1 , Albert van Etteger 1 , Theo Rasing 1
1 , Institute for Molecules and Materials/Radboud University Nijmegen, Nijmegen Netherlands
Show AbstractWe present a novel way of fabricating periodic structures with areal densities of 1 Tbit/inch2 and possibly beyond. A big advantage of the employed technique – laser-focused deposition – is its extreme parallelism combined with very high accuracy, which allow growing nanostructures on macroscopic sample areas (~mm2). In our experiments we have focused iron atoms and subsequently grown them into nanolines. The nanoscopically corrugated iron surface consists of highly-uniform nanolines with a period of 186 nm, a full-width at half maximum of 95 nm and a height (above the background) of 8 nm. The magnetic measurements performed on the fabricated sample – magnetic force microscopy and magneto-optical Kerr measurements – both revealed a ferromagnetic behavior with in-plane easy axis that was independent from the position on the iron patch (irrespective of the presence of nanolines). This is due to a large background layer of about 25 nm. This drawback could be overcome by nanofabrication of magnetic materials with periodically varying composition and thus magnetic properties. This can be achieved by selective manipulation of iron during simultaneous deposition of a number of other species. In our recent laser-focused experiments with chromium atoms we achieved the extremely high resolution of 16 nm, which, when transferred to magnetic materials, might lead to practical applications (in, for example, magnetic data storage devices). Although there exist other techniques for nanofabrication on a similar scale, like electron beam lithography and self-assembly, the advantage of our method is that it can cover macroscopic surface areas within a single deposition run and, at the same time, maintain the extreme periodicity of the pattern. To the best of our knowledge, no other technique has been able to demonstrate such a result so far and this, in its very spirit simple experimental concept, can potentially have a large impact on industrial developments. The patterned media concept is currently considered as the most promising alternative that will help to overcome the superparamagnetic limit of the magnetic storage technology and thus help the industry to move forward. It is very possible that the technique of laser-focused deposition will enable a new generation of magnetic storage devices. Part of this work was supported by the Dutch nanotechnology R&D initiative NanoNed and the Stichting voor Fundamenteel Onderzoek der Materie (FOM), which is financially supported by the Nederlandse Organisatie voor Wetenschappelijk Onderzoek (NWO).
10:45 AM - O8.4/P1.4
Ripple Induced Modifications of Magnetic Properties.
Maciej Oskar Liedke 1 , Adrian Keller 1 , Stefan Facsko 1 , Jurgen Fassbender 1
1 Institute of Ion Beam Physics and Materials Research, Forschungszentrum Rossendorf, Dresden Germany
Show AbstractSelf-organized ripple formation during ion erosion of a Si wafer is used to create a template system with a well defined roughness of uniaxial symmetry. By using special buffer layers subsequent thin magnetic film deposition by molecular beam epitaxy leads to a periodically modulated magnetic thin film with drastically modified magnetic properties with respect to a nominally “flat” film of the same thickness. In the case of Permalloy thin films, an enhancement of the uniaxial in-plane anisotropy by approximately a factor of 20 is observed. The enhancement can be explained by a combination of step induced dipolar and magnetocrystalline surface anisotropy contributions. If a ferromagnet/antiferromagnet-bilayer is deposited a superposition of ripple-induced uniaxial anisotropy and exchange coupling induced unidirectional anisotropy is observed. Since the direction of the unidirectional anisotropy depends only on the magnetic field direction during a field cooling procedure any angle between both anisotropy contributions can be set. The observed angular dependence of the magnetization reversal behavior is in perfect agreement with simulations based on the Stoner-Wolfarth coherent rotation model.
11:30 AM - O8.5/P1.5
Patterned Magnetic Nanostructures by Partial Crystallization of Amorphous Alloys on Patterned Catalytic Nanoparticles.
Anup Gangopadhyay 1 3 , Christopher Favazza 1 3 , Lydia Longstreth 1 3 , Clayton Miller 2 , Ronald Indeck 2 3 , Ramki Kalyanaraman 1 3
1 Dept. of Physics, Washington University, St. Louis, Missouri, United States, 3 Center for materials innovation, Washington University, St. Louis, Missouri, United States, 2 Dept. of Electrical and Systems Engineering, Washington University, St. Louis, Missouri, United States
Show AbstractBecause of potential applications in data storage and sensor development, any efficient processing method that can produce a regular array of ferromagnetic nanocrystals is interesting. Here we demonstrate a cost-effective bottom-up processing method that can produce one (1-D) or two dimensional (2-D) Fe-based nanocrystal arrays by partial crystallization of amorphous alloys. The method involves: a) formation of patterned arrays of catalytic nanoparticles (Cu, in the present case) by laser-induced self-assembly; b) deposition of a Fe-Si-B-Nb amorphous alloy on this Cu array by laser ablation; and c) partial crystallization of the amorphous alloy by heat treatment to produce Fe-Si nanocrystals on top of the Cu nanoparticles by heterogeneous nucleation and growth. The diameter, height, and separation of the ferromagnetic nanocrystals, and therefore, their magnetic properties can be controlled. Although demonstrated for a specific system, the method can be applied to a wide variety of materials by using material-specific catalytic nanoparticles, and by exploiting the much wider solubility of elements in the amorphous phase.
O9/P2: Joint Session: Magnetic Nanostructures
Session Chairs
Wednesday PM, November 29, 2006
Room 310 (Hynes)
11:45 AM - O9.1/P2.1
Tailoring Ordered and Oriented Inorganic Nanostructures with Molecular-Templated Processing
Michael Hu 1
1 , Oak Ridge National Laboratory, Knoxville, Tennessee, United States
Show AbstractMolecular templating using block-copolymers, in combination with sol-gel chemistry and processing, could become a powerful scalable, bottom-up approach for chemical manufacturing of diversified organic-inorganic hybrids and nanoporous/mesoporous nanomaterials. However, the production of self-assembled nanostructures is usually limited in achievable sizes of ordered domains and a lack of control in nanopore orientation. This paper will present new paradigms of “engineering nanoprocesses” for controlled production of new material nanostructures having desired pore size and orientation by applying process engineering and molecular engineering principles into several sol-gel synthesis processes. With the achievement of engineered chemical processing of materials at nanoscale, we have created new nanoscale materials, i.e., inorganic membranes and nanowires that contain high ordered arrays of oriented nanoporous channels. Some potential impacts of array-based nanomaterials on applications (e.g., fuel cells, solar cells, gas separations, catalysis, electronics, sensors/detectors) will be discussed.
12:00 PM - O9.2/P2.2
Arrays of Ultrasmall, Small Symmetric and Asymmetric Nanoscopic Ferromagnetic Rings
Deepak Singh 1 , Robert Krotkov 1 , Hongqi Xiang 2 , Thomas Russell 2 , Mark Tuominen 1
1 Physics, University of Massachusetts, Amherst, Amherst, Massachusetts, United States, 2 Polymer Science and Engineering, University of Massachusetts, Amherst, Massachusetts, United States
Show AbstractThere has been a considerable amount of recent interest in the magnetic properties of nanoscopic ferromagnetic rings. This is largely motivated by the unique stability of the vortex state of magnetization and its relevance in future data storage elements. In this work, we discuss experimental research on ultra-small, small symmetric and asymmetric cobalt nanorings. We fabricate arrays of nanoscopic rings using the techniques involving self-assembled diblock copolymer templates, electron-beam lithography, glancing angle evaporation and ion beam etching. The nanoscopic rings have an outer diameter of 13 nm and inner diameter of 5 nm in the case of ultra-small rings, whereas the small rings have an outer diameter of 150 nm and a wall width that varies from 5 nm to 30 nm. The magnetization properties of these arrays are measured in both parallel and perpendicular field orientations in SQUID magnetometer. We compare these measurements to analytical calculations for different magnetic configurations that take into account the competition between exchange energy, Zeeman energy and magnetostatic energy. Based on analytical calculations and magnetic measurements we find that for the ultrasmall ring structures, only two states are important: single domain states and flux-closure vortex states, depending upon the exchange length for the polycrystalline Co materials used. We have also shown that by creating asymmetry in the ring’s width, one can control the direction of magnetic vortex circulation that is in agreement with the prior research results. Low temperature magnetic measurements for asymmetric ring arrays exhibit interesting exchange bias effects. This work is supported by NSF grants DMR-0306951 and DMI-0531171.
12:15 PM - O9.3/P2.3
Control of the Vortex Chirality in Ring-shaped Magnetic Memory Elements Using Exchange Bias.
Wonjoon Jung 1 , F. Castaño 1 , C. Ross 1
1 DMSE, M.I.T., Cambridge, Massachusetts, United States
Show AbstractThin film magnetic rings have attracted a great deal of attention since the ring shape could be an alternative geometry for high-density magnetic storage elements. Ring magnets can support flux-closure or ‘vortex’ states in which magnetization is oriented tangentially and no stray field exists. Data bits can be stored using the chirality of the vortex (clockwise or counterclockwise) and the absence of stray fields in these states allows for increasing storage densities since memory cells can be arranged in close proximity while maintaining magnetostatic interactions negligible. Control of the vortex chirality in ring elements is of importance for applications in magnetic data storage. Most work on the chirality control has been done with geometrical modification such as introducing a notch or flat edge to the ring. In this contribution we demonstrate the control of the chirality using exchange bias, which is a crucial element in the operation of spin valve and magnetic tunnel junction structures.Arrays of elliptical rings with a 3.2/2.0 μm major/minor diameter and widths of 400–500 nm were fabricated with e-beam lithography and lift-off processing. Co (12 nm) and Co (12 nm)/IrMn (5 nm) exchange bias structures were deposited using ion beam or dc-magnetron sputtering. Formation of the vortex state typically occurs as one of the domain walls of the bi-domain or ‘onion’ state, which is attained after saturation, unpins, moves, and finally annihilates the other wall in a reverse field. Therefore the chirality is determined by the direction of domain wall motion during the onion-to-vortex transition. We present a model that analytically describes the onion state domain wall motion in an elliptical ring in a field at an angle α with respect to the major axis. The model allows the change in energy of the system to be calculated as a wall moves from its remanent position, so that the direction of wall motion, e.g. the chirality of the vortex, can be predicted. The calculations show that for single-layer elliptical rings the chirality will be counterclockwise if a reverse field is applied at angle α between 0° and 90°, and clockwise at α between 0° and -90°, that is, the critical field direction αc= 0° (the major axis). Meanwhile, for exchange-biased rings, αc approaches the exchange bias direction β as β deviates from 0°. This prediction was compared with experimental observations of the direction of wall motion by means of magnetic force microcopy (MFM). MFM measurements showed a good agreement with the model. Based on a series of calculations and observations for various angles α and β, a phase diagram of the vortex chirality was determined. This work demonstrates that the desired vortex chirality can be obtained using an appropriate combination of field and exchange bias direction. Vortex states in the free and pinned layer of ring-shaped spin valve structures, which were investigated by magnetotransport measurements, will be discussed.
12:30 PM - O9.4/P2.4
Current-induced Domain Wall Motion in Bar-shaped Pseudo-Spin-Valve Elements.
Irenee Colin 1 , D. Morecroft 1 , F. Castano 1 , C. Ross 1
1 Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States
Show Abstract12:45 PM - O9.5/P2.5
Fabrication of Ring-shaped Magnetic Nanostructures for MRAM using Electron Beam and Focused Ion Beam Exposure of HSQ.
Chen Chen 1 , Michael Cabral 1 , Lloyd Harriott 1 , Robert Hull 2 1
1 Electricla and Computer Engineering, University of Virginia, Charlottesville, Virginia, United States, 2 Material science and engineering, University of Virginia, Charlottesville, Virginia, United States
Show AbstractO10: Novel Nanofabrication Techniques
Session Chairs
Wednesday PM, November 29, 2006
Room 310 (Hynes)
2:30 PM - **O10.1
Nanoelectrode Lithography.
Atsushi Yokoo 1 , Hideo Namatsu 2
1 , NTT Basic Research Laboratories, Atsugi-shi, Kanagawa Pref., Japan, 2 , NTT Advanced Technology Corporation, Atsugi-shi, Kanagawa Pref., Japan
Show Abstract3:00 PM - **O10.2
Ion Projection Direct Treatment of Surfaces
Wilhelm Bruenger 1
1 , Fraunhofer-Society, Itzehoe Germany
Show AbstractIons can be used in a variety of principles to modify sample properties such as sputtering of surfaces, ion implantation, intermixing of multilayers, change of surface potential for subsequent selective deposition or defined crosslinking of polymer materials. Ion projection direct treatment uses an open stencil mask with electrostatic demagnification optics and overcomes the bottleneck of time consuming serial writing [1]. The technique is conducted without a photoresist layer, which saves process steps and avoids possible surface contamination. Here I will give an overview about ion projection direct treatment principles and potential applications for information storage or surface functionalization. Co/Pt multilayers show perpendicular magnetic anisotropy, which can be altered by intermixing of both layers. Large-area Ar+ projection was used to generate planar magnetic nanostructures on a 1”-format hard disk in a single step [2]. The complete recording pattern with data areas and head-positioning structures was transferred. In addition, the magnetic switching behavior of magnetic nano patterns from 170 nm to 57 nm diameters have been investigated by means of magnetic force microscopy in dependence of the ion dose.Irradiation of He+, Ar+, and Xe+ onto p-doped Si results in a change of surface potential. Small Au and Cu dots with a diameter of 200 nm have been fabricated in a subsequent selective electroplating process. Significant differences in the current density of voltage curves between irradiated and non-irradiated areas were recorded by microcapillary measurements [3]. On non-metallic surfaces such as polymers or glass an electrode less selective metal deposition was achieved from supersaturated salt solutions. This process might be applicable for the production of electronic circuitry on polymer foils [4].A new field is ion projection direct crosslinking (IPDC) of polymers. IPDC is applied as top down method to crosslink polymers locally and a subsequent temperature or solvent treatment [5] initiates chain relaxation resulting in finer surface morphologies on a nanometer scale (bottom up assembly). The periodicity of the morphology depends on the number and depth of created vacancies in the polymer by the ion projectiles. Ripple type structures <250 nm were observed in locally irradiated stretched polystyrene samples after annealing. This method allows creating organized morphologies, inside the borders of ion-irradiated areas. References[1] H. Loeschner et al., Journal of Microlithography, Microfabrication and Microsystems 2, 34-48 (2003).[2] A. Dietzel, et al., Advanced Materials 15, 1152-1155 (2003).[3] A. Spiegel et al., J.Vac.Sci.Technol.B 20, 2713, (2002).[4] W.H. Bruenger et al., German Patent application 102005028391.8-54.[5] K. Büscher et al., Microelectronic Engineering 83, 819-822 (2006).
4:00 PM - O10.3
Advanced Nano-patterning of Magnetic Media Using a Ultra High Resolution Focused Ion Beam.
Jacques Gierak 1
1 , LPN-CNRS, Marcoussis France
Show AbstractThe development of industrial processes for the patterning of materials in the nanoscale is one of the major challenges of nanotechnology. Lithographic techniques, used at ever-shorter wavelengths in the integrated circuit (IC) manufacturing industry, have clearly identified limitations. As a consequence, next-generation lithographies (NGLs) are being actively developed to take over for highly successful optical lithography. Ion beams are in principle well suited to nanofabrication, because ions suffer very little scattering, have short penetration ranges, and should be compatible with innovative patterning schemes. Additionally focused ion beam technology (FIB) is capable of overcoming some basic limitations of current nanofabrication techniques and allowing innovative patterning schemes. Following this we have developed a very high resolution FIB instrument specifically to meet nanofabrication requirements with an unrivalled patterning capability in the sub 5 nm regime. One key application we have started to explore since many years is the application of the FIB technique in magnetic material nanopatterning. Magnetic nanostructures are of considerable interest for several reasons. Many characteristic lengths (e.g., the exchange length, the domain wall width, the length associated to Barkhausen jumps) have typical nanometer sizes, so that reducing the lateral dimensions of patterns to about that level may lead to new insights into nanomagnetism properties and applications. Ultra-high density recording using nanopatterned magnetic media is obviously a major issue. In this work we aim to present and detail two complementary FIB processing schemes for magnetic media nanopatterning.(i) The top down approach achieved via local defect injection on high quality, ferromagnetic Pt/Co ultra-thin films and multilayer structures will be presented. The samples we use were produced as 2D films by sputtering. The coercivity and perpendicular anisotropy of such materials have been shown to depend much on the nature of the Co/ Pt interfaces. We will show that even under extremely low FIB doses, the magnetic properties of the ultra-thin film structure by intermixing the Co and Pt atoms are modified, especially across interfaces, through collisions with the incoming ion beam.(ii) In a second step we will detail a bottom up approach we propose based on surface defect generation by low dose FIB impacts and followed by the deposition of preformed nanometer-sized clusters on these patterned surfaces. We will analyse and illustrate the trapping potential of these defects, via nanometer and mixed cobalt-platinum (Co50Pt50) clusters, on the functionalised surfaces.These two distinct patterning approaches achieved using unconventional low ion doses and high writing speeds will be detailed and commented in the presentation.
4:15 PM - O10.4
Templated Fabrication of Nanoring Arrays based on Interference Lithography
Ran Ji 1 , Woo Lee 1 , Mato Knez 1 , Roland Scholz 1 , Ulrich Gösele 1 , Kornelius Nielsch 1
1 , Max Planck Institute of Microstructure Physics, Halle Germany
Show AbstractLithographically addressable nanoring arrays have attracted considerable attention due to their unique magnetic, optical, or electrical properties. Conventional patterning techniques, such as photo- and e-beam lithography, are limited in spatial resolution and writing speed, respectively. Alternatively, self-assembled nanospheres and nanoporous substrates have been employed as templates for ring-shaped nanostructures, but these alternative approaches are limited in terms of long-range ordering and shape of the nanorings. Especially, elliptical magnetic rings with in-plane magnetic anisotropy are highly attractive for potential applications in magnetic random access memories (MRAMs). In this contribution we present two novel fabrication approaches for wafer-scale, ideally ordered arrays of elliptical and circular nanorings with feature sizes ranging from 30 to 200 nm based on laser interference lithography (LIL). In Method I, templates consisting of periodic Si3N4 nanohole arrays on highly doped Si wafers are generated by LIL and reactive ion etching. The nanoring arrays are prepared by selective electrochemical deposition on the step edges of the Si3N4 holes. In Method II, the developed photoresist generated by LIL is coated by atomic layer deposition (ALD) of TiO2. The top and bottom parts of the TiO2 layer are removed by Ar bombardment in the out-of-plane direction, and the resist is removed in O2–plasma, finally. The TiO2 layer on the sidewall of the resist holes is remaining and forms the TiO2 ring structures. This approach will be extended to other materials, e.g. SiO2, Fe3O4, Ni, and Co.We thank the Federal Ministry of Education and Research (BMBF) for financial support (FKZ 03N8701).
4:30 PM - O10.5
Dot Arrays with density over 500 Gbit/in2 Fabricated by Extreme Ultraviolet Interference Lithography.
Harun Solak 1 2 , Yasin Ekinci 1 , Laura Heyderman 1 , Jens Gobrecht 1
1 Laboratory for Micro and Nanotechnology, Paul Scherrer Institute, Villigen PSI Switzerland, 2 , EULITHA GmbH, Villigen PSI Switzerland
Show AbstractLithographic fabrication of patterned data storage media places extreme requirements on the fabrication method which includes high-throughput and resolution below 50 nm in terms of the pattern period. Extreme Ultraviolet Interference Lithography (EUV-IL) has the potential to address these challenges. We have fabricated dot arrays with periods going down to 35.3 nm using EUV-IL. The corresponding aerial density of the arrays is 516 Gbit/in2. In addition, we have fabricated line/space type grating patterns with periods as low as 25 nm. These high resolution dot-array and line-space patterns were obtained in a negative-tone calixarene resist.Diffraction gratings are used to form interfering beams in the EUV-IL technique. The gratings, which are fabricated either with e-beam lithography or laser interference lithography are illuminated with EUV light at a wavelength of ~13 nm from a synchrotron source. The interference pattern has a period that is smaller than the period of the gratings by a factor of 1.4 – 2, depending on the mask design. This demagnification factor between the mask (grating) and the final pattern greatly simplifies the production of the masks and enables the iterative use of the technique to multiply the resolution. By using grating based interference it is possible to form one- and two-dimensional patterns as well as patterns that have circular symmetry, such as bits on circular tracks.The EUV-IL technique has a number of important advantages. The proximity effect caused by photoelectrons generated in the resist and the substrate is practically absent at the used beam energy of 92.5 eV. The interference technique offers practically unlimited depth of focus. The use of photons to define the image means that the technique is insensitive to charging and thus can be used on insulating substrates such as glass. In addition, the aerial image is strictly related to the grating pattern on the mask which greatly helps with the reproducibility of the lithographic process.The ultimate limit of resolution in EUV-IL in terms of the pattern period is λ/2 which corresponds to about 7 nm. The resolution potential combined with the high throughput of this parallel printing method makes EUV-IL a candidate for the production of dense patterns for patterned data storage applications.
4:45 PM - **O10.6
Chemical Mechanical Planarization for Patterned Media and Interconnects.
C. Fred Higgs 1 2 , Elon Terrell 1 2 , David Engel 1
1 , Carnegie Mellon University, Pittsburgh, Pennsylvania, United States, 2 Data Storage Systems Center, Carnegie Mellon University, Pittsburgh, Pennsylvania, United States
Show AbstractRecently, the growth rate of magnetic storage density has increased to 100% per year. At this rate, the physical limit of areal density, known as the superparamagnetic limit, will be reached in the near future. Patterned media separates the bit domains so that exchange coupling can be limited and thermal stability can be enhanced. The fabrication and manufacturing of reliable patterned media depends on a key issue— the ability to produce highly planarized and thin composite films for slider flight. Chemical mechanical polishing (CMP) is a process originally created to planarize or polish nanoscale oxide or metallic surfaces to produce integrated circuits (ICs) from semiconductor wafers. During polishing, a rotating wafer is mounted face up on a fixture and pressed against a rotating polymeric pad that is flooded with chemically reactive slurry. The slurry has nanoparticle abrasives which act as mechanical removal agents while also serving as the chief catalyst of surface damage. This effort will introduce work being conducted to fabricate patterned media in-house for experimental validation of a novel CMP modeling simulation. Since CMP is largely an unpredictable and costly process, a first principle multi-scale, multi-physics CMP modeling simulation is being developed to explore the feasibility of adopting CMP as a planarization tool for patterned media. This approach involves considerations of the involved (i) contact mechanics, (ii) fluid mechanics, (iii) particle dynamics, and the resulting (iv) material removal.
5:15 PM - O10.7
A Nanodamascene Process to be used as a Building Block for Nanodevices.
Christian Dubuc 1 , Jacques Beauvais 1 , Dominique Drouin 1
1 , U. of Sherbrooke, Sherbrooke, Quebec, Canada
Show AbstractO12: Poster Session: Magnetic Nanostructures II
Session Chairs
Thursday AM, November 30, 2006
Exhibition Hall D (Hynes)
9:00 PM - O12.1
Grain Size Refinement in Cu bottom lead in CPP GMR Stack
Zeenath Tadisina 1 , Subhadra Gupta 1 , Cristian Papusoi 2 , Hideo Fujiwara 2 , Chandan Srivastava 1 , Gregory B. Thompson 1
1 Metallurgical and Materials Engineering, University of Alabama, Tuscaloosa, Alabama, United States, 2 Center for Materials for Information Technology (MINT), The University of Alabama, Tuscaloosa, Alabama, United States
Show AbstractGMR current-in-plane (CIP) spin valves are currently used in production of high density recording heads. For the next generation of heads, with recording densities higher than 100 billion bits per square inch (Gbpsi), confined-current-path (CCP), current- perpendicular-plane (CPP) GMR heads are the subject of intensive study. For the CPP stack, thick (150 nm) Cu leads are required to minimize the potential drop across the leads. This often leads to a rough film surface in the bottom lead which translates up into the GMR stack, thereby reducing the GMR effect because of roughening of the interfaces. Thick bottom leads can also cause an increase in the coercivity of the ferromagnetic layers in the GMR stack. The coercivity is affected by the average grain size, typically increasing with increasing grain size. In order to decrease the grain size and roughness of the bottom Cu lead, lamination of the thick (150 nm) Cu with Ta interlayers was carried out, keeping the total Cu and Ta thicknesses constant. As the number of Ta-Cu bilayers increases, the roughness decreases significantly. It is observed that the roughness decreases from over 1 nm for a single interlayer to about 0.3 nm for 10 bilayers. Atomic force microscopy (AFM) was used to determine the roughness of the samples. Transmission electron microscopy (TEM) was also utilized to analyze the grain size, crystallographic orientation, and grain size distribution within the layers, as well as at the interfaces between the layers. An increase in the resistance of the laminated lead is seen with increase in the number of laminations. This undesirable side effect can be minimized by improvement of the base vacuum of the sputter system, deposition at relatively high rates, and reduction of the latency time between successive film depositions.
9:00 PM - O12.2
Ab Initio Investigation of a CoFeB/MgO/CoFeB Interface.
Helder Domingos 1 , José Martins 1
1 INESC, INESC-MN, Lisbon Portugal
Show Abstract9:00 PM - O12.3
GMR in Excess of 10% at Room Temperature and Low Magnetic Fields in Electrodeposited Cu/Co Nano-multilayer Structures.
Dinesh Pandya 1 , Priyanka Gupta 1 , Subhash Kashyap 1 , Sujeet Chaudhary 1
1 Thin Film Laboratory, Department of Physics, Indian Institute of Technology Delhi, New Delhi India
Show AbstractThe electrodeposition has emerged as a novel economically viable technique with large-scale production capabilities in modern day micro technologies. The technique has generated immense interest worldwide, because of its simplicity, low cost, easy deposition irrespective of surface size and shape; the deposition being possible even in nanopores. The experiments can be carried out in ambient conditions, which are otherwise not possible in alternate techniques like molecular beam epitaxy (MBE), sputtering, electron beam evaporation, pulse laser ablation etc. The current trends are to extend the potential of the electrodeposition to nano-fabrication. We have been working towards the development of this technique for the synthesis of novel materials and fabrication of structures for potential applications in information-storage and -processing.In the present work, a successful attempt has been made to deposit nanometric Co/Cu multilayers by employing the electrodeposition technique in potentiostatic mode, using a single sulphate bath. All the depositions were carried out in a glass cell using three electrodes. A platinum strip was used as a counter electrode. ITO coated glass substrate was used as working electrode. The substrate and the counter electrode were in a vertical position inside the cell. All potentials were measured with reference to saturated calomel electrode. Cyclic voltammetry and chronoamperometric techniques were employed to establish precise deposition-potential and -time for individual Cu and Co layers of desired thicknesses. Multilayers (MLs) comprising of upto 75 bilayers were deposited under the optimized conditions. Analysis of glancing angle x-ray diffraction data confirmed that the MLs grow in fcc (111) orientation. The room temperature magnetoresistance measurements were carried out in CIP/FIP configuration for transverse (B perpendicular to I) geometry. The magnetic field was varied up to 1T. The layered stack comprising of 50 bilayers of Cu (~6nm) and Co (~20nm) exhibited a giant magnetoresistance (GMR) higher than 10% at 0.3T, with the occurrence of twin peak around zero field. Magnetization studies indicate that these peaks occur at field values close to coercivity. It may be pointed out that the observed high value of GMR for moderately low number of Co/Cu-bilayers is comparable to that observed in ML structures obtained by PVD as well as electrodeposition techniques comprising of several thousand of bilayers. An oscillatory behavior of GMR was also observed as the thickness of Cu spacer layer was varied. The role of the Co layer in controlling the GMR value has been probed. A model for nano-ML growth, and possible contributors to resulting GMR have been evolved. The detailed results will be presented in this paper.
O11: Poster Session: Chemistry of Lithographic Resists II
Session Chairs
Thursday AM, November 30, 2006
Exhibition Hall D (Hynes)
9:00 PM - O11.1
Preparation and Characterization of a New Holographic Photopolymer Based on SU-8 Epoxy Resin
Yasushi Takamatsu 1 2 3 , David Dunmeyer 1 , Cardinal Warde 1 , Edwin Thomas 2
1 Electrical Engineering and Computer Science, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 2 Material Science and Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 3 , Mitsui Chemicals, Inc., Sodegaura, Chiba, Japan
Show AbstractHolographic photopolymer materials have attracted a great deal of attention for their potential applications as optical interconnection and data-storage elements in next generation optical computing and communication systems. Photopolymers have been studied extensively as holographic recording materials because they can have high sensitivity, high diffraction efficiency, and require minimal post processing. Several theoretical and experimental studies have been made on the dimensional stability of holographic gratings in photopolymer materials. However, there are few published studies on the heat resistance of holographic photopolymer materials. For this study, we designed a photopolymer based on SU-8 (epoxy resin, refractive index n = 1.62) as the binder, Trimethylolpropane Ethoxylate Triacrylate (TET, n = 1.47) as the monomer, and Diphenyl Iodonium Antimonite as the photoinitiator, and investigated its holographic diffraction properties after thermal cycling through a range of temperatures. SU-8 is a well-known negative photoresist material that offers a high cross-linking structure with eight glycidyl groups, whose glass temperature is more than 200°C. Fully cured SU-8 has a Tg of 250°C. Holographic grating recording is accomplished by the radical polymerization of TET in SU-8 using an Ar ion laser operating at wavelength of 514.5nm. The significant characteristic of this photopolymer system is that the subsequent cationic polymerization under baking process at 65°C for 1h increases both the refractive index modulation and the grating stability. We obtained a diffraction efficiency of 93% (corresponding to Δn = 1.31 x 10-2) for a monomer/binder ratio of 85/15 and sensitizer concentration of 0.32 wt%. For gratings written without the 65°C post-bake, we observed that the diffraction efficiency continued to increase for 3h. The final diffraction peak efficiency and the shape of the readout angular selectivity curves were essentially the same as with post-baking at 65°C for 1h. It appears that in the absence of post-baking the cationic polymerization still kept going after the exposure at room temperature, indicating that the separation between monomer and binder units continued to increase thereby resulting in the higher refractive index modulation. In this materials system, the baking process appears to accelerate this cationic polymerization without disturbing the grating. Also some of the post-processed samples were cycled up and down in temperature in a set of heat-resistance experiments. It was found that in heat resistance testing up to 120°C, this photopolymer system showed only a slight reduction in diffraction efficiency. Regarding the shelf life, based on our data, the Arrhenius equation estimates that at 25°C it would take more than twenty years for a 10 % reduction in diffraction efficiency.
9:00 PM - O11.2
Optical Relief Gratings on LBL films of Polyelectrolytes Containing Azo-Chromophore
Quirina Ferreira 1 , Paulo Gomes 1 , Manuel Maneira 1 , Maria Raposo 1 , Paulo Ribeiro 1
1 Física, Faculdade de Ciências e Tecnologia/Universidade Nova de Lisboa, Caparica, Portugal, Portugal
Show Abstract9:00 PM - O11.3
Novel Polymeric Anionic Photoacid Generators (PAGs) and Photoresists for sub 100 nm Patterning by 193 nm Lithography.
Mingxing Wang 1 , Nathan Jarnagin 1 , Wang Yueh 2 , Jeanette Roberts 2 , Kenneth Gonsalves 1
1 Department of Chemistry, Center for Optoelectronic and Optical Communication, UNC-Charlotte, Charlotte, North Carolina, United States, 2 , Intel Corporation, Hillsboro, Oregon, United States
Show AbstractA series of new anionic PAGs, as well as PAG bound polymers designed for use in 193 nm photoresist materials have been synthesized and characterized by NMR, elemental analysis, GPC, TGA and DSC. The thermostability of nonfluorine PAG bound or blend polymers were superior to that of the fluorine-substituted PAG bound or blend polymers. These novel materials provide optical transparency at 193 nm and also etch resistance. PAG incorporated resists, and PAG blended resists were exposed at a wavelength of 193 nm, ASML 5500/950B optical lithography system with 0.63 NA. The exposed wafers were evaluated using SEM. The fluorine substituted PAG bound polymer and PAG blend polymer provided 110 nm (220 nm pitch) line/space at 11.5 mJ/cm2, and 80 nm isolated features at less than 3 mJ/cm2. The fluorine-free PAG bound or blend resists showed lower photospeed compared to photoresists based on fluorine substituted PAGs, which showed faster photospeed and good lithographic performance. Positive sub-100 nm patterns were obtained for these systems. Although the photosensitivity of the PAG blend polymer is higher than that of PAG bound polymer, yet it is anticipated that PAG incorporated into the polymer main chain may control acid diffusion compared with the PAG blend polymers.
9:00 PM - O11.4
Novel Anionic Photoacid Generators (PAGs) and Photoresists for sub 50 nm Patterning by EUVL and EBL.
Mingxing Wang 1 , Cheng-Tsung Lee 2 , Clifford Henderson 2 , Wang Yueh 3 , Jeanette Robert 3 , Kenneth Gonsalves 1
1 Department of Chemistry, Center for Optoelectronic and Optical Communication, UNC, Charlotte, Charlotte, North Carolina, United States, 2 School of Chemical & Biomolecular Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States, 3 , Intel Corporation, Hillsboro, Oregon, United States
Show Abstract A new series of functionalized anionic PAGs based on 4-(vinyl) benzensulfonate, 4-(methacryloxy)benzenesulfonate or 4-(methacryloxy)2.3.5.6-tetrafluoro benzenesulfonate, as well as corresponding polymers were prepared in moderate to good yield and characterized by NMR, elemental analysis, TGA and DSC. The thermostability of PAG bound polymers was superior to PAG blend polymers, the fluorine-free PAG bound or blend polymers exhibited higher stability than fluorine-substituted PAG bound or blend polymers. Although the acid generating efficiency of PAG blend polymers was higher than that of PAG bound polymers, yet it is anticipated that PAG incorporated into the polymer main chain may improve acid diffusion compared with the PAG blend polymers. E-beam sensitivity and resolution tests showed 35 nm 1:1 line/space with fluorine-substituted PAG bound or blend polymer system, and 30 nm line/space resolution could be achieved with a thinner resist film and surfactant rinse. Additional work on EUV lithography imaging and outgassing will be presented.
9:00 PM - O11.5
Application of Novel Base Amplifiers with 3-Nitropentan-2-yl Group to Photoreactive Materials
Koji Arimitsu 1 , Yusuke Ito 1 , Takahiro Gunji 1 , Yoshimoto Abe 1 , Kunihiro Ichimura 2
1 , Tokyo University of Science, Chiba Japan, 2 , Toho University, Chiba Japan
Show AbstractIn order to enhance the photosensitivity of photoreactive materials utilizing base-catalyzed reactions, we developed base amplifiers that decompose autocatalytically to generate newborn amine molecules. Actually, we reported that the addition of base amplifiers to the photoreactive materials such as photoresists and UV-curing materials resulted in the marked improvement photosensitivity. However, conventional base amplifiers have aromatic rings such as fluorenyl groups and phenyl groups. Consequently, these base amplifiers have strong absorption of UV light which is a trigger of photolysis of a photobase generator. This leads to the hindrance of the photolysis. We report here novel base amplifiers with 3-nitropentan-2-yl group which has no aromatic rings. The base amplifiers decomposed autocatalytically to generate newborn amine molecules at an elevated temperature in solution and a polystyrene film in the presence of a catalytic amount of amines. Moreover, combining the base amplifiers with UV-curing materials consisting of a photobase generator and liquid epoxy resins resulted in the improvement of curing efficiency. To apply the base amplifier to photopatterning materials, we synthesized novel silicone resins with 3-nitropentan-2-yl groups as base-amplifying polymers. A film of the resin decomposed autocatalytically to generate amino groups in its side chains at an elevated temperature in the presence of a catalytic amount of amines. Furthermore, we demonstrated that the resins sensitized with a photobase generator provided negative- and positive-working photopolymers in the following ways. A thin film of the resin containing 10 wt% of a photobase generator became soluble in an acidic aqueous solution after 254 nm light irradiation of an exposure dose of 1 mJ/cm2. and subsequent baking at 50 oC for 20 min. This is because of formation of amino groups in its side chains. On the other hand, the film became insoluble in organic solvents after 254 nm light irradiation of an exposure dose of 1 mJ/cm2 and subsequent heat treatment at 50 oC for 40 min, this arises from that the photobase-catalyzed hydrolytic condensation of residual ethoxysilyl units of the resin proceeded to form crosslinked networks.
9:00 PM - O11.6
Photoimaging Materials Based on Base-amplifying Silicone Resins Having Phenylsulfonylethyl Groups.
Satoru Inoue 1 , Koji Arimitsu 1 , Takahiro Gunji 1 , Yoshimoto Abe 1 , Kunihiro Ichimura 2
1 , Tokyo University of Science, Chiba Japan, 2 , Toho University, Chiba Japan
Show AbstractRecently, the large number of investigations concerning acid-catalyzed photopolymer systems such as chemically amplified photoresists and UV-curing materials has been reported. On the other hand, analogous systems utilizing base-catalyzed reactions have received far less attention, because of low quantum yields for photobase generation to lead to low photosensitivity of these systems. To improve this problem, we proposed introduction of the concept of base proliferation reactions into the photopolymer systems using base-catalyzed reactions. The concept involves the autocatalytic base-catalyzed decomposition of a compound, referred to as a base amplifier which releases a newborn amine, leading to its autocatalytic decomposition. In fact, the addition of the base amplifiers such as 9-fluorenylmethyl carbamate, phenylsulfonylethyl carbamate, and 3-nitropentan-2-yl carbamate to a photopolymer consisting of an epoxy polymer and a photobase generator (PBG) resulted in the marked improvement of photosensitivity.However, these base amplifiers with low molecular weight are not suitable for photopatterning because of the volatility and the excessive diffusion of amines proliferated from the base amplifiers in polymer films. We report here novel base-amplifying silicone resins tethering phenylsulfonylethyl carbamoyl groups which proliferate primary amino groups or secondary amino groups in their side chains. Base-catalyzed decomposition behavior of films of these resins containing PBG was evaluated by UV absorption measurements. A film comprising the resin proliferating primary amino groups and 10 wt% of PBG decomposed immediately in a nonlinear manner by 365 nm irradiation and subsequent heat treatment at 120 oC for 6 min. On the other hand, this film without UV irradiation was thermally stable for 18 min at 120 oC. These results indicate that photoinduced base proliferation reaction of the resin proceeded. A film consisting of the resin generating secondary amino groups and PBG decomposed in a way similar to that of the resin proliferating primary amino groups. Furthermore, lithographic evaluation of the film comprising the resin to generate secondary amines sensitized with PBG obtained 7 μm L&S positive images with an exposure dose of 75 mJ/cm2 which shows higher sensitivity when compared to conventional base-catalyzed photopolymers.
O13: Poster Session: Nanoscale Characterization II
Session Chairs
Thursday AM, November 30, 2006
Exhibition Hall D (Hynes)
9:00 PM - O13.1
The Stability of Polymeric Nanostructures Fabricated by Nanoimprint Lithography Techniques
Yen Peng Kong 1 , Albert Yee 1
1 Chemical Engineering and Materials Science, University of California, Irvine, Irvine, California, United States
Show AbstractNanoimprint lithography and its related techniques are poised to become one of the next generation lithography techniques for the semiconductor industry. Realizing the potential impact of this technology, our group has developed a process known as Reversal Imprinting. We have found that this process can produce not only passive resist patterns that are eventually removed but also functional polymeric nanostructures of different materials that remain on the supporting substrate indefinitely. This ability greatly extends the potential of nanoimprint related techniques to other areas such as information storage. However, the stability of these polymeric nanostructures is important especially when the structures reduce further in size and the structures (stored information) has to be ‘permanent’ for a certain period of time.We study the stability of the polymeric nanostructures with an atomic force microscope (AFM) that is specially designed for studies requiring the sample to be heated above ambient temperatures. This AFM system has very low drift characteristics and this allows us to make reliable and quantitative topographical measurements. Poly(methyl methacrylate) gratings of different widths (350 nm to sub-100 nm) and different molecular weights were fabricated on Silicon substrates with different nanoimprint lithography techniques and heated up in the AFM system. Topographical AFM scans are carried out at various temperatures and at various times to give height-temperature relationships and relaxation responses.We will present experimental results that show the stability of polymeric nanostructures has a strong dependence on the molecular weight of the polymer and a weaker dependence on the size of the structures. Our results will also show that the residual stresses induced by conventional nanoimprint lithography reduce the stability of the polymeric structures compared with the structures formed by Reversal Imprinting. We hope to also elucidate the relaxation behavior near or at the glass transition regime of these nanostructures with the relaxation response data obtained and compare it with the relaxation behavior of the bulk material.
9:00 PM - O13.2
Enhanced AFM Tip Geometry Control.
Kevin Olson 1 , Christopher Hoo 1 , Martha Mecartney 1 , Natalia Starostina 2 , Paul West 2
1 , Univ. Calif. Irvine, Irvine, California, United States, 2 , Pacific Nanotechnology, Irvine, California, United States
Show AbstractContemporary use of atomic force microscopy (AFM) focuses on nanoscale dimensional measurement. Tip geometry affects the topology and resolution, but tips have 30-50% size variation in diameter since they are made by solution chemistry or ion beam methods. This variation is unacceptable as dimensional tolerances continue to decrease. This work presents a method to produce modified AFM tips for improved resolution and reliability.The tips were created by attaching nanometer scale spherical silica particles of known diameters to plateau tips in order to reduce tip geometric variation and improve accuracy of dimensional measurement. Particles adhere to plateau tips by UV-curable adhesive. Initial results were obtained with 300 nm silica spheres which can be surface modified. Preliminary scans with modified tips show high fidelity to lithographic samples of 2.5 um x 2.5 um x 70 nm.Due to the highly controlled manufacturing process of the silica spheres, image artifacts can be predicted and removed, leading to an increased measurement certainty and facilitating particle-particle interaction studies.Plateau tips of varying diameters can be created through focused-ion beam (FIB) machining of used AFM tips, and therefore plateau surface dimensions can be controlled. The effect of varying plateau tip diameter and particle size on accuracy of measurements will be discussed.
9:00 PM - O13.3
Nanoscale Characterization of Materials for Magnetic Recording Applications
David Larson 1 , Robert Ulfig 1 , Stephan Gerstl 1 , David Reinhard 1 , Thomas Kelly 1
1 , Imago Scientific Instruments, Madison, Wisconsin, United States
Show AbstractIn order to optimize the data storage and recovery properties of nanoscale magnetic devices [1], it is essential to correlate the microstructural materials characterization of the device with its processing and operating conditions. To the extent that high resolution microstructural properties of these structures can be obtained, device characterization is accelerated and research and development cycle lifetimes are shortened appreciably. Because the individual films used in nanoscale information storage devices are often ~1 nm in thickness, it is important that information about the layer chemistry and morphology be obtained at the highest possible resolution. The local electrode atom probe (LEAP®) is a three-dimensional microscope developed by Imago Scientific Instruments that combines quantitative 3-D atomic-scale imaging with high analytical sensitivity [2, 3]. This technique, known as atom probe tomography, operates by analyzing specimens one atom at a time. Individual specimen atoms are ionized from the surface of a sharp specimen by a rapidly-pulsed electric field, and are then accelerated to a position-sensitive detector. The location where each ion excites this detector directly maps to its original specimen position by projection microscopy, while a time-of-flight measurement determines elemental identity. This abstract presents recent results from 1) magnetoresistive spin valves and tunnel junctions (two structures commonly used to form the “reader” portion of magnetic recording heads), 2) current-confined path spin valve structures [4], and 3) perpendicular recording media which uses oxygen to magnetically isolate individual grains in Co alloys.References[1] R. L. Comstock, J. Mat. Sci. Mat. Elec. 13(9) (2002) 509. [2] T. F. Kelly and D. J. Larson, Mat. Char., 44 (2000) 59.[3] T. F. Kelly et al., Micro. Microanal. 10(3) (2004) 373.[4] H. Fukuzawa et al., Digests of the IEEE International Magnetics Conference, (2005) p. GQ-03
9:00 PM - O13.4
Geometrical and Statistical Characterization of Self-Assembled and Patterned Nano-Structures.
Oleg Mryasov 1 , Vasiley Alievsky 2 , Ekaterina Ovech'kina 2 , Ilia Antonov 2 , Radi Kadushnikov 2
1 , Seagate Research, Pittsburgh, Pennsylvania, United States, 2 , Ural Technical University, Ekaterinburg Russian Federation
Show Abstract9:00 PM - O13.5
Single Atom Extraction by Scanning Tunneling Microscope Tip-crash and Nanoscale Surface Engineering.
Saw Hla 1 , Kai Braun 1 , Violeta Iancu 1 , Aparna Deshpande 1
1 Physics & Astronomy, Ohio University, Athens, Ohio, United States
Show Abstract9:00 PM - O13.6
Nano-Xerography for Positioning Single Nanoparticles and Carbon Nanotubes.
Livia Seemann 1 , Andreas Stemmer 1 , Nicola Naujoks 1
1 Nanotechnology Group, ETH Zurich, Zurich Switzerland
Show Abstract9:00 PM - O13.7
Simultaneous Measurement of Sample Topography and Electrostatic Potential by Multifrequency AFM in Ambient.
Nicola Naujoks 1 , Andreas Stemmer 1 , Robert Stark 2
1 Nanotechnology Group, ETH Zurich, Zurich Switzerland, 2 Tribology and Nanomanipulation Group, LMU Munich, Munich Germany
Show AbstractO14: Poster Session: Novel Nanofabrication Techniques II
Session Chairs
Thursday AM, November 30, 2006
Exhibition Hall D (Hynes)
9:00 PM - O14.1
Writing of 500 nm Period Gratings on 17" Flat Panel Displays.
Emilie Gamet 1 , Yves Jourlin 1 , Olivier Parriaux 1
1 , Jean Monnet University, Saint Etienne France
Show Abstract9:00 PM - O14.2
Fabrication of Tin Loaded Resorcinol Formaldehyde Aerogel and Divinylbenzene Foam Spheres for Extreme Ultraviolet Source Emission.
Reny Paguio 1 , Abbas Nikroo 1 , Christopher Frederick 1 , Jared Hund 1 , Mary Thi 2
1 , General Atomics, San Diego, California, United States, 2 , University of California San Diego , San Diego, California, United States
Show AbstractLow-density tin loaded foams or aerogels are needed for extreme ultraviolet (EUV) source emission experiments for extreme ultraviolet lithography (EUVL). In the future EUVL is a candidate to succeed conventional lithography methods, but a reliable EUV emission source is needed. One possible source is laser produced plasma. In this source, a laser hits a target which produces a emission in the EUV range of 13.5 nm. Several EUVL targets have been considered such as litium, xenon and tin. Tin is considered ideal because it has a high conversion efficiency (>3%) of incident laser energy into EUV light in a band at 13.5 nm. However, solid tin targets create debris problems which can damage the laser optics. A solution to this problem is to minimize the amount of tin by using a low-density matrix and doping it with a small amount of tin. Low-density targets of this type were successfully fabricated with both resorcinol formaldehyde (R/F) and divinylbenzene (DVB), and formed into spheres using microencapsulation techniques. Fabrication of these tin loaded beads and initial emission results will be discussed.Work supported by General Atomics IR&D Funds.
9:00 PM - O14.3
Synthesis and Characterization of Patterned Perovskite Oxides Fabricated via Nanostencil-based Lithography.
Cristian-Victor Cojocaru 1 , Catalin Harnagea 1 , Alain Pignolet 1 , Federico Rosei 1
1 Énergie, Matériaux et Télécommunications, INRS-EMT, Université du Québec, Varennes-Montreal, Quebec, Canada
Show AbstractWithin the complex oxides family, those with a perovskite-type structure demonstrate great potential for technological applications since they display a range of interesting properties and useful responses to various stimuli such as electric, magnetic, and stress fields. In general, for application purposes, multi-component oxide thin films, grown either by physical vapor deposition (e.g. sputtering, pulsed laser deposition) or chemical deposition techniques require to be micro– or even nanopatterned. This is a very challenging task because of their intrinsic mechanical and chemical properties or of the delicate nature of the substrate on which they are integrated. We have combined pulsed laser deposition (PLD) technique with an alternative approach to conventional thin film photolithography patterning, namely nanostenciling, and provided a straightforward recipe to merge and design in a controlled manner diverse functional oxide films (e.g. BaTiO3, BiFeO3) on various substrates (e.g. Pt coated Si, SrTiO3 or SrRuO3). The process is parallel, resistless, and allows for the direct organization of structures into desired architectures. Selective deposition is attained by interposing between the source (ceramic targets in this case) and substrate a miniature sieve with nanofabricated apertures. After room-temperature deposition and post-annealing processes, the material deposited through the stencil mask conserves the desired functionality even at the level of the individual nanostructures (e.g. piezo and ferroelectricity at the nanoscale proven by piezoresponse force microscopy (PFM)). We also applied the convenience of nanostenciling to prepare different templates in order to study the nucleation and growth of ultra-thin layers of material (~10nm thick). The advantages of this combination of methods are the simultaneous control of the structures sizes and locations and especially the ability to scale down the inter-features distance. A precise control of characteristics (size, shape, pitch) of the nanopatterns composing the template allows investigating the influence of various experimental parameters (e.g. growth temperature, deposited thickness) on the nanostructures formation. Further developments of this approach are expected to lead to novel architectures and devices, also providing solutions for critical patterning issues not yet solved.
O15: Poster Session: Self-Assembly II
Session Chairs
Thursday AM, November 30, 2006
Exhibition Hall D (Hynes)
9:00 PM - O15.1
Development of a Nanoparticle Size Standard for Calibration and Characterization.
Chris Hoo 1 , Martha Mecartney 1 , Natasha Starostina 2 , Paul West 2
1 Chemical Engineering and Materials Science, The University of California, Irvine, Irvine, California, United States, 2 Technology Center, Pacific Nanotechnology, Inc., Irvine, California, United States
Show AbstractResearch was conducted on understanding the optimal methods to provide uniform dispersions of colloidal particles on substrates for size analysis by an atomic force microscope. Nanoparticles that were studied included 100 and 300 nm silica, 100 nm polystyrene, 100 nm gold, and quantum dots ranging from 2nm – 10 nm in size. Colloidal solutions were spin coated on atomically smooth substrates. Deposition parameters that were varied included changing the solvent phase (water, isopropanol or toluene), varying the pH (3, 7, 9 or 14), varying the concentration (diluting nanoparticles in 1:10 or 1:100 dilution), changing the spin speed (500 to 5000 rpm in increments of 500), and varying substrate material (silicon wafers or mica). For both 100 and 300 nm silica nanoparticles, optimal dispersions were achieved by depositing nanoparticles in a 1:100 dilution in water on a silicon wafer heat treated at 300°C for 1 hour spin coated at 2000 rpm. For polystyrene, the optimal dispersion was achieved by depositing 100 nm nanoparticles in a 1:100 dilution in water on a freshly cleaved mica substrate spun coat at 2000 rpm. For gold, optimal dispersion was achieved by depositing 100 nm nanoparticles in a 1:10 dilution in water on a freshly cleaved mica substrate spun coat at 2000 rpm. For the quantum dots, optimal dispersion was achieved by depositing 2-10 nm nanoparticles in a 1:100 dilution in toluene on a freshly cleaved mica substrate spun coat at 2000 rpm. Nanoparticle deposition via spin coating also showed that nanoparticles are highly sensitive to surface flaws since particles would aggregate to surface steps. A patterned silicon substrate was fabricated using an electron beam writer to pattern 100 nm sized holes in PMMA in a 2 um x 2 um array. Silica nanoparticles were then spun coat onto the substrate to form a regular array of 100 nm nanoparticles that had a size variance of +/- 13 nm. Nanoparticles larger than 100 nm were selectively excluded from patterned holes due to their size, leaving only the 100 nm or less nanoparticles in site selective holes. PMMA was removed by plasma etching the substrate to leave the 100 nm nanoparticles on the substrate in a patterned, size specific array.
9:00 PM - O15.2
Formation and Characterization of Striped Nano-channel Structure on the Functional Oxide Film.
Masayasu Kasahara 1 , Akifumi Matsuda 1 , Yasuyuki Akita 1 , Keisuke Kobayashi 2 , Kazuyoshi Kobayashi 2 , Toshimasa Suzuki 2 , Mamoru Yoshimoto 1
1 Innovative & Engineered Materials, Tokyo Institute of Technology, Yokohama, Kanagawa, Japan, 2 Center R&D Laboratories, Taiyo Yuden Co.,Ltd, Gunma-gun, Gunma, Japan
Show AbstractThere has been great interest in fabrication methods for nanostructures with a wide range of applications that include electronic devices, nano-electromechanical systems and patterned nanostructure for nanoimprint lithography. We have reported the preparation of single crystal sapphire substrates with straight atomic steps and atomically smooth terrace surface [1]. By using these substrates with atomic step, we have fabricated nanostructures such as nano-wires of some oxide materials aligned to atomic steps. Here we report on the novel method to fabricate the striped nano-channel structure on the surface of oxide thin films, and on the mechanism of nano-channel formation by using transmission electron microscopy (TEM) and atomic force microscopy (AFM). The NiO films were deposited on the atomically smooth sapphire (0001) substrates by pulsed laser deposition (PLD) method at room temperature. Epitaxial growth of NiO film was confirmed by X-ray diffraction (XRD) and reflection high energy electron diffraction (RHEED). The surface structures of films were observed by AFM. NiO films were annealed 3 hours in air at temperatures in the range of 500°C to 1000°C. When the epitaxial NiO films were annealed at 700°C, the periodic channel structures on the film surfaces were generated. The width of nano-channel was about 30nm, the depth of about 2nm, and the interval of each channel were about 100nm. The interval of each channel corresponded to the interval of atomic step on the sapphire substrate. Nano-channel structured oxide film could be applied as a mold of nanoimprint lithography. Nano-channel formation was thought to be mainly due to the selective diffusion along the atomic steps of the substrates at high temperatures.[1]Yoshimoto et al. Appl.phys.lett.67 (1995) 2615
9:00 PM - O15.3
A Facile Method for Preventing the Aggregation of Large Au Nanoparticles and the Fabrication of Ordered 2-D Arrays.
Shishan Zhang 1 , Gyu Leem 2 , La-ongnuan Srisombat 2 , T. Lee 1 2
1 Department of Chemical Engineering, University of Houston, Houston, Texas, United States, 2 Department of Chemistry, University of Houston, Houston, Texas, United States
Show AbstractSpecifically tailored bidentate and tridentate thiol-based ligands were evaluated for their ability to stabilize large Au nanoparticles (>15 nm) in solution. Citrate-stabilized Au colloid (20-50 nm) treated with the various multidentate thiols were extracted from the aqueous phase and dispersed into toluene. For all multidentate ligands, only bound thiolate (S 2p3/2 binding energy of 162 eV) was detected by X-ray photoelectron spectroscopy (XPS). The absence of colloidala aggregation was confirmed visually; this conclusion was further supported by dynamic light scattering (DLS) and UV-vis spectroscopy. The tridentate thiol, 1,1,1-tris(mercaptomethyl)pentadecane, showed superior ability in stabilizing the large Au nanoparticles against aggregation. Self-assembly studies showed that dispersed solutions of the large Au nanoparticles form 2D hexagonal close-packed (hcp) arrays on planar substrates.
9:00 PM - O15.5
Very Large Area Ordering of Block Copolymer Nanostructures using Solvent Annealing Combined with Microcontact Printing
Taehee Kim 1 , Won Seok Hwang 1 , June Huh 2 , Cheolmin Park 1
1 Department of Materials Science and Engineering, Yonsei University , Seoul Korea (the Republic of), 2 School of materials science and engineering, Seoul National University, Seoul Korea (the Republic of)
Show AbstractNanometer scale pattern structures based on self assembly have been considered for alternatives to replace high resolution lithographic technologies such as X ray, electron beam and interference lithographys. In particular synthetically achievable block copolymers have been paid much attention thanks to not only the scale of microdomains (tens of nanometers) but also convenience to tune the size of microdomains with changing their molecular weights. Many potential usages of block copolymers for different emerging nanotechnologies have been proposed. However the main drawback of block copolymers lies in difficulty of control of their nanostructures. Among many approaches to overcome the problem including application of electric, magnetic field and chemical and topological surface modulation, the treatment of block copolymer thin film with its solvent vapor has been known as one of the most effective ways to control both orientation of microdomains with respect to the substrate and their registration into a well defined periodic lattice structure. Poly(styrene-b-ethylene oxide) has been utilized to demonstrate a microdomain structure ordered perpendicular to the surface. One of the main limitations is, however, the difficulty of making uniform thin polymer on wafer scale due to dewetting of the film upon the exposure of the solvent vapor. In order to control the dewetting of the film, we introduced self-assembled monolayers (SAMs) between polymer film and substrate. The broad range of surface polarity induced by various end functionalized alkanethiol SAMs allows us the systematic study of the dewetting and microdomain orientation on the substrate. We also examine the effect of the evaporation rate, directionality of solvent vapor, and type of solvents and co-solvents on the dewetting of the film. Furthermore, the employment of microcontact printing of SAMs combined with solvent annealing of block copolymer allows us to fabricate micropatterned arrays of the dewetting controlled block copolymer thin film in which hexagonally packed cylindrical Polyethylene oxide microdomains are aligned perpendicular to the substrate. The highly controlled large area block copolymer structure is useful as a template for synthesizing arrays of metallic nanoparticles.
9:00 PM - O15.6
Micropatterning of Functional Inorganic Materials by the Combination of Top-down Lithographical Method with Bottom-up Electrophoretic Deposition
Jae Joon Chang 1 , Seung-Min Jeon 1 , Kyo-Young Jang 1 , Byeong-Hyeok Sohn 1
1 School of chemistry, NANO Systems Institute, Seoul national university, seoul Korea (the Republic of)
Show AbstractMicropattering of functional inorganic materials such as high-Tc superconductors have been demonstrated generally by top-down lithographical techniques. Since these processes require harsh conditions for lithography as well as film deposition, patterns fabricated have limitations in their size and shape. In this presentation, we demonstrate an effective fabrication of a micropattern of high-Tc superconductors by the combination of the conventional top-down photolithography with bottom-up electrophoretic deposition of superconductor nanoparticles. For a template to deposit nanoparticles, a photoresist micropattern on the conductive metallic layer on a silicon wafer was processed by the conventional photolithography. A colloidal suspension of superconductor nanoparticles as a precursor was prepared by the exfoliation of Bi-based cuprates through the intercalation in acetone with ultrasonic treatment. Since the surface of nanoparticles synthesized was positively charged, nanoparticles were effectively deposited into the patterned area by the electrophoretic deposition process. By removing the photoresist part on the substrate and subsequent annealing, a micropattern of the high-Tc superconductor was fabricated and characterized.
9:00 PM - O15.7
Growth and Characterization of Atomic-scale Wires on the Si(001) surface.
James Owen 1 , Kazushi Miki 1 , David Bowler 2
1 International Centre for Young Scientists, National Institute for Materials Science, Tsukuba, Ibaraki, Japan, 2 London Centre for Nanotechnology, University College, London, London United Kingdom
Show AbstractWires with width 1nm and length several hundred nm, have been fabricated on the Si(001) surface by self-assembly. The wires are grown by deposition of Al or In onto Bi nanoline templates [1]. These templates can be grown in extremely dense parallel arrays (spacing down to 4 nm) and thus the resulting nanowire arrays would be ideal for use as electrodes for nanoelectronic devices taking advantage of the "crossbar" architecture. Here we investigate the structure and properties of the single-layer wires which are composed of a chain of alternating In and Bi atoms on both sides of the nanoline template. The atomic structure of the so-called "zigzag" chain has been determined by a combination of STM observations and atomistic modelling of plausible structural models. There are six possible isomers of the double zigzag chain. High-energy isomers result from close proximity of Bi atoms, with a resulting strong Coulomb repulsion between their lone pair electrons. The lowest-energy isomer has Bi atoms in close proximity only to In atoms. The simulated appearance of the lowest energy isomer agrees well with STM observations, providing strong support for this identification. The results of conduction measurement experiments on these wires will be presented, and will be explained by comparison with the calculated electronic structure of the zigzag chain structure. [1] J.H.G.Owen and K.Miki, Nanotechnology 17 430-433 (2006)
Symposium Organizers
Zvonimir Z. Bandic Hitachi Research Center
Michael Rooks IBM T. J. Watson Research Center
Ruediger Berger Max Planck Institute for Polymer Research
Takashi Ando Hitachi Research Lab #431
O16: Self-Assembly
Session Chairs
Thursday AM, November 30, 2006
Room 310 (Hynes)
9:00 AM - **O16.1
Nanopatterned Media Prepared by Artificially Assisted Self-Assembling (AASA) Method.
Katsuyuki Naito 1 , Hiroyuki Hieda 1 , Akira Kikitsu 1
1 Corporate R&D Center, Toshiba Corporation, Kawasaki Japan
Show Abstract An artificially assisted self-assembling method [1], which includes top-down patterning and bottom-up nano-patterning using self-assembling organic molecules, will be reviewed for the fabrication of nanopatterned media. To obtain the higher density hard disk media, grain sizes of the conventional continuous magnetic films should be reduced to maintain the necessary signal-to-noise ratio. The small grain sizes, however, reduce thermal stability of the magnetization of each bit. Patterned media, which consist of islands of magnetic material surrounded by a nonmagnetic matrix, increase bit thermal stability. Patterned media can decrease media transition noise. Some serious problems must be resolved such as the high cost of nano-patterning. We have prepared patterned medium by the AASA method using diblock copolymer templates. One type of media is circumferential patterned medium, which was prepared on a 2.5-inch diameter glass plate. A Ni master disk possessing spiral patterns with 60-400nm-width lands was pressed to a resist film on a CoCrPt or FePt film to transfer the spiral patterns. A diblock copolymer (PS-PMMA) solution was cast into the obtained grooves, and then annealed. One to six lines of dots with 80 nm pitch were obtained by changing the groove width. According to the dot patterns, the lower magnetic films were patterned by ion milling to yield patterned media. FePt dot arrays with a 30 nm pitch have been fabricated. The FePt dot arrays have strong perpendicular anisotropy. Increase of coercive force in easy axis from 4.5 kOe for a film structure to 13 kOe for a dot structure was observed. No significant deterioration of crystal structures was observed on the sidewall of the FePt dots. That was possibly attributable to weak damage during ion beam etching. Also aligned FePt dot arrays on circumferential lines were prepared by using the AASA method. Another type of media is XY-type magnetic patterned media that make it possible to achieve a density of over 1Tb/in^2. 20x20 defect-free self-assembled dot structure with a 40 nm pitch was prepared in the diamond-shaped guides. These techniques enable us to manufacture the high-density magnetic recording media with low cost and high throughput. This paper partially belongs to “Terabyte optical storage technology” project, which OITDA contracted with The Ministry of Economy Trade and Industry of Japan (METI) in 2002 and contracted with The New Energy and Industrial Technology Development Organization (NEDO) since 2003 based on funds provided from METI. This work was also supported by the IT-program (RR2002) of the Ministry of Education, Culture, Sports, Science and Technology (MEXT), Japan. This work was carried out with Masatoshi Sakurai, Yoshiyuki Kamata, Kaori Kimura, Yoshitaka Yanagita, Tomoyuki Maeda and Koji Asakawa (Toshiba Corporate R&D Center).1. K. Naito, H. Hieda, M. Sakurai, Y. Kamata, and K. Asakawa, IEEE Trans. Magn, vol.38, pp.1949-51, 2002.
9:30 AM - O16.2
Surface Nano-patterning with Ordered Arrayed Nanoparticles of Tunable Size, Shape and Property.
Yong Lei 1 2 , Gerhard Wilde 1 2
1 Institut für Materialphysik, Westfälische Wilhelms-Universität Münster, Münster Germany, 2 Institut für Nanotechnologie, Forschungszentrum Karlsruhe (Karlsruhe Research Center), Karlsruhe Germany
Show AbstractWe report here an approach with general utility to fabricate ordered arrays of nanoparticles with tunable shape and size. The nanoparticle arrays are fabricated on Si and Si/SiO2 substrates using ultra-thin alumina masks (UTAMs) as evaporation masks. Because the shape and size of the nanoparticles are controllable, it is possible to tune the properties of the nanoparticle arrays. We have realized the fabrication of ordered CdS nanoparticle arrays on Si substrates with tunable photoluminescence properties. And recently, we have successfully obtained ordered arrays of In2O3 single-crystal nanoparticles based on a precisely-controlled oxidation of arrayed In nanoparticles. All In2O3 nanoparticles are oriented in the similar lattice direction, which means a high degree of orientational order of the arrayed nanoparticles. This non-lithographic surface nano-patterning approach provides an efficient and low-cost alternative in fabricating large-scale ordered arrays of surface nanostructures.
9:45 AM - O16.3
Self-assembled 2-Dimensional Gold Nanoparticles with Nearly Perfect Superlattice Domains of Hexagonal Close-Packing Order and Size Larger than 10 μm.
Sang-Kee Eah 1
1 Department of Physics, Applied Phyics, and Astronomy, Rensselaer Polytechnic Institute, Troy, New York, United States
Show AbstractMonodisperse 6~8 nm diameter colloidal gold nanoparticles are chemically synthesized and self-assembled into milimeter scale monolayer with nearly perfect domains of hexagonal close-packing order and size larger than 10 μm. A few years ago a self-assembly method was developed for very large 2D superlattices of size about 2 μm [1], where the gold nanoparticles form 2D monolayer at the liquid-air interface at the early stage and therefore the nanoparticle-substrate interactions are irrelevant [2,3]. This talk will present a new self-assembly method for increasing the size of 2D superlattices of gold nanoparticles larger than 10 μm. The gold nanoparticles in a toluene droplet go to the liquid-air interface making loosely bound 2D monolayer, as the non-polar solvent evaporates. Then a water droplet is introduced, and the gold nanoparticles coated with highly non-polar organic ligands of dodecanethiol move to the water-air interface forming firm 2D superlattices via the interdigitating of the organic ligand molecules. After the water evoporation the nanoparticles 2D superlattices can be transfered to virtually any kind of substrate. To understand the mechanism of the original and the extended 2D self-assembly methods, a fast and highly reproducible synthesis method for monodisperse colloidal gold nanoparticles is developed. Controlling the electrical charges on these sterically stabilized nanoparticles in a non-polar solvent is found to be an important control parameter. Possibilities of applying these 2D self-assembly and synthesis methods for the monopdisperse gold nanoparticles to other metallic (Ag, Pt, Pd, Cu) and magnetic (Co, Fe, Ni) nanoparticles will be discussed. [1] X.-M. Lin et al., J. Phys. Chem. B 105, 3353 (2001). [2] S. Narayanan et al., Phys. Rev. Lett. 93. 135503 (2004). [3] T.P. Bigioni et al., Nature Materials 5, 265 (2006). Preliminary results of this work were obtained at Xiao-Min Lin's laboratory in Argonne National Laboratory.
10:00 AM - O16.4
Supramolecular Self-Assemblies as High-Density Data-Storage Media.
Hannes Spillmann 1 , Nikolai Wintjes 1 , Andreas Kiebele 1 , Meike Stohr 1 , Thomas Jung 2 , Davide Bonifazi 3 , Fuyong Cheng 3 , Francois Diederich 3
1 University of Basel, NCCR Nanoscale Science, Basel Switzerland, 2 Laboratory for Micro- and Nanotechnology, Paul Scherrer Institute, Villigen Switzerland, 3 Organic Chemistry Lab, ETH-Hoenggerberg, Zurich Switzerland
Show Abstract10:15 AM - O16.5
Modeling Lithographically Directed, Evaporation Driven Self-Assembly Systems for Magnetic Storage Arrays.
John Dyreby 1 , Greg Nellis 1 , Kevin Turner 1 , Roxann Engelstad 1
1 Mechanical Engineering, University of Wisconsin-Madison, Madison, Wisconsin, United States
Show AbstractLithographically directed self-assembly is a hybrid nanofabrication technique that combines top-down lithography with bottom-up evaporation driven self-assembly which can create controlled arrays of arbitrary shape and configuration at very small scales but with high throughput. These arrays have the potential to serve as the next generation of information storage structures, and the technique has already been experimentally demonstrated. This paper describes the computational fluid dynamics (CFD) models of the process that have been developed in order to investigate the behavior of several aspects of the process, including the macro-scale transport of particles towards the contact line by bulk fluid motion and the capillary forces that become dominant as the particles are concentrated. The experimental verification of these models will also be discussed.In general, evaporation driven self-assembly processes can be broken into behaviors in two regimes. At the macro-scale, the process is driven by the bulk transport of particles to the lithographically defined features while particle organization and deposition occurs at the micro- and nano-scale. The macro-scale process is driven by the fluid velocity generated by the evaporation from the meniscus and governed by continuum fluid dynamics. Thus, CFD is an appropriate analysis tool. A typical directed self-assembly process was simulated using a multi-component CFD model that allows the time evolution of the fluid velocity and particle concentration gradients to be predicted. Several forces are exerted on the particles at the micro- and nanoscale; however, the dominant force is due to immersion which is related to the action of the asymmetric surface tension force and the associated hydrostatic pressure that acts on a particle when it is in close proximity with another particle or a surface feature. CFD models have been developed to investigate this immersion force and develop correlations for the magnitude of the force as a function of parameters such as particle size, shape, separation distance, and fluid properties.An experimental technique has been developed that allows the direct observation of the particle concentration evolution over time during self-assembly. This technique has been used to observe the undirected self-assembly of an evaporating colloidal droplet and is used to verify the developed CFD models. The models developed in this work are a powerful tool to understand patterned nanostructure fabrication with applications such as magnetic storage media.
O17: Block copolymers
Session Chairs
Thursday PM, November 30, 2006
Room 310 (Hynes)
11:00 AM - **O17.1
Tailoring Microdomain Orientation in Block Copolymer Thin Films for Lithographic Application.
Hiroshi Yoshida 1 , Hirofumi Kitano 2 , Satoshi Akasaka 2 , Tomohiro Inoue 2 , Mikihito Takenaka 2 , Hirokazu Hasegawa 2 , Hideki Nagano 3
1 Dept. of Electronic Materials & Devices Research, Materials Research Lab. , Hitachi Ltd., Hitachi, Ibaraki, Japan, 2 Dept. of Polymer Chemistry, Graduate School of Engineering, Kyoto University, Kyoto, Kyoto, Japan, 3 Development & Technology Center, Hitachi Maxell Ltd., Tsukubamirai , Ibaraki, Japan
Show AbstractBlock copolymer lithography is a promising method for fabricating periodical nano patterns by self-assembly. It has a potential to form patterns less than 20nm and can be applicable for patterned magnetic media fabrication with recording density over 1Tbit/inch2.It is well known that AB diblock copolymers form microdomains via microphase separation because segregating A and B polymer chains are chemically bonded. In block copolymer lithography, microdomains are formed in a thin film and utilized as an etching mask. Therefore, among various microdomain structures, cylindrical microdomains perpendicularly oriented against the substrate are preferable, due to their high aspect ratio feature. However, as interaction between substrate surface and A polymer chain differs from that for B polymer chain, cylindrical microdomains tend to orient parallel against the substrate to minimize surface free energy.We have studied phase behavior of blends of cylindrical microdomain forming polystyrene-block-polymethylmethacrylate (PS-b-PMMA) and homopolymer (PS or PMMA) in thin films and investigated the effect on microdomain orientation. It was revealed that orientation of the PS cylindrical microdomains in the thin film changed from parallel orientation to perpendicular orientation as volume fraction of PMMA increased by blending PMMA homopolymer. Perpendicularly oriented cylinders can be formed simply by spin coating the blend solution on the substrate, which may have process advantage over previously reported techniques, such as applying an electric field for aligning the orientation1). Our findings, which can be ascribed to conformational entropic relaxation of microphase separated structure due to blended homopolymer, may provide a novel method to tailor microdomain orientation and further reveal microphase separation phenomena in thin films.1) K. Amundson et al., Macromolecules, 24, 6548 (1991)
11:30 AM - O17.2
Self-Assembled Block Copolymer Lithography for Data Storage Applications.
Filip Ilievski 1 , Joy Cheng 1 , Vivian Chuang 1 , Caroline Ross 1
1 Department of Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States
Show AbstractEven with the latest advances of optical, holographic and microprobe storage, magnetic data storage remains the dominant method for storing information. Novel techniques have been proposed to replace simple scaling of continuous hard drive media which is predicted to reach theoretical superparamagnetic limits in the following decade. Self assembled block copolymer lithography is a method which can be successfully applied in fabricating patterned media as it satisfies industry requirements: large-area, high density and low cost. We have used block-copolymer lithography to fabricate close-packed magnetic dot arrays with periodicity of 56nm of in-plane Co and NiFe and arrays with periodicity of 49nm of out-of-plane Ti/CoCrPt. To produce these samples, magnetic films were first deposited by evaporation or sputtering, then hard mask layers of W and SiO2 were evaporated, and a solution of polystyrene-polyferrocenyldimethylsilane (PS-PFS) block copolymer was spincoated on top. The PS-PFS was annealed at 140 C to induce phase segregation, resulting in a 2D close-packed array of PFS spheres embedded in a PS matrix. The distance between the spheres and their size depends on the relative molecular weights of each of the polymer chains. The PS matrix is removed, and the PFS sphere pattern transferred into the magnetic layer by series of dry etching steps[1]. The resulting in-plane dot-arrays have coercivities of up to 228 Oe for the Co and 160 Oe for NiFe arrays, while out-of-plane CoCrPt dots show coercivity of 1650 Oe. Further magnetic analysis showed that in-plane magnetized Co and NiFe arrays are coupled magnetostatically and exhibit collective switching behavior, while out-of-plane magnetized CoCrPt dots are non-interacting and switch coherently. Long range order can be imposed by templating the polymer using a topographical substrate consisting of channels made from a hydrogen silsesquioxane resist layer patterned using electron-beam lithography. The number of rows of spheres that assemble along the groove can be controlled by the width of the groove[2]. Particularly interesting is the case of a single row of particles where the channel width constrains the particles in the direction perpendicular to the channel and allows for control of the aspect ratio of the nanoparticles. In agreement with predictions by theoretical models, the shape of the block copolymer domains has been observed to vary from prolate to oblate ellipsoids as the channel width is reduced. We will discuss potential applications of 1D arrays of magnetic particles fabricated by block-copolymer lithogrpahy, which range from novel data storage to plasmon waveguides and magnetic logic. [1]J. Y. Cheng, C. A. Ross, V. Z.-H.Chan, E. L. Thomas, R. G. H. Lammertink, and G. J. Vancso, Advanced Materials 13, 1174-1178 (2001).[2]J. Y. Cheng, A. M. Mayes, and C. A. Ross, Nature Materials 3, 823 (2004).
11:45 AM - O17.3
Environment-Controlled Spin Coating to Control the Orientation of Microdomains in Thin Block Copolymer Films
Sangcheol Kim 1 2 , Robert Briber 1 , Alamgir Karim 2 , Ronald Jones 2 , Ho-Cheol Kim 3
1 Dept. of Materials Science and Engineering, Univ. of Maryland, College Park, Maryland, United States, 2 Polymers Division, NIST, Gaithersburg, Maryland, United States, 3 Advanced Organic Materials, IBM Almaden Research Center, San Jose, California, United States
Show AbstractThin films of block copolymers with controlled orientation of the microdomains have been studied extensively, partly due to their potential usages as an alternative pattering method with sublithographic length scales. Indeed the patterns from normally oriented cylindrical block copolymer microdomains have been used to build electronic devices such as capacitors and memories. Recently a single device of FET fabrication was demonstrated using the pattern of cylindrical microdomains oriented parallel to the surface. However, to create thin films with such controlled microdomain orientation generally requires long thermal annealing times. Considering the throughput of current optical lithographic patterning method (> 100 wafers per hour), this long process time for block copolymers is obviously a big barrier for real applications. Recently, several papers showed an interesting fact that it is possible to trap block copolymer in a non-equilibrium state with a desired orientation by solvent casting1-3. According to the reports, within seconds, the cylindrical microdomains of an asymmetric block copolymers of polystyrene and poly(ethylene oxide) (PS-b-PEO) can be oriented normal to the surface of a thin film.2 The orientation and ordering of the morphology could then be improved by relatively long term (typically 48 h) solvent annealing in benzene.3 The present work systematically explores the role of solvent evaporation on the orientation of PS-b-PEO cylindrical domains and utilizes more manufacturing and environment appropriate organic solvents. We’ll report results on the microdomain orientation of films produced by spin coating with less than 1 min. exposure to various mixed solvent vapors and controlled humidity. The choice of solvents were based on relative polymer solubility parameters and solvent vapor pressure. Results obtained using surface energy gradient of substrate and film thickness will also be presented. 1. G. Kim and M. Libera, Macromolecules 1998, 31, 2569.2. Z. Lin, D. H. Kim, X. D. Wu, Boosahda, D. Stoe, L. La Rose, T. P. Russell, Adv. Mater. 2002, 14, 1373.3. S. H. Kim, M. J. Misner, T. Xu, M. Kimura, T. P. Russell, Adv. Mater. 2004, 16, 226.
12:00 PM - O17.4
Integration of Organized Pt Dots for Non Volatile Memory Application.
Martin Kogelschatz 1 , Thierry Baron 1 , Karim Aissou 1 , Gabriel Molas 2 , Barbara De Salvo 2
1 LTM, CNRS, Grenoble France, 2 Leti, CEA, Grenoble France
Show AbstractTo overcome scaling problems and breakdown issues as device dimension decrease in non volatile memory, replacement of continuous polysilicon floating gate by discrete storage nodes has been proposed. As an example, Si nanocrystals have already been integrated into the floating gate of non-volatile memory exhibiting competing electrical properties in comparison with other technology (SONOS). To go further, a promising way could be to integrate directly organised metallic nanodots as a granular floating gate, to control precisely their size and their density and to improve the retention time due to the barrier height of the metal with respect to Si and SiO2. A promising techniques to achieve this goal is to use the natural properties of diblock copolymers which form a regular lattice to minimise their free energy. To create a hexagonal lattice array of vertical PMMA cylinders in a PS matrix, 30% w PMMA/ 70% w PS diblock copolymers were choosen. This array then defines a deposition mask.PS-b-PMMA films are spin coated on neutralized silicon dioxide (5 nm) substrate; the thickness is adjusted by spin parameters to achieve a value between 30 and 40 nm. We have studied the formation of an hexagonal array of PMMA cylinders in a PS matrix (diameter 20 nm, spacing 42 nm) for different annealing time at 170 °C. We obtained domains with a correlation length of about 450 nm, separated by defects which are 5-fold or 7-fold PMMA cylinder instead of the conventionnal 6 fold coordination. The mean area of PMMA base cylinder surrounded by 5, 6 and 7 cylinders are around 250, 270 and 430 nm2, respectively. We want to point out that for the 7-fold case, the cylinder present an elliptic shape to minize the free energy of the domain rather than a circular one (observed for the 5 and 6 fold). As the annealing time increases, the “elliptic shape cylinder” tend to separate and form two “circular shape cylinder” of equivalent area. The samples are then exposed to utraviolet light (HgXe, deep UV, ~1,2 J/cm2) during 15 min to break the PMMA chain by scission and then the PMMA cylinders are removed with acetic acid leaving an hexagonal array of holes) in the PS matrix. Organized Pt dots are formed by a standard lift-off process and integrated in a 8 inches compatible CMOS process to fabricate capacitor with a damascene technology. Electrical behavior of these devices will be presented in terms of current injection, and charge storage.
O18: Chemistry of Lithographic Resists
Session Chairs
Takashi Ando
Hiroshi Yoshida
Thursday PM, November 30, 2006
Room 310 (Hynes)
12:15 PM - O18.1
Single Component Molecular Glass Resists for Nanofabrication: Compounds Incorporating Acid Generator, Etch Resistant, and Protecting Groups into a Single Molecule
Richard Lawson 1 , Clifford Henderson 1
1 School of Chemical & Biomolecular Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States
Show AbstractThe size of device features in ICs and other advanced microelectronics applications is rapidly becoming of the same order of magnitude as both the polymer chain dimensions in the photoresists used to print them and the LER exhibited by such materials. Furthermore, traditional chemically amplified resist designs, which rely on the use of photoacid generators (PAGs) blended into a polymer resin, suffer from a number of problems including inhomogenous PAG distribution in the resist film and photoacid diffusion induced image blur. It is likely that substantially different resist material designs will be required for acceptable imaging performance, good sensitivies, and sufficient process lattitudes for sub-50 nm feature production. For high resolution electron beam lithography applications, the application of traditional chemically amplified resists is difficult for a number of reasons including long delay periods in the vacuum system, potential volatility of photoacids, and resolution limitations imposed by photoacid diffusion in such systems. This further motivates the design of new amplified materials for such e-beam applications.To this end, we have been investigating new resist material designs which can overcome some of these limitations in classical CAR resists. Specifically, material designs are being explored which couple two important ideas: (1) covalent incorporation of PAG functionality into the resist material design and (2) use of very low molecular weight glassy compounds. The end result can be a single component material with a low molecular volume which includes all of the functional groups required to produce a functional resist. This paper presents our work on the synthesis, characterization, and imaging performance of single component molecular resists for electron beam lithography. One series of compounds that will be reported are variations on onium salt photoacid genertors which contain protected alcohol sites and pendant etch resistant groups. Contrast curve data, resolution and imaging studies, and photoacid diffusion studies will be presented.
12:30 PM - O18.2
Degradable Crosslinkers for Ultra-Violet Nanoimprint Lithography.
Frank Palmieri 1 , Jacob Adams 2 , Brian Long 2 , William Heath 2 , Pavlos Tsiartas 1 , C. Grant Willson 1 2
1 Chemical Engineering, The University of Texas at Austin, Austin, Texas, United States, 2 Chemistry, The University of Texas at Austin, Austin, Texas, United States
Show AbstractProgress in the semiconductor manufacturing industry depends upon continuous improvements in the resolution of lithographic patterning. Improved resolution requires development of expensive optics and radiation sources. Nanoimprint Lithography (NIL) is a new, high-resolution lithographic patterning technique that shows great promise as a low-cost alternative to standard optical lithography. NIL uses a high-resolution template containing the pattern information in the form of 3-D topographic structures. The template is pressed into a soft, resist material which is hardened before template removal. The process is repeated in a stepwise fashion to pattern large areas with high throughput. Ultra-violet NIL (UV-NIL) utilizes a low-viscosity prepolymer as the imprint resist material. After the template is pressed into the prepolymer liquid, ultra-violet irradiation initiates a polymerization reaction that hardens the liquid film and replicates the topography of the template. Many UV curable materials with diverse liquid material properties, polymerization chemistry, and solid material properties have been explored for UV-NIL applications. Most successful imprint prepolymers incorporate high concentrations of crosslinkable monomers to generate the necessary mechanical properties. Crosslinked imprint materials are generally insoluble even in the most aggressive, non-reactive solvents. This poses a problem for reworking faulty imprints and cleaning templates contaminated with cured imprint resist. Oxidative cleaning techniques such as piranha clean, RCA clean, or dry oxygen plasma etching are expensive and dangerous. Furthermore, imprint resists containing inorganic species such as silicon may not be stripped by such oxidizing chemistries which leave behind insoluble, inorganic oxide deposits on the surface of templates and wafers. A method of decrosslinking the imprint material would aid in substrate reworking and improve template lifetime. Acid or heat degradable crosslinkers provide a means of easily stripping highly crosslinked polymers. Examples of degradable moieties that could be incorporated into the crosslinkers include hindered acid esters, acetals, Diels-Alder adducts, blocked isocyanates, and hydrogen bonding monomers. Various reactive groups can be appended to these degradable units such as glycidal epoxides, (meth)acrylates, and vinyl ethers. The material properties of both the prepolymer formulations and the cured, patterned films containing acrylic and vinyl ether functionalized esters and acetals will be described in this report. The viscosity, vapor pressure, surface tension, and dose to cure were measured for prepolymers and imprint resolution and tensile modulus for the cured polymers. Optimum conditions for initiating decrosslinking and stripping of the crosslinked polymers will be presented.
O19: Nanoscale Characterization
Session Chairs
Ruediger Berger
Bernd Gotsmann
Thursday PM, November 30, 2006
Room 310 (Hynes)
2:30 PM - **O19.1
Fidelity, Stability, and Defects in Nanoscale Polymer Structures
Ronald Jones 1
1 , NIST, Gaithersburg, Maryland, United States
Show AbstractViable manufacturing of next generation data storage systems will rely on the development of manufacturing processes capable of producing dense, large scale arrays of patterns with nanometer scale dimensions. In this work, the 3-dimensional shape evolution of line gratings with feature sizes of 30 to 100 nm are examined using Critical Dimension Small Angle X-ray Scattering (CD-SAXS) and nanoparticle probes. CD-SAXS data are used to examine the fidelity of pattern transfer during nanoimprinting of polymer films, providing details of the effects of mold shape, quality, and processing parameters on the resulting pattern. After creating the patterns, the patterns are examined in-situ during heating above their glass transition temperature. As the patterns relax, they are found to go through three distinct dynamic regimes from elastic recovery to viscous flow. This dynamic signature is then correlated with the intrinsic pattern stability. Finally, defects in nanopatterning are measured using a combination of CD-SAXS, to evaluate systematic defects such as line edge roughness, and nanoparticle probes to seek and find small concentrations of defects in both topology and surface chemistry.
3:00 PM - **O19.2
Measurement of Arrays of Dots Produced by Electron-beam Lithography.
Philip Hoyle 1 , Ian Laidler 1
1 , Vistec Lithography Ltd, Cambridge, Cambridgeshire, United Kingdom
Show AbstractE-beam mastering of templates for patterned media presents a challenge to the toolmaker to similtaneously meet throughput, resolution and placement requirements. State-of-the-art placement results from X-Y tools have been typically obtained using optical metrology tools measuring marks several microns in size and therefore an individual measurement is an average of many hundreds or thousands of e-beam exposure elements (exels). The smallest such marks take about 1 ms to expose. A mastering tool for 1Tb/in2 must not only improve on state-of-the-art placement significantly, do this at higher exels rates, but do so for 15 nm shapes(1) that are made of perhaps only 1 to 10 exels. This reduces the effects of averaging and makes the placement susceptible to higher frequency noise and interference. Such shapes will need to be exposed within about 1 us or less. Measuring the placement errors presents challenges, as, although optical metrology tools can still be used with micron-sized marks to assess true grid placement, ultimately the shapes themselves must be located. This presentation describes a technique for measuring the placement of individual shapes within arrays by analysing SEM images and uses it to benchmark current X-Y tool performance. The non-repeatable errors can be separated from the repeatable errors and a clearer understanding of the necessary improvements can be obtained. References: (1) "Nanofabrication for Patterned Media", Elizabeth A. Dobisz et al., EIPBN conference 2006, submitted to J. Vac. Sci. Technol.
3:45 PM - **O19.3
Ultra-thin Carbon Layers for High Density Magnetic Storage Devices.
Andrea C. Ferrari 1
1 Engineering, University of Cambridge, Cambridge United Kingdom
Show Abstract4:15 PM - O19.4
High Speed Nano-Mechanical Property Mapping.
Bryan Huey 1 , David Shuman 1 , Ramesh Nath 1 , Nicholas Polomoff 1 , Minhua Zhao 1
1 Institute of Materials Science, University of Connecticut, Storrs, Connecticut, United States
Show Abstract AFM provides one of the few nondestructive methods for nanoscale surface characterization, though its’ inherent slow speed limits its practical application in many settings. Numerous efforts are underway to increase the scanning rate, though most employ sophisticated and rare hardware, software, cantilevers, etc. In this presentation, a novel method is described which allows many standard AFM systems to provide several orders of magnitude faster mapping of mechanical properties, yet requires limited additional equipment. Typically, mechanical properties are detected during scanning by vibrating the tip or sample and relating the amplitude or phase response to local contact mechanical variations. The vibration may be below, at, or above the free lever resonance, or in certain cases at contact resonances. In the present case, such contact resonances are also employed, generally occurring at frequencies a factor of five or more above the free resonance frequency for standard commercial cantilevers. These faster vibrations inherently allow improvements in scanning speeds, as they provide more vibrational periods per pixel, thus allowing less time to be spent per pixel for acceptable signal to noise resolution. Accordingly, images have been acquired on a commercial AFM at speeds up to 3000 μm/sec (scan rates up to 70 Hz per line). Topographic tracking at such high speeds is poor without specialized equipment, but the amplitude and phase sensitivities to the corresponding subtle changes in repulsive contact forces are weak. Therefore, although traditional topography images at these rates are poor, relative compliance images can be acquired in less than 4 seconds to identify the nanoscale distribution of distinct contact mechanical properties on a surface. As an example, images acquired between 1 and 70 Hz per line will be presented for molecular membranes distributed on glass, magnetic hard drives, and ferroelectric thin films. High speed magnetic and piezoelectric property measurements will also be demonstrated based on similar concepts.
4:30 PM - O19.5
Contact Acoustic Resonance Dispersive Spectroscopy: a New Method for Nanomechanical Characterization.
David Shuman 1 , Bryan Huey 1
1 Institute of Materials Science, University of Connecticut, Storrs, Connecticut, United States
Show Abstract Nano-structured and -patterned materials are of increasing importance in numerous technological applications, though mapping properties at this scale is a continuing challenge. Accordingly, a novel method to characterize the nanomechanical properties of surfaces has been developed based on Atomic Force Acoustic Microscopy (AFAM). During standard AFAM, the resonance for a vibrated AFM tip fixed on a sample is related to the contact mechanics of the tip-sample junction, which inherently depends on the local mechanical properties of the sample. For property mapping, the vibration frequency is generally fixed at one mechanical resonance and the deflection amplitude and phase offset provide local mechanical contrast. The frequency can also be swept at any given position to find the maximum resonant peak, and possibly higher modes as well. In this new technique, Contact Acoustic Resonance Dispersive Spectroscopy (CARDS), the contact mechanical response of an AFM tip is measured rapidly with either a fast lock-in amplifier or a data acquisition capture card and numerical sampling methods. More important, multiple sweeps are integrated while imaging across a surface, yielding spectra in phase or amplitude versus frequency where peak positions, intensities, and shapes differ with the presence of particular compositions, phases, or surface orientations. As examples, peaks for single crystal Si are sharp, peaks for polycrystalline gold exhibit multiple sub-peaks, and peaks for amorphous fused quartz are smooth and broad. In this manner, CARDS results are somewhat akin to x-ray diffraction spectra, in that they can rapidly provide property measurements of an entire sample region, including relative ratios of the distinct phases present depending on relative peak intensities. As with all AFM based techniques, artifacts related to changes in the local curvature occur, but in CARDS the spectrum peaks resulting from such topographic effects are easily identifiable and therefore avoidable. CARDS thereby allows the mechanical properties of nanoscale features to be reliably identified and mapped.
4:45 PM - O19.6
Measuring Pattern Quality and Porosity of Dielectric Insulator Films Directly Patterned by Nanoimprint Lithography.
Christopher Soles 1 , Hyun Wook Ro 1 , Yifu Ding 1 , Hae-Jeong Lee 1 , Ronald Jones 1 , Alamgir Karim 1 , Daniel Hines 2 , Do Yoon 3 , Huagen Peng 4 , Dave Gidley 4
1 Polymers Division, NIST, Gaithersburg, Maryland, United States, 2 Laboratory for the Physical Sciences, University of Maryland, College Park, Maryland, United States, 3 Department of Chemistry, Seoul National University, College Park, 151-747, Korea (the Republic of), 4 Department of Physics, University of Michigan, Ann Arbor, Michigan, United States
Show AbstractDirectly patterning dielectric insulator materials via nanoimprint lithography has the potential to simplify fabrication processes and significantly reduce the manufacturing costs for semiconductor devices. However, the prospect of mechanically forming these materials, especially in their porous form, raises concerns regarding their physical integrity and pore structure. We report the first direct imprinting of sub-100 nm features into a high modulus methylsilsequioxane-based resin. An excellent fidelity of the pattern transfer process is quantified with nm precision using critical dimension small angle X-ray scattering and specular X-ray reflectivity. X-ray porosimetry and positron annihilation lifetime spectroscopy measurements indicate that imprinting increases the inherent microporosity of the silsequioxane resin. When a porogen (pore generating material) is added, imprinting decreases the population of mesopores associated with the porogen while retaining the enhanced microporosity. The net effect is a decrease the pore interconnectivity. There is also evidence for a sealing effect that is interpreted as an imprint induced dense skin at the surface of the porous pattern.
O20: Scanning Probes
Session Chairs
Thursday PM, November 30, 2006
Room 310 (Hynes)
5:00 PM - **O20.1
Scaling Down Polymer Thermomechanics for Data Storage Applications.
Bernd Gotsmann 1
1 , IBM Zurich Research Laboratory, Rueschlikon Switzerland
Show Abstract5:30 PM - O20.2
Scanning Probe-based Quantification of Charge Storage inMetallic and Semiconductor Dots for Non-volatile Memory Applications.
Michael Gordon 1 , Thierry Baron 1 , Karim Aissou 1 , Pierre Mur 2 , Joel Dufourcq 3
1 LTM, CNRS, Grenoble France, 2 LETI-D2NT, CEA-DRT, Grenoble France, 3 , ATMEL, Rousset France
Show AbstractNon-volatile memory structures using nanocrystals as individual charge storage nodes are currently being investigated as a solution to the scaling problems associated with continuous floating gate architectures. In addition, it has been suggested that metallic dots may have several advantages over semiconducting (SC) dots because of more charge storage per dot and more flexibility over the barrier height with respect to the insulating dielectric. However, direct testing of the charge storage capacity of metallic structures on an individual basis has not been performed to compare with their semiconductor counterparts. Toward this end, we will discuss charge storage and leakage characteristics for individual metallic (Au/Pt/Ni) and SC (Si/Ge) dots in the 5-60 nm size range created using a variety of techniques. Highly-ordered arrays of metallic dots (Au/Pt) were realized via lift-off using deposition masks created with e-beam and auto-organized di-block copolymers. Unorganized Ni and Pt dots were fabricated by dewetting a thin (~nm) metallic film at high temperature and Si/Ge dots were directly grown using 2-step CVD. Charging measurements were carried out with contact electrification (e-/h+ injection with the AFM tip) and “amplitude-mode” electrostatic force microscopy (EFM) under UHV conditions. By changing the tip bias with respect to the dot surface potential for different lift heights before and after the charging step, one can separate the effects of tip-substrate capacitance (~V^2) from dipole-charge forces between the tip and charged nanoparticle (~Qstored*V). Low levels of stored charge (a few e-) as well as fluctuations due to leakage through the oxide barrier can be determined in this fashion. Surface potentials measured above charged dots were seen to be carrier type dependent and vary linearly with injection bias (provided there are no oxide leaks), where the charging efficiency (e-/V applied bias) was determined by only the dot-substrate contact area. Charging efficiencies for small SC dots (8 nm) were ~10 e-/V and larger dots (50-60 nm) showed ~200-400 e-/V. On the other hand, metallic dots formed via dewetting were quite “leaky” due to degradation of the tunnel oxide below the dot by metal silicide formation at high temperature. When dots remain well isolated, the experimental charging levels were seen to agree well with the theoretical single e- charging behavior of the tip-dot-substrate double tunnel junction predicted using orthodox theory.
5:45 PM - O20.3
Massively Parallel Scanning Probes Microscope withDigital Holographic Readout.
Laurent Sache 1 , Hannes Bleuler 1
1 , EPFL - LSRO1, Lausanne Switzerland
Show AbstractMassively Parallel Scanning Probe Microscopy is an obvious path for data storage [1][2]. Current experimental systems still lay far behind Hard Disc Drive(HDD) or Digital Video Disk (DVD), be it in access speed, data throughput, storagedensity or cost per bit. This paper presents an entirely new approach with the promise to break several of theses barriers. The key idea is a readout of a Scanning Probes Microscope (SPM) array by Digital Holographic Microscopy (DHM). This technology directly gives phase information at each pixel of a CCD array. This means that no contact line to each individual SPM probe is needed. The data is directly available in parallel form. Moreover, the optical setup needs in principle no expensive components, optical (or, to a large extent, mechanical) imperfections are compensated in the signal processing, i.e. in electronics. This give the system the potential for a low cost device with Terabit storage per cm2.This contribution will describe the working principle of the device and the MEMS fabrication technology of the SPM array. Using a DHM for the acquisition of the phase information of a first 27 x 27 free bending SPM cantilevers, the real-time topography reconstruction of varied samples brought in contact will be validate.Regarding to current storage technology’s vertical resolution and scanning acquisition time, the approvement of our concept enlarged to a high density SPM arrayreadout will be finally demonstrated.keywords : High density MEMS process, Digital Holography readout, 3D topography measurement.