Symposium Organizers
Kornelius Nielsch University of Hamburg
Anna Fontcuberta-Morral EPFL
Heiner Linke Lund University
Hyunjung Shin Kookmin University
Li Shi The University of Texas-Austin
BB1: Photovoltaics and Solar Energy I
Session Chairs
Anna Fontcuberta i Morral
Monday PM, November 28, 2011
Ballroom A (Hynes)
9:30 AM - **BB1.1
Nanowire Photovoltaics: From Fundamental Limits to New Strategies for Efficient Ultra-Thin Solar Cells.
Charles Lieber 1 , Thomas Kempa 1 , Sun-Kyung Kim 1 2 , Robert Day 1 , James Cahoon 1 , Hong-Gyu Park 2
1 Department of Chemistry and Chemical Biology, Harvard University, Cambridge Korea (the Republic of), 2 Department of Physics, Korea University, Seoul Korea (the Republic of)
Show AbstractSemiconductor nanowires represent a versatile platform on which to realize single standalone photovoltaic devices whose tunable electronic and optical properties can be exploited for emerging next-generation solar cell concepts. Here we will describe past and recent studies of the synthesis of silicon core-shell nanowires with highly crystalline shells and well-defined diode geometries. Optimized devices yield open-circuit voltages as high as 0.50 V and fill-factors greater than 72%, even for nanowires with diameters as small as 200 nm. Notably, single nanowire devices exhibit current densities double the expectation for equivalent bulk films and 1-sun power conversion efficiencies of up to 6%. Furthermore, wavelength-dependent single nanowire photocurrent spectra demonstrate tunable optical resonances within the nanowires, and quantitative analysis of the absolute external quantum efficiencies show that these resonances can yield values equal to or greater than unity. Simulations and measurements further suggest a unique approach for enhancing efficiency from the assembly of designed nanowire elements. In addition, directed assembly and parallel interconnection of nanowires in a vertically stacked configuration yields solar cells with efficiencies in excess of 8%, and simulation further suggests that sub-micron thick assemblies of single nanowires can achieve efficiencies approaching 15%. Prospects and unique opportunities for novel solar cells and applications based on these synthetically-tunable nanostructures will be discussed.
10:00 AM - BB1.2
Indefinitely Long Aligned Nanowires Assembled into a Large Area Photodetection Device.
Erol Ozgur 1 2 , Ozan Aktas 1 2 3 , Mecit Yaman 1 , Mehmet Bayindir 1 2 3
1 , UNAM-National Nanotechnology Research Center, 06800, Ankara Turkey, 2 Institute of Materials Science and Nanotechnology, Bilkent University, 06800, Ankara Turkey, 3 Department of Physics, Bilkent University, 06800, Ankara Turkey
Show AbstractSemiconducting nanowires are being extensively investigated in recent years for many different detection purposes such as chemical [1], biological [2] and optical [3] sensors. Nanowires are produced by either top-down or bottom-up techniques, where standardization of the fabrication or assembly of the produced nanostructures impede the large scale production of nanowire detectors respectively. A new fabrication technique depending on iterative thermal size reduction was recently demonstrated by our research group [4], which enables production of nanowire arrays with extensive length scales. Nanowires produced by this method could easily be assembled into functional large area devices.We produced amorphous selenium nanowire arrays, with each nanowire having diameter of 250 nm and length of several meters. Following the assembly of these nanowires over a sensor platform of square shape, which was prepared by standard microfabrication tools, nanowires were crystallized by a short pyridine exposure, revealing thousands of high sensitivity photoconducting units precisely aligned on chip. Therefore, we obtained a photodetection device within an area of 1 cm2. The proof of principle concept of large area nanowire sensors can be easily extended into much larger devices, without a compromise in enhanced physical properties and meticulous alignment of the nanowires.[1]M. C. McAlpine, H. Ahmad, D. W. Wang, and J. R. Heath, "Highly ordered nanowire arrays on plastic substrates for ultrasensitive flexible chemical sensors," Nature Materials, vol. 6, pp. 379-384, May 2007.[2]Y. Cui, Q. Q. Wei, H. K. Park, and C. M. Lieber, "Nanowire nanosensors for highly sensitive and selective detection of biological and chemical species," Science, vol. 293, pp. 1289-1292, Aug 17 2001.[3]B. Gates, B. Mayers, B. Cattle, and Y. N. Xia, "Synthesis and characterization of uniform nanowires of trigonal selenium," Advanced Functional Materials, vol. 12, pp. 219-227, Mar 2002.[4]M. Yaman, T. Khudiyev, E. Ozgur, M. Kanik, O. Aktas, E. O. Ozgur, H. Deniz, E. Korkut, and M. Bayindir, "Arrays of indefinitely long uniform nanowires and nanotubes," Nature Materials, vol. 10, doi:10.1038/nmat3038, Jul 2011.
10:15 AM - BB1.3
Spatially Resolved Photoelectric Analysis of Single Axial pn-Doped GaAs Nanowires.
Sasa Vinaji 1 , Daniel Sager 1 , Andrey Lysov 2 , Christoph Gutsche 2 , Ingo Regolin 2 , Werner Prost 2 , Franz-Josef Tegude 2 , Wolfgang Mertin 1 , Gerd Bacher 1
1 Werkstoffe der Elektrotechnik & CeNIDE, University Duisburg-Essen, Duisburg Germany, 2 Halbleitertechnologie & CeNIDE, University Duisburg-Essen, Duisburg Germany
Show AbstractDue to their unique geometry and direct bandgap, nanowires based on semiconductors like GaAs are considered as ideal candidates for photovoltaic applications. The flexible growth of III-V semiconductor nanowire heterojunctions due to the strain release should even allow for tandem approaches in nanowire based solar cells for increasing the efficiency. In order to realize such innovative optoelectronic devices, controlled n- and p-doping has to be achieved during nanowire growth, which is known to be quite challenging for GaAs nanowires. Therefore detailed knowledge about the local position of the doping transition and its influence on the photoelectric performance is of fundamental importance for device development. Here, we address this issue by combining Kelvin probe force microscopy (KPFM) [1] and spatially resolved photocurrent microscopy [2].Single GaAs nanowires with typical diameters between 100 nm and 200 nm have been grown under VLS-conditions by metal-organic vapor phase epitaxy with Au particles as seeds. Zn and Sn have been used for p- and n-type doping, respectively, to create a doping transition in axial direction [3]. After contacting, the nanowires show macroscopic diode-like I-V-characteristics with excellent blocking behavior in reverse direction and forward currents up to the µA range in a single nanowire.As KPFM gives direct access to the local surface work function, we have been able to localize the axial doping transition in a single nanowire with a resolution better than 50 nm. A depletion length of about 540 nm has been extracted for an applied reverse bias of -2 V, systematically varying with applied bias. Surprisingly, the voltage drop measured under forward direction reveals a pronounced enhancement of the field extension, giving hint to the presence of a small compensated region. We attribute this to a memory effect of the doping via the Au-seed during VLS growth [2]. Spatially resolved monochromatic laser illumination reveals that photo generation of charge carriers takes place only in the vicinity of the pn-junction. A photo current up to 80 nA is measured for an excitation power density of 370 Wcm-2, scaling linearly with increasing laser power. Very promising values of the open circuit voltage and the fill factor (0.9 V and 69 %, respectively) are achieved under laser illumination, remaining almost constant up to intensities of several thousand suns, which proves the potential for concentrator solar cells. We estimate efficiencies ranging from 3 – 9 % for single nanowire devices under AM 1.5G conditions [2].[1] S. Vinaji et al., Nanotechnology 2009, 20, 385702[2] A. Lysov et al., Nano Res. 2011, DOI: 10.1007/s12274-011-0155-4[3] I. Regolin et al., J. Cryst. Growth 2011, 315, 143
10:30 AM - **BB1.4
Semiconductor Nanowire Based Photovoltaics and Lighting Devices.
Deli Wang 1 2 3
1 Electrical & computer Engineering, UC San Diego, La Jolla, California, United States, 2 MSE program, UC San Diego, La Jolla, California, United States, 3 Cal-IT2, UC San Diego, La Jolla, California, United States
Show AbstractAs energy because more and more a demanding issue, the conservation of energy and the clean and effective generation of renewable energy are drawing more and more attention to research and business communities globally. High efficient light to electricity (LEDs) or electricity to light (photovoltaics) conversion are of broad interests, and to this end, semiconductor nanowires (NWs) offer unique perspectives. This talk presents the use of semiconductor MW arrays for effective light emitting devices and solar cells and the utilization of 3D branched NW photoelectrodes for efficient solar water splitting and H2 Generation. Specifically, we will discuss (i) the design, simulation, fabrication, and characterization of radial pn Si NW solar cells, (ii) the effective hydrogen generation using ZnO/Si NW branched heterostructure as photoelectrodes and (iii) the light emitting devices based on ZnO NW array by high electron injection from vacuum. These studies offer insights on NW solar cell and LED research.
BB2: Nanowires Growth I
Session Chairs
Monday PM, November 28, 2011
Ballroom A (Hynes)
11:30 AM - BB2.1
Controlled Synthesis of Uniform Nanowires in the Gas Phase.
Magnus Heurlin 1 , Martin Magnusson 2 , Knut Deppert 1 , Lars Samuelson 1
1 , Lund University, Lund Sweden, 2 , Sol Voltaics AB, Lund Sweden
Show AbstractCompound III-V semiconductor nanowires can today be produced using a variety of epitaxial growth techniques, usually by directing gaseous flows or molecular beams over a single crystalline substrate. Although these epitaxial growth techniques provide high quality single crystalline nanowires they are often expensive and have a low throughput, since the nanowires must be nucleated on a substrate. The substrate on which the nanowires are grown must also have an asymmetry, for example a metal particle or oxide template, to facilitate the nanowire growth. Nanowires have been produced with techniques that do not require a substrate, both in liquid [1] and gaseous [2] environments. These techniques, which have the advantage of being able to produce bulk quantities of nanowires, introduce their asymmetry in the liquid or gaseous environment, usually by formation or addition of metal catalyst particles, and then supply growth material through the same environment. Although nanowires can be produced without the use of a substrate, the techniques often lack flexibility compared to epitaxial techniques based on a substrate, hindering investigation of the fundamental properties of the growth mechanism and fabrication of more advanced nanowire structures. We have developed a hybrid technique that combines the flexibility of a metal-organic chemical vapor deposition (MOCVD) system with the high throughput potential for nanowires produced in a gas phase without using a substrate. In our growth process, nanowires are nucleated by size selected Au aerosol nanoparticles suspended in a carrier gas flow. The carrier gas containing the Au nanoparticles is mixed with standard metal-organic and hydride precursor sources used in MOCVD before entering a furnace, where the reaction forming the nanowires, takes place. Under suitable reaction conditions, each Au nanoparticle nucleates a nanowire and during the time it spends in the reaction furnace, the nanowire becomes elongated. After the reaction has taken place, the nanowires are transported to a deposition chamber by the carrier gas and deposited on a substrate using an electric field. Using this nanowire growth technique, we have studied the growth of gas phase synthesized GaAs nanowires as a function of particle size, V/III ratio, temperature, and growth time. Control over these parameters provides us with the means to continuously produce nanowires with a given length, diameter, shape, and crystal structure. We believe that control over the properties of these nanowires will facilitate the integration of continuously produced nanowires in large scale applications such as solar cells and solid state lighting. 1.Trentler, T.J., et al., Solution-Liquid-Solid Growth of Crystalline III-V Semiconductors: An Analogy to Vapor-Liquid-Solid Growth. Science, 1995. 270(5243): p. 1791-1794.2.Duan, X. and C.M. Lieber, General Synthesis of Compound Semiconductor Nanowires. Advanced Materials, 2000. 12(4): p. 298-302.
11:45 AM - BB2.2
Synthesis of Epitaxial Nanostructures on Layer-Structured Substrates via van der Waals Epitaxy.
Muhammad Utama 1 , Qihua Xiong 1 2
1 School of Physical and Mathematical Sciences, Nanyang Technological Uni, Singapore Singapore, 2 School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore Singapore
Show AbstractThe synthesis of epitaxial nanostructures has received intensive attention during the last few decades for both the fundamental study of emerging nanoscale phenomena and the development of novel device concept with enhanced functionalities. However, the possibility of materials that can be prepared had been restrained by the availability of appropriate substrates with the strict requirement of lattice matching and similarity in crystal symmetry. As such, synthesis of epitaxial nanostructures had only been mainly accomplished with homoepitaxy and limited combinations of heteroepitaxy. A synthesis strategy called van der Waals epitaxy has been utilized to grow large-scale vertically aligned nanowire arrays from a selection of binary semiconductors (ZnO, ZnS, ZnTe, CdS, CdSe, CdTe and PbS) on (001) muscovite mica substrate using vapor transport process without any introduction of foreign catalyst. Similar strategy can also result in polytypic tripod nano- and microcrystals of II-VI semiconductor on muscovite mica with pronounced preferential orientation of the tripods’ legs with respect to the substrate, which is a strong indication of epitaxial relation. These results effectively illustrate the versatility of the van der Waals epitaxy strategy, and the possibility for the preparation of architectures with higher complexity, such as hierarchical and heterostructures, on various other combinations of materials and layered substrates toward a wide range of promising technological applications. Detailed characterization and optical properties will be discussed, with a particular focus on the exciton emission in those nanostructures.
12:00 PM - BB2.3
Crystalline Curved Silicon Nanowires with Ribbon-like Cross-Sections.
Jungkil Kim 1 2 , Young Heon Kim 1 , Woo Lee 1 2
1 , Korea Research Institute of Standards and Science (KRISS), Daejeon Korea (the Republic of), 2 Department of Nano Science, University of Science and Technology (UST), Daejeon Korea (the Republic of)
Show AbstractSingle crystalline silicon nanowires (SiNWs) have attracted considerable research interests due to their intriguing chemical, optoelectronic, and mechanical properties, as well as technical compatibility with the industrial integrated-circuit technologies. Development of simple and robust synthetic methods to control the axial crystal orientation and morphology of SiNWs will provide researchers a solid platform for thoroughly understanding physicochemical properties of nanowires, and thus for exploring various state-of-the-art applications of them. To date, various synthetic methods have been developed for SiNWs with uniform dimensions, morphologies, and crystal orientations. These include supercritical fluid-phase approach, vapor-liquid-solid (VLS) growth, reactive ion etching (RIE), metal-assisted chemical etching, and etc. Despite large synthetic advances in the last decade, enormous challenges remain to achieve fine control over the axial orientation and morphology in the fabrication of SiNWs. In this contribution, we show that chemical etching of Si(100) substrate utilizing patterned thin film of gold as catalyst can be successfully implemented to fabricate extended arrays of SiNWs with controlled axial orientations and morphologies, demonstrating fabrication of structurally well-defined zigzag SiNWs, ultrathin [111] SiNWs, and curved SiNWs with controlled turning angles.[1] We have systematically investigated the factors governing the axial crystal orientation and morphology of SiNWs during chemical etching of silicon by performing extensive etching experiments under various conditions.[2] A phenomenological model that may explain the formation of the present novel silicon nanostructures during chemical etching of silicon will be discussed in this presentation.References1. J. Kim, Y.H. Kim, S.-H. Choi, and W. Lee, ACS Nano, DOI: 10.1021/nn2014358 (2011)2. J. Kim, H. Han, Y.H. Kim, S.-H. Choi, J.-C. Kim, and W. Lee, ACS Nano 5, 3222 (2011)Authors:Presenting author: Jungkil Kim, E-mail:
[email protected] author: Woo Lee, E-mail:
[email protected], Tel: +82-42-868-5397
12:15 PM - BB2.4
Ni-Silicide Growth Kinetics in Si and Si/SiO2 Core/Shell Nanowires.
Ken Ogata 1 , Eli Sutter 2 , Xueni Zhu 1 , Stephan Hofmann 1
1 Engineering, University of Cambridge, Cambridge United Kingdom, 2 , Brookhaven National Lab., Uptown, New York, United States
Show AbstractA systematic study of the kinetics of axial Ni silicidation of as-grown and oxidised Si nanowires with different crystallographic orientations and core diameters ranging from ~10-100 nm is presented. For temperatures between 300 - 440°C the length of the total axial silicide intrusion varies with the square root of time, which provides clear evidence that the rate limiting step is Ni diffusion through the growing silicide phase(s). A clear retardation of the Ni silicide formation for oxidised SiNWs is found, indicative of a stress induced lowering of the diffusion coefficients. Extrapolated growth constants indicate that the Ni flux through the silicided NW is dominated by surface diffusion, which is consistent with an inverse square root dependence of the silicide length on the NW diameter as observed for <111> orientated SiNWs. In-situ TEM silicidation experiments show that NiSi2 is the first forming phase for as-grown and oxidised SiNWs. The silicide-SiNW interface is thereby atomically abrupt and typically planar. Ni-rich silicide phases subsequently nucleate close to the Ni pad, which for as-grown SiNWs can lead to a complete channel break-off for prolonged silicidation due to significant volume expansion and morphological changes.
12:30 PM - **BB2.5
Morphogenesis of One-Dimensional or Two-Dimensional Si Nanostrutures by High Rate of Gas Flow.
Heon-Jin Choi 1
1 Department of Materials Science and Engineering, Yonsei University, Seoul Korea (the Republic of)
Show AbstractThe deterministic growth of different shape of 1D or 2D Si nanostructures will be presented. From the typical chemical vapor transport system, various Si nanostructures including rough surfaced Si and SiGe nanowires, ultrathin Si nanowires with diameter of 5 nm, Si nanoribbons, ultrathin Si nanosheets with thickness of < 2 nm, and very thin FeGe nanowires as well as Si nanowires were synthesized by simply adjusting the gas flow rate within the system. The growth of these various nanostructures appeared to require a specific high rate of gas flow. The preliminary characterizations of these nanostructures showed some novelties (e.g, extremely low thermal conductivities in the rough surfaced Si and SiGe nanowires, interfacial reaction dominated full oxidation of the 5 nm Si nanowires, direct band gap transition driven blue emissions from the Si nanosheets and helimagnatic ordering in the thin FeGe nanowires) that should be interesting for developing nanodevices in the field of energy, optoelectronics and electronics. The role of high flow rate of gas on the morphogenesis of 1D or 2D nanostrutures will be discussed.
BB3: Photovoltaics and Solar Energy II
Session Chairs
Monday PM, November 28, 2011
Ballroom A (Hynes)
2:30 PM - **BB3.1
Semiconductor Nanowires for Solar Fuel Generation.
Peidong Yang 1
1 , UC, Berkeley, Berkeley, California, United States
Show AbstractNanowires, with their unique capability to bridge the nanoscopic and macroscopic worlds, have already been demonstrated as important materials for different energy conversion. One emerging and exciting direction is their application for solar to fuel conversion. The generation of fuels by the direct conversion of solar energy in a fully integrated system is an attractive goal, but no such system has been demonstrated that shows the required efficiency, is sufficiently durable, or can be manufactured at reasonable cost. One of the most critical issues in solar water splitting is the development of a suitable photoanode with high efficiency and long-term durability in an aqueous environment. Semiconductor nanowires represent an important class of nanostructure building block for direct solar-to-fuel application because of their high surface area, tunable bandgap and efficient charge transport and collection. Nanowires can be readily designed and synthesized to deterministically incorporate heterojunctions with improved light absorption, charge separation and vectorial transport. Meanwhile, it is also possible to selectively decorate different oxidation or reduction catalysts onto specific segments of the nanowires to mimic the compartmentalized reactions in natural photosynthesis. In this talk, I will highlight several recent examples in this lab using semiconductor nanowires and their heterostructures for the purpose of direct solar water splitting.
3:00 PM - **BB3.2
New Directions for Wire Arrays in Solar Energy Conversion.
Harry Atwater 1 2
1 Applied Physics and Materials Science, California Institute of Technology, Pasadena, California, United States, 2 Joint Center for Artificial Photosynthesis, California Institute of Technology, Pasadena, California, United States
Show AbstractRapid progress in silicon wire array solar cells has enabled large-area (>100 square centimeters) cells with high open circuit voltage (>600 mV) and high (>90%) quantum efficiency. Currently wire array cells have conversion efficiencies comparable to current thin film solar cells, and have the potential to ultimately approach efficiencies of the best silicon solar cells. To achieve even higher efficiencies, tandem heterojunction wire array cells represent a promising direction. I will describe recent progress on heterojunction wire array photovoltaics in lattice-matched and lattice-mismatched semiconductor wire array heterostructures. Wire array heterostructures are also attractive as candidates for z-scheme tandem solar photoelectrochemical cells using p-type silicon photocathodes and n-type oxide and nitride semiconducting anodes. I will discuss the nanoscale and mesoscale photonic and electrochemical transport issues that need to be addressed in tandem z-scheme wire arrays for prototype solar fuel cells.
3:30 PM - BB3.3
Hybrid Coaxial Heterojunction Nanorods as Building Blocks for Solution Processed Transistors and Solar Cells.
Jose Mawyin 1 , Cyril Martini 1 , Mingqin Wang 1 , Ivan Shupyk 1 , Guillaume Poize 1 , Ekaterina Shilova 1 , Frederic Fages 1 , Joerg Ackermann 1
1 CINAM, CNRS UPR 3118, Marseille France
Show AbstractNanowires containing a radial heterojunction represent promising building blocks to fabricate novel energy conversion nanosystems because they offer large interfacial areas enabling unique interplays of properties at the nanoscale.[1-3] All-inorganic coaxial nanowires with p-n interfaces were shown to achieve efficient charge separation and carrier transport,[3] but their fabrication still requires demanding technologies. Combining inorganic anisotropic nanostructures with organic electroactive surfactants allow the generation of hybrid coaxial nanosystems with novel functionality and compatibility to low cost processing. Recently we reported the synthesis of coaxial p-n junction nanorods by self-assembling of organic p-type semiconductor monolayers (p-SAM) at n-type zinc oxide nanorods by simple techniques in solution. [4,5] Due the presence of alkyl chains in the periphery of the molecules, the resulting core-shell nanorods are highly soluble and form stable inks ready for printing. By varying the nature of the electroactive surfactant, we show that absorption and thus the photovoltaic properties of the coaxial nanorods can be tuned without changing the inorganic skeleton. In order to address their electronic and photovoltaic properties, solution processed solar cells and field effect transistors (FET) using hybrid coaxial nanorods as active layers are fabricated. We demonstrate that such coaxial nanorods show air stable ambipolar transport in the hybrid FETs.[4] Furthermore solar cells using such coaxial nanorods as active layer were demonstrated with efficiencies of 0.3%.[5] Here we show that by optimizing the electroactive ligand structure, external quantum efficiencies up to 40% at the absorption maximum and total solar energy conversion efficiency above 1% can be reached. Thus theses results together with their capacity to form stable inks reveal the potential of theses hybrid coaxial nanorods for printable electronics and photovoltaics.Reference[1] R. Agarwal, Small 2008, 4, 1872.[2] B. Tian , T. J. Kempa, C. M. Lieber, Chem. Soc. Rev. 2009, 38, 16.[3] B. Tian, X. Zheng, T. J. Kempa, Y. Fang, N. Yu, G. Yu, J. Huang, C. M. Lieber, Nature 2007, 449, 885.[4] C. Martini, G. Poize, D. Ferry, D. Kanehira, N. Yoshimoto, J Ackermann, F. Fages. ChemPhysChem 2009, 10, 2465. [5] J. Mawyin, I. Shupyk, M. Wang, G. Poize, P. Atienzar, T. Ishwara, J. R. Durrant, J. Nelson, D. Kanehira, N. Yoshimoto, C. Martini, E. Shilova, P. Secondo, H. Brisset, F. Fages, and J. Ackermann, J. Phys. Chem. C, 2011, 115, 10881
3:45 PM - BB3.4
Effective Passivation Strategies for Si Radial Junction Solar Cells.
Dong Rip Kim 1 , Chi Hwan Lee 1 , Pratap Rao 1 , In Sun Cho 1 , Xiaolin Zheng 1
1 Mechanical Engineering, Stanford University, Stanford, California, United States
Show AbstractSi wire-based radial junction solar cells were proposed to have a theoretical efficiency of 17% due to improved charge-carrier collection, but reported experimental efficiencies are typically below 10%. It is well recognized that such wire-based radial junction wire solar cells inherently suffer from large junction and surface charge-carrier recombination, but this has received limited experimental investigation. Herein, we present two strategies for effective junction and top surface passivation for wire-based radial junction wire solar cells by using intrinsic polycrystalline Si (poly-Si) and amorphous silicon nitride (a-SiN:H) thin films, respectively. We fabricated the vertically-aligned radial junction wire arrays from a bulk Si wafer to form a hybrid Si microwire (radial junction) and planar solar cells to simplify the fabrication process so that we can focus on the passivation methods. The inclusion of the intrinsic poly-Si layer between the p-n junction layers increases the efficiency by approximately 30% by reducing the dark current. The top a-SiN:H layer improves the efficiency by approximately 20% due to its combined surface passivation and anti-reflection effects. With the combination of both passivation layers, the maximum efficiency of the hybrid Si microwire-planar cell is improved from 7.2% to 11.0% under AM 1.5G illumination. The efficiency of the hybrid cells is also higher than that of planar cells of the identical layers, confirming the benefits of radial junction in terms of enhancing light absorption and improving the charge-carrier collections. We believe that these junction and surface passivation strategies are effective to other wire-based radial-junction solar cells as well. Finally, the hybrid cell structure serves as an important intermediate between planar and pure wire array-based solar cells in that it has higher efficiency than planar comparison solar cells and simpler fabrication than pure wire array-based solar cells.
BB4: Optical Properties I
Session Chairs
Monday PM, November 28, 2011
Ballroom A (Hynes)
4:30 PM - BB4.1
Structural and Optoelectronic Properties of Hybrid ZnO/Polymer Core-Shell Nanowires Fabricated by Oxidative and Initiated Chemical Vapor Deposition.
Jan Richters 1 , Michael Diez 1 , Tobias Voss 1
1 Institute of Solid State Physics, University of Bremen, Bremen Germany
Show AbstractHybrid inorganic/organic nanowire structures have recently attracted considerable attention since they provide new functionalities that cannot be achieved with either the inorganic or organic part alone. In particular, core/shell nanowires with inorganic cores and organic shells have shown a huge potential for the realization of efficient light-emitting or photovoltaic devices. For such structures, a controlled deposition of the polymer shell with a thickness control in the nm-range is required to tailor the electronic and optical properties of the hybrid nanostructure.We have successfully developed a route to fabricate hybrid ZnO/polymer core-shell nanowires using the oxidative or initiated chemical vapor deposition (OCVD/ICVD) processes, respectively. A constant gas flow of the respective monomer is introduced into the growth chamber. A second gas flow of an appropriate initiator molecule is applied so that the polymerization reaction is initiated directly at the surface of the nanowire sample which is placed inside the growth chamber. This way, we have been able to grow shells of polystyrene and poly(3,4-ethylenedioxythiophene) (PEDOT) with a thickness of several tens of nanometers around ZnO nanowire cores with growth rates of about 100nm/min. For the PEDOT, the polymerization reaction is initiated by introducing Br2 vapor which additionally leads to doping of the polymer and thereby increases its hole conductivity.TEM characterization of the hybrid core-shell nanowires reveals a crystalline ZnO core surrounded by a smooth amorphous polymer layer. The introduction of Br as a dopant into the PEDOT layers is verified by energy-dispersive X-ray spectroscopy. Photoluminescence spectroscopy shows a broadening of the exciton lines of the ZnO nanowires after polymer coating which is attributed to etching processes occurring during the application of the Br2 initiator. Additionally, the photoluminescence spectra indicate that part of the applied Br2 vapor is adsorbed at the ZnO nanowire surface.Electrical characterization of arrays of ZnO/PEDOT core-shell nanowires shows clear diode characteristics since the n-conductive ZnO form a rectifying junction with the p-conductive Br-doped PEDOT. Current densities in the order of a few mA/cm2 confirm the already high doping level of the PEDOT shell deposited in the OCVD process. Potential applications of the core/shell nanowires for hybrid LEDs and solar cells will be discussed and evaluated based on their optoelectronic properties.
4:45 PM - BB4.2
Influence of Boron Doping on the near Infra Red Emission of Hexagonal Silicon Nanowires.
Filippo Fabbri 1 2 , Enzo Rotunno 1 , Laura Lazzarini 1 , Naoki Fukata 2 3 , Giancarlo Salviati 1
1 , IMEM CNR, Parma Italy, 2 , National Institute for Materials Science, Tsukuba Japan, 3 , PRESTO, Japan Science and Technology Agency, Tsukuba Japan
Show AbstractSilicon nanowires (Si-NWs) are promising for different fields of materials science such as sensing and energy harvesting applications. The possibility to epitaxially grow hexagonal Si nanowires on cubic silicon substrates and to achieve strong infrared emission from these nanostructures can open a new scenario in optoelectronics, in telecommunication engineering and also in the possible integration with commercial silicon based electronics as well as energy harvesting. In this work we present the experimental evidence of near infra-red emission of hexagonal Si-NWs at room temperature by cathodoluminescence spectroscopy. A throughout correlation with structural characterization is carried out in order to identify the origin of this strong emission. Hexagonal Si-NWs (h-Si NWs) are grown by means of a chemical vapour deposition with silane (SiH4) as silicon precursor and diborane (B2H6) as the precursor for boron doping. The analyzed samples are grown with with different temperature and different diborane flow in order to dope the NWs with different amounts of boron atoms.Cathodoluminescence (CL) spectroscopy of bundle of NWs is employed to analyze the light emission in a large range of wavelengths (600 – 2500 nm), with different measurement conditions as beam current and temperature. High resolution transmission electron microscopy (HRTEM), and micro-Raman spectroscopy, are used to assess the structural and chemical properties of the NWs.CL spectroscopy shows a broad emission peaked at 0.6 eV with a shoulder on the high energy side. More accurate analyses reveal that the emission is composed by two different bands peaked at 0.78 eV (1650 nm) and 0.58 eV (2130 nm)The main peak at 0.6 eV has been ascribed to boron doping in hexagonal silicon while the peak at 0.78 eV to the hexagonal silicon near-band-edge emission. CL emissions have also been found in the visible range (1.6 -1.8 eV) and they could be attributed to silicon oxide and/or amorphous silicon, whose presence has been confirmed by TEM analyses. The Si NWs optical emission has been compared to cubic silicon, showing an integrated CL intensity more than two order of magnitude higher.
5:00 PM - BB4.3
Composition and Size Effects on the Optical Properties of Isolated Silicon-Germanium Nanowires.
Houssem Kallel 1 2 3 , Arnaud Arbouet 1 2 , Abdallah Chehaidar 3 , Alexis Potie 4 , Bassem Salem 4 , Thierry Baron 4 , Vincent Paillard 1 2
1 CEMES, CNRS, Toulouse France, 2 University Paul Sabatier, University of Toulouse, Toulouse France, 3 Department of physics, University of Sfax, Sfax Tunisia, 4 LTM, CNRS-CEA, Grenoble France
Show AbstractSemiconductor nanowires (NWs) are promising novel materials for the next-generation of nanoelectronics CMOS transistors, as well as for photovoltaic devices such as photodetectors and solar cells. It has been demonstrated recently that the absorption and diffusion of the sun light spectrum can be enhanced and tuned by using silicon nanowires compared to a planar thin film. This opens a route in the optimization of solar cells in order to improve their efficiency, while decreasing their cost. Indeed, a low optical absorption imposes to use a thick film to absorb incident photons, which must be also of very high crystalline quality to insure large diffusion lengths of electrons and/or holes collected on electrodes located on each film surface. The carrier diffusion length is less important in NWs where core-shell geometry can favor small distances between the generation and collection of photocarriers. Furthermore, the lower absorption volume compared to thick planar film is largely compensated by specific optical properties with enhanced diffusion and absorption and reduced reflectivity as function of nanowire diameter, light incidence angle and polarization state.Recent works have been mainly focused on pure silicon nanowires. Using the Mie theory extended to the case of an infinite cylinder of diameter D, we performed a numerical study of the optical properties of silicon-germanium alloy nanowires with various silicon compositions. This provides a new tunable parameter and shifts the direct electronic transitions to the visible range (located at the near-UV spectral region for bulk silicon). We observe that, for normal incidence and for NWs with diameter below a threshold of about 50 nm, the absorption efficiency is dominated by direct electronic transitions, while non-polarized light scattering efficiency distribution shifts uniformly towards larger wavelengths as the composition in germanium is increased. For larger NWs diameters above the threshold, both absorption and scattering efficiency distributions show distinct branches, which are the resonance modes in a cylindrical guide. These branches shift to larger wavelengths with increasing germanium content as a consequence of the shift of the direct electronic transitions.The theoretical results are compared to light scattering experiments on isolated NWs grown by VLS technique. The composition of each investigated NW is determined by Raman spectroscopy. Light scattering and Raman spectra are obtained on the same NW using a specific optical spectrometer allowing switching between white light illumination and laser excitation in a dark field/bright field microscope objective.
5:15 PM - BB4.4
Photoluminescence and Strain Relaxation Studies of Ge-Core/SiGe-Shell Heterostructures.
Shu Hu 1 , Yoko Kawamura 2 , Kevin C. Y. Huang 1 , Irene Goldthorpe 1 , Ann Marshall 3 , Mark Brongersma 1 3 , Paul McIntyre 1 3
1 Materials Science and Engineering, Stanford University, Stanford, California, United States, 2 School of Fundamental Science and Technology, Keio University, Yokohama Japan, 3 Geballe Laboratory for Advanced Materials, Stanford University, Stanford, California, United States
Show AbstractGroup IV core-shell nanowire (NW) heterostructures are promising building blocks to enable the improved performance of nanophotonic and nanoelectronic devices. Surface passivation is an important technological issue towards realization of NW-based devices. For example, the number of photoluminescence (PL) studies involving Ge NWs is still limited, since it is challenging to detect sufficient emission intensity without appropriate surface passivation. Therefore, epitaxial growth of a SiGe alloy shell around a Ge nanowire core is proposed to achieve surface passivation and carrier confinement by using Si/SiO2 passivation and by creating core-shell band offsets. For suitable choices of core-shell materials and dimensions, band offsets in a core-shell nanowire may confine carriers to the core, away from the surface states. In nanophotonic devices, confining carriers away from the surface can increase the emission efficiency. Previously, we have synthesized highly strained, dislocation-free, coaxial nanowire heterostructures, by inhibiting surface roughening during shell growth. In this work, we employ photoluminescence and strain relaxation studies to understand the surface passivation and thermal stability of strained Ge-core/SiGe-shell nanowire heterostructures. First, PL peaks from core-shell NWs are successfully observed, with 10X more PL intensity than for uncoated Ge NWs without surface passivation. The significant intensity of core-shell NW PL is comparable to that of bulk Ge PL, due to the good surface passivation. However, the initially coherent core-shell interfaces with large Si composition gradient and core-shell lattice mismatch are not in thermodynamic equilibrium. The effects of post-synthesis thermal processes may lead to loss of surface passivation and relaxation of misfit strains. Interdiffusion, surface roughening and dislocation formation at core-shell interfaces are observed by the TEM characterization of nanowire samples before and after annealing under various conditions.
5:30 PM - BB4.5
Photoluminescent Semiconducting Peptide Nanowires Self-Assembled in Vapor Phase.
Joon Seok Lee 1 , Chan Beum Park 1
1 Department of Materials Science and Engineering, Korea Advanced Institute of Science and Technology (KAIST), Daejeon Korea (the Republic of)
Show AbstractBiological molecules exhibit unique properties such as functional flexibility and molecular recognition capability, offering possibilities to fabricate novel devices utilizing their versatile characteristics. Recently, nanostructures comprised of peptide-based building blocks have been successfully synthesized through molecular self-assembly under ambient conditions, showing their potential as a useful platform for biological applications. In order to employ biological nanomaterials in nanoelectronic devices, it is critical to have appropriate electrical properties in their supramolecular architectures. Here, we report the first synthesis of photoluminescent, semiconducting, single-crystalline, peptide nanowires (NWs) that are synthesized by the self-assembly of diphenylalanine (Phe-Phe, FF), a key structural motif in Alzheimer’s β–amyloid, through a simple vapor-transport process. Structural analysis reveals that the semiconducting behavior of the peptide NWs arises from the aromatic interaction between aromatic side chains of cyclo-FF molecules in an orthorhombic symmetry, while non-conducting FF nanostructures have a hexagonal array made of linear FF. Furthermore, the single-crystalline peptide NWs exhibit a strong blue luminescence centered at 465 nm. The novel photoluminescent and semiconducting property of NWs made of pure biological molecules (i.e., peptides) could provide significant advantages of electronic and optical tunability via molecular design, diversity, and flexibility for their applications in nanoelectronics and optical devices.Our Recent Publications Related to This Presentation:J. S. Lee, I. Yoon, J. Kim, H. Ihee, B. Kim, C. B. Park. Angewandte Chemie Int. Ed. 50: 1164-1167 (2011)J. S. Lee, H.-I. Ryoo, C. B. Park, and D.-P. Kim. Lab on a Chip 11: 378-380 (2011) J. S. Lee, J. Ryu, and C. B. Park. Soft Matter 5: 2717-2720 (2009)
5:45 PM - BB4.6
Nanosecond Voltage Pulsing and DC Voltage Sweeping on Nano-Scale Ge2Sb2Te5 Wires.
Maren Wennberg 1 , Adrienne King 1 , Adam Cywar 1 , Faruk Dirisaglik 1 , Helena Silva 1 , Ali Gokirmak 1
1 Electrical and Computer Engineering, University of Connecticut, Storrs, Connecticut, United States
Show Abstract Resistive memory technologies have recently become a topic of great interest as Moore's Law is expected to come to an end [1]. One of the most promising resistive memory technologies is phase-change memory (PCM) in which high and low resistance states of a device are achieved through reversible phase transitions of a material between amorphous and crystalline states [2]. In this work we study the crystallization and amorphization of nano-scale Ge2Sb2Te5 (GST) wires through nanosecond voltage pulsing and DC voltage sweeping. Arrays of wires are patterned from a GST film (deposited as crystalline) to have lengths ranging from 60 nm to 2.5 µm and widths from 40 nm to 250 nm. Some of the wires are suspended in air by etching the underlying oxide and some are encapsulated in Si3N4. Wires are subjected to repeated nanosecond voltage pulsing with incrementing amplitude and/or DC voltage sweeps with incrementing amplitude while the current is monitored. Wires that receive repeated DC voltage sweeps show up to a ~3 times decrease in resistance which may be attributed to a change from face-centered cubic (FCC) to hexagonal close packed (HCP) structure. Wires which receive nanosecond voltage pulses are expected to be melting as suggested by a sharp spike in the current-time characteristics as well as SEM images. These wires show a ~ 3-4 times increase in resistance. [1] L. B. Kish, "End of Moore's law: thermal (noise) death of integration in micro and nano electronics," Physics Letters A, vol. 305, pp. 144-149, 2002. [2] H. Wong, S. Raoux, S. B. Kim, J. Liang, J. P. Reifenberg, B. Rajendran, M. Asheghi and K. E. Goodson, "Phase Change Memory," Proc IEEE, vol. 98, pp. 2201-2227, 2010.
BB5: Poster Session: Organic Nanowires and Nanotubes
Session Chairs
Heiner Linke
Hyunjung Shin
Tuesday AM, November 29, 2011
Exhibition Hall C (Hynes)
9:00 PM - BB5.1
Carbon Nanotube - Quantum Dot Hybrids for Photovoltaics.
Anni Siitonen 1 , Helen Wei 1 , Gregory Pilgrim 1 , Todd Krauss 1
1 Chemistry, University of Rochester, Rochester, New York, United States
Show AbstractCarbon nanotubes (CNTs) have unique chirality-dependent optical and electronic properties that make them desirable components for optoelectrical devices. Hybrid assemblies composed of CNTs attached to colloidal semiconductor quantum dots (QDs) offer even greater potential than CNTs alone. CNTs are good electron acceptors with capability to transport electrons for long distances ballistically whereas QDs have broad absorption bands with high extinction coefficients and size-tunable absorption and emission. Both materials have a high surface to volume ratio providing good interfacial area for interaction. The fluorescence emission intensity from QDs is strongly quenched by interaction with CNTs. This indicates that there is an efficient energy or charge transfer from QDs to CNTs which could enable usage of CNT-QD hybrids in photovoltaic applications. As a first step towards development of a photovoltaic device, we will present studies of photoinduced electron transfer in CNT-QD hybrids.Most studies that exploit CNT conductivity have employed randomly distributed mats of CNTs or well-aligned films. However, for maximizing the surface area for QD interaction and at the same time minimizing the charge transport losses due to NT junctions, CNT forests that are well-aligned vertically with thicknesses of hundreds of microns seem a very promising solution. We synthesized vertically aligned carbon nanotubes (VACNTs) by a CVD process using an e-beam evaporated Al2O3/ Fe thin-film catalyst and ethylene gas as the carbon source. Film thicknesses of over 100 µm of multiwalled CNTs have been achieved as measured by scanning electron microscopy (SEM) and transmission electron microscopy (TEM). Colloidal CdSe QDs had their aliphatic surface capping ligands (oleic acid and tri-octylphsophine) replaced by pyridine and were then attached to CNTs non-covalently with close enough proximity for charge transfer. The photoinduced charge transport properties of QD-VACNT assemblies, such as photocurrent action spectrum and internal quantum efficiency, will be characterized.
9:00 PM - BB5.10
Electric Field Assisted Selective Growth of Organic Conductive Wires and Molecular Break Junction by a Joule Heating.
Masatoshi Sakai 1 , Hiroshi Yamauchi 1 , Masakazu Nakamura 2 1 , Kazuhiro Kudo 1
1 Department of Electrical and Electronic Engineering, Chiba University, Chiba city, Chiba, Japan, 2 Graduate School of Materials Science, Nara Institute of Science and Technology, Ikoma, Nara, Japan
Show Abstract We have studied on the electric field-assisted selective and oriented growth of tetrathiafulvalene (TTF) - tetracyanoquinodimethane (TCNQ) organic conductor. TTF-TCNQ is well known high conductive organic charge transfer complex composed of donor molecule TTF and acceptor molecule TCNQ. In our experiment, TTF-TCNQ wire-like crystals were grown in specially developed vacuum chamber equipped with a in-situ growth observation system. TTF and TCNQ were co-evaporated from separated crucibles because of a large difference of vapor pressure between TTF and TCNQ. We have reported that TTF-TCNQ wires grown from an anode and a cathode grow along electric lines of force and make a connection at each growth tip. After making a connection, moderate DC electrical current was applied through the connected TTF-TCNQ wires. Generated Joule heat is concentrated at the junction because electrical resistivity is the highest at the junction, which is due to the lack of TTF at the tip of the crystal during the growth. TTF molecules initially vaporized due to the generated Joule heat because of their high vapor pressure, and the electrical conductance at the junction steeply decreased. With decreasing the conductance at the junction, the generated Joule heat was more and more concentrated at the junction. Thus TCNQ molecule at around the junction also began to vaporize. After the sufficient vaporization of TCNQ, the conductance of the connected TTF-TCNQ wires began to indicate significant oscillation. After showing the oscillation about 150 sec, the electrical conductivity finally dropped and the oscillation ceased. At this time, TTF-TCNQ wires were completely disconnected. Therefore, the observed oscillation is explained by desorption and adsorption of single or several molecular bridges remaining at the junction in parallel. However, obvious step-like decrease or increase of the conductance was not observed in the DC method because the continuous Joule heat generated under DC current was not controllable. Hence we tried to apply AC electrical current (i.e. continuous pulsed Joule heating) on another connected TTF-TCNQ crystal. Before applying AC current, the sample was treated by the DC electrical current mentioned above until just before the beginning of the oscillation. And then we applied AC electrical current of 7020 Hz. After a gradual decrease of the conductance, several step-like decrease were observed. These steps were approximately 1 pS and its integer multiples. Moreover, not only step-like decrease but also step-like increase of the conductance were observed. The step-like increase and decrease are explained by the single molecular desorption and adsorption. In addition, the time duration of the observed steps are nearly equal, which possibly reflect the probability of the single molecular desorption and adsorption.
9:00 PM - BB5.12
Fabrication of Patterned Polymer Nanowire (NW) Arrays.
Dajun Yuan 1 , Hao Feng 2 3 , Rui Guo 1 , Su Zhang 2 , Ray P. S. Han 3 , Zhong Lin Wang 2 , Suman Das 1
1 Woodruff School of Mechanical Engineering, Gerogia Institute of Technology, Atlanta, Georgia, United States, 2 2.School of Material Science and Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States, 3 3.Department of Advanced Materials and Nanotechnology, Peking University, Beijing China
Show AbstractPolymer-based NWs have been fabricated and demonstrated for applications in areas such as organic light-emitting diodes (OLED), field-effect transistors (FET), sensors, and organic solar cells. Demonstrated approaches for the fabrication of organic NWs include EBL, electrochemical deposition, and anodic aluminum oxide (AAO). However none of these approaches provide a reliable, high-throughput, and low-cost solution for large-scale fabrication of patterned organic NW arrays at a level required for industrial applications. Here, we report on the development of a simple, two-step method for fabricating ordered and large-scale polymer NW arrays with high throughput on both UV-absorbent polymers including PET and Dura film (76% PE (polyethylene) (76%) and polycarbonate (24%)) and UV-transparent polymers such as PVA(polyvinyl acetate) and PP (polypropylene). The method involves laser interference patterning (LIP) followed by inductively coupled plasma (ICP) etching. For UV-transparent polymers, thin films of photoresist are spin-coated on the surface form the initial patterns for further ICP etching. For UV-absorbent polymer, the surfaces need to be precleaned. LIP of these films with a 10 ns pulsed Nd:YAG laser with 355 nm wavelength, and 10 Hz repetition rate creates periodic patterns. By adjusting LIP period and laser intensity and rotation angles between successive exposures, different feature sizes (300 nm to 2.5 µm) and shapes (square, circle, and triangle) of patterns can be created on polymer surfaces over areas spanning 2 to 5 cm2. The patterned substrates are then used for ICP etching with gas flow rate ratio as Ar/O2/CF4 =15.0/10/30.0 standard cubic cm/min (sccm) at 55 oC and 15 mTorr pressure. Polymer nanowire arrays are well defined after ICP etching with diameters from 100 nm to 1 µm determined by LIP parameters and ICP etching time. Characterization of the polymer and photoresist post-patterning and is conducted through SEM and Raman spectroscopy. This simple method of producing large-scale well-placed and oriented polymer nanowire arrays demonstrates an efficiency approach to fabricate organic material devices in mechanic, electrical, energy, and biological science.
9:00 PM - BB5.14
Nonvolatile CNT Network Devices for Analog Memory Applications.
Kyunghyun Kim 1 , Chia-Ling Chen 1 , Yong-Sik Ahn 1 , Yong Chen 1 2
1 MAE, UCLA, Los Angeles, California, United States, 2 California NanoSystem Institute, University of California, Los Angeles, Los Angeles, California, United States
Show AbstractIn this work, we have demonstrated the design and operation of Carbon Nanotubes (CNTs) memory device which can be used as a nonvolatile storage for analog data. In our design, the conductance of the CNT device can be easily programmed by applying a series of pulses on the gate electrode. Utilizing this method, the need of A/D conversion can be obviated to store analog data into it and, accordingly, this device can be used in many applications of analog processing. A network of randomly aligned single-walled CNTs was functioning as the transistor channel as well as a memory element. Ti/Au source and drain electrodes were interconnected with the CNT channel. A poly(ethylene glycol) monomethyl ether (PEG) layer, which was cross-linked by e-beam lithography, contacted the central section of the CNT channel. An Al/Ti top gate electrode was fabricated on top of the PEG layer. An electrochemical cell is integrated in this transistor with the PEG polymer layer as an electrolyte, and the Al/Ti and CNTs as electrodes. The conductance of a transistor can be tuned to arbitrary states dynamically and reversibly by applying a series of pulses on the gate electrode with different amplitudes and polarities. When a series of pulses with negative amplitude was applied to the gate electrode, CNTs are dehydrogenated and the conductance was gradually increased as the number of applied pulses increasing. On the other hand, when a series of pulses with positive amplitude was applied to the gate electrode, CNTs are hydrogenated and the conductance was progressively decreased as the number of applied pulses increasing. The programming range of the transistor conductance can be easily controlled by the amplitude of gate pulses. For negative pulses, the programming range of the source-drain current (IDS) increased 10 times as the amplitude of gate pulses increased from –1 V to –7 V. For positive pulses, the IDS programming range increased 4 times when the amplitude of gate pulses increased from +1 V to +7 V. Furthermore, the nonvolatility of the programmed transistors was studied by measuring the change of IDS versus time for about two weeks in room temperature. Each programmed device remained unchanged in IDS throughout the two-week test time which shows our device has very good nonvolatile properties. In summary, we have successfully demonstrated nonvolatile CNT networks devices with an electrochemical cell realized by a PEG layer, metal electrodes and a CNT channel. By applying series of pulses on the gate electrode, the conductance of the CNT devices can be easily programmed for data storage. The CNT devices with a nonvolatile analog memory function are of both scientific and technical interest with potential applications for analog memory, field programmable, and neuromorphic circuits.
9:00 PM - BB5.17
Polyaniline Coated Single-Walled Carbon Nanotubes: Synthesis and Applications in Chemical Sensing.
Mengning Ding 1 2 , Yifan Tang 2 , Pingping Gou 2 , Michael Reber 2 , Alexander Star 1 2
1 , National Energy Technology Laboratory, Pittsburgh, Pennsylvania, United States, 2 Chemistry, University of Pittsburgh, Pittsburgh, Pennsylvania, United States
Show AbstractWe report a solution phase synthesis of a nanocomposite material - polyaniline coated single-walled carbon nanotubes (SWNT/PAni), through a non-covalent functionalization approach. Such nanocomposite had a well controlled core/shell structures and demonstrated advanced properties. Chemiresistor devices were fabricated out of the SWNT/PAni and their sensitivities towards several chemical gases/vapors, including acetic acid, ammonia, hydrogen sulfide, acetone and hydrazine, were explored. Compared to both starting materials - pristine SWNTs and polyaniline nanofibers (PAni NFs) - SWNT/PAni showed advantages such as higher chemical sensitivity, reversible detection, and excellent chemical stability. In order to understand the unique behavior of the SWNT/PAni, we studied the electron interactions between the PAni shell and the SWNT core during the chemical sensing using a combination of electrochemistry, optical spectroscopy and electrical transport measurement. We showed that in the SWNT/PAni nanocomposite, the SWNT core could interact effectively with the PAni shell and facilitate the electron transfer process. In the oxidizing environment, the SWNT core could donate electrons to the PAni shell and thus increase the energy barrier to the oxidation of the PAni shell from emeraldine form to pernigraniline form; in the reducing environment, the SWNT core could withdraw electrons from PAni shell and thus facilitate the reversible transition between emeraldine form and the reduced leucoemeraldine form. Such core-shell interactions resulted in the prolonged device life time and the reversible sensing of strong reducing agent such as hydrazine - a highly toxic and explosive chemical which is used as a component of rocket fuels. We further demonstrated the use of SWNT/PAni for fast and reversible detection of hydrazine at the concentrations as low as 50 parts per billion. In summary, the controlled core/shell morphology of SWNT/PAni nanocomposite enabled unique chemical behavior of the SWNT core and PAni shell and demonstrated a positive synergistic effects on sensor device performance of the SWNT/PAni.
9:00 PM - BB5.18
Self-Assembled Cyclic Oligothiophene Nanotubes: Unique Electronic Properties and Band Structures.
Bryan Wong 1
1 Materials Chemistry Department, Sandia National Laboratories, Livermore, California, United States
Show AbstractThe band structure and size-scaling of electronic properties in self-assembled cyclic oligothiophene nanotubes are investigated using density functional theory (DFT) for the first time. In these unique tubular aggregates, the π-π stacking interactions between adjacent monomers provide pathways for charge transport and energy migration along the periodic one-dimensional nanostructure. In order to simultaneously describe both the π-π stacking interactions and the global electronic band structure of these nanotubes, we utilize a novel dispersion-corrected hybrid functional in conjunction with one-dimensional periodic boundary conditions. Based on our calculations, we present simple analytical formulas for estimating the fundamental band gaps of these unique nanotubes as a function of size and diameter. Our results on these molecular nanostructures indicate that all of the oligothiophene nanotubes are direct-gap semiconductors with band gaps ranging from 0.9 eV – 3.3 eV, depending on tube diameter and oligothiophene orientation. These nanotubes have cohesive energies of up to 2.43 eV per monomer, indicating future potential use in organic electronic devices due to their tunable electronic band structure and high structural stability.
9:00 PM - BB5.19
Design and Simulation of Energy Harvesting System Based on the CNT/PVDF Nanowired Dielectric Elastomer.
A Young Choi 1 , Youn Tae Kim 1
1 Dept. of IT Fusion Technology, IT Fusion Tech. Research Center, Chosun University, Gwangju Korea (the Republic of)
Show AbstractThese environmentally friendly energy sources have the added benefit of being small, portable, and safe, and have received considerable attention due to the kinetic energy potential that can be obtained in human body movements. Nanogenerators capable of transforming a body in motion into electricity to power portable devices are attractive for many applications, including energy harvesters. In this study, CNT/PVDF (Carbon Nanotube/polyvinlyliden fluorid) nanocomposite wires were fabricated to utilize the components of nanogenerators. An assembled CNT/PVDF layer with Pt wires coating on silicon substrate. The weaving and laminating assembly method was repeated to produce the multiple layers of CNT/PVDF and Pt nanowires. The proposed PDMS polymer approach is ideal to protect the CNT/PVDF. The total film thickness was precisely managed by controlling the number of repeating layers 250 layers of a 2.5 um diameter single nanowire were found to be adequate in producing a 2.5 mm thick pad. The arrangement and spacing within each layer can be changed independently. The power generation of each single nanowire (diameter 2.5 um, length 500 um) was 150 pW. This pack arrangement can yield 6 mW of momentary power generation when considering stretching efficiency ~150%, conversion efficiency 10%, and efficiency for electricity transmission 20%. 21W/day of power production can be obtained while stretching and releasing the pad repeatedly for two-second intervals, when considering the two hours of effective energy harvested in a 24-hour day.
9:00 PM - BB5.2
Production and Characterization of Electrospun Polyaniline Fibers with High Electrical Conductivity.
Yuxi Zhang 1 , Gregory Rutledge 1
1 Chemical Engineering, MIT, Cambridge, Massachusetts, United States
Show AbstractPolyaniline is one of the most studied electrically conductive polymers, yet is generally difficult to process. Here, we report its production in nanofiber form by means of electrospinning the blended solutions with other high-molecular-weight polymers such as poly(ethylene oxide) and poly(methyl methacrylate) in chloroform and dimethylformamide (DMF) solutions over a range of compositions. For the first time, pure conductive polyaniline fibers have been produced by core-shell electrospinning using polyaniline and an equimolar amount of (+)-camphor-10-sulfonic acid in a mixed chloroform and DMF solution as the core fluid and poly(methyl methacrylate) in DMF solution as the shell fluid, which served as a processing aid and was selectively removed subsequently. The single-fiber electrical conductivities of the resulting doped polyaniline fiber, are carefully measured by depositing the fibers on interdigitated Pt electrodes and by a high-impedance analyzer and corrected for contact resistances. The conductivities are found to increase exponentially with the weight percent of doped polyaniline in the fibers, to as high as 50 ± 30 S/cm when all processing-aid polymers are removed. Further stretching of the aligned fibers in the fiber direction up to 100% strain increases the conductivity to 130 ± 40 S/cm. The most likely cause of this increase is the enhanced molecular orientation in the electrospun fibers along the fiber direction. With fiber diameters ranging from 400 nanometers to a few micrometers, these electrospun polyaniline fiber mats have large surface-area-to-weight ratios in addition to their high electrical conductivity, and thus are promising for a variety of applications, such as for sensors, switchable filtration membranes, scaffolds for tissue engineering, and for providing a conductive substrate for surface functionalization and modifications.
9:00 PM - BB5.22
Electrophoretic Directed Assembly of Single-Walled Carbon Nanotubes.
Mehmet Apaydin 1 , Cihan Yilmaz 1 , Sivasubramanian Somu 1 , Ahmed Busnaina 1
1 , NSF Nanoscale Science and Engineering Center for High-rate Nanomanufacturing, Boston, Massachusetts, United States
Show AbstractSingle-walled carbon nanotubes are considered to be potential building blocks for future nano scale devices in various types of applications including electronics, energy storage and nanoelectromechanical systems due to their extraordinary mechanical and electrical properties. To incorporate SWNTs into CMOS based devices, current chemical vapor deposition (CVD) growth cannot be used since CVD process requires very high temperatures (>600οC). An alternative proposed method in order to achieve this incorporation is to harvest CVD grown SWNTs, suspend them in solution, assemble them to a template, and then transfer the assembled structures to a recipient substrate. In this study, the assembly of SWNTs into patterned PMMA trench templates on gold substrate is investigated by employing electrophoresis. It is a widely used directed assembly method, providing high volume and high-rate assembly of SWNTs on micro and nano scale features at room temperature and pressure conditions. In electrophoretic assembly, the template together with compensating electrode is dipped into a SWNT solution. A DC electric field is applied for a specific amount of time and subsequently the template is removed from the solution at a constant speed. Even though several governing parameters for the electrophoretic assembly of SWNTs such as applied voltage, time period of assembly, pH of the solution have been investigated, the sonication time of SWNT solution prior to assembly and pulling speed of electrodes have not been considered in great detail. Experiments were conducted by generating a template with 20μm long trenches with various widths ranging from 1μm to 250nm. The sonication of SWNT solution was carried out before assembly in Branson ultrasonicator at 40KHz and 125W for time periods ranging from 20 minutes to an hour. Electrophoretic assembly parameters such as applied voltage (2V), distance between template and counter electrode (2mm), time period of assembly (90s), and pH of the SWNT solution (10.1) were kept constant through these experiments. It is observed that longer time period of sonication resulted in less agglomeration of assembled SWNTs on nanoscale features. Pulling speed was varied between 5mm/min and 20mm/min. The assembly of SWNTs is accomplished only at desired regions for a narrow window of pulling speed of the template from SWNT solution. The variation of assembly efficiency as a function of voltage at constant pH (10.1±0.1) was also carried out. Results indicate that a monolayer of assembly is achievable.
9:00 PM - BB5.23
Ultrahigh Density Array of Free Standing Poly(3-hexylthiophene) Nanotubes with Enhanced Conductivity on Conducting Substrates via Solution Wetting.
Jinseok Byun 1 , Youngsuk Kim 1 , Gumhye Jeon 1 , Jin Kon Kim 1
1 Chemical Engineering, POSTECH, Pohang, Pohang, Korea (the Republic of)
Show AbstractVertically and laterally aligned free standing nanotube array on a conducting substrate would be ideal structures for an active layer of high performance organic photovoltaic cells or sensors, and electrodes of electrochromic devices. However, researches on conjugated polymer nanotube array on conducting substrates have been limited, because the fabrication of this array is very difficult. In this paper, an ultrahigh density array of vertically and laterally aligned poly (3-hexylthiophene) (P3HT) nanotubes with enhanced conuductivity on conducting substrates was successfully fabricated by solution wetting in the anodized aluminum oxide template. After solvent annealing, the conductivity of P3HT nanotubes was significantly increased due to highly aligned P3HT chains along the nanotube direction (or perpendicular to the substrate). This approach also provides a facile route for the preparation of ultrahigh density array of various conjugated polymer nanotubes. The conducting polymer nanotube array could be used for high performance organic devices, such as sensors, organic photovoltaic cells, and electrochromic devices.
9:00 PM - BB5.24
Aligned and Conductive CNT@TiO2 Film for High-Performance and Flexible Photoanodes.
Di Jiangtao 1 , Li Qingwen 1
1 Chinese Academy of Science, Suzhou Institute of Nanotech and Nanobionics, Suzhou China
Show Abstract TiO2 has long been of great interest as it holds great promise for exploiting renewable solar energy for hydrogen and electricity. We report a simple approach for the synthesis of a free-standing, flexible and continuous CNT@TiO2 array film. The unique interaction of titanium organic precursor with CNT surface leads to the favorable formation of well-crystallized anatase TiO2 coating layer. The composite film is conductive and can function as both photoanode and charge collecting electrode for photoelectrochemical water splitting and dye-sensitized solar cell (DSSC). Incident photon-to-current conversion efficiency of 32% at 320 nm has been observed for the film as a photoanode in a water splitting cell, which indicates that an effective path has been built for charges to separate and transport along CNTs. DSSC based on such composite film shows a short-circuit photocurrent density of 0.8 mA/cm2 with an open-circuit voltage of 0.52 V, calculated filling factor of 0.34, and overall power conversion efficiency of 0.14%. More importantly, the CNT@TiO2 film is flexible and transparent, which can be bended and manipulated into desired electrode shapes. It helps open new doors for designing ITO-free photoelectrochemical cells for versatile applications.
9:00 PM - BB5.25
Aspect Ratio Control of Nanofibers by Instability of Electrohydrodynamic Jetting.
Soyoung Choi 1 , Jonghwi Lee 1
1 , Chungang University, Seoul Korea (the Republic of)
Show AbstractElectrospinning has been recognized as an efficient technique for the fabrication of polymer nanofibers, which has attracted much attention for the last decade. The question of jetting instability, pulsating jetting, has been studied by many authors theoretically and experimentally, whose results shows the applicability of this phenomenon to not only single nozzles but also core/shell nozzles. Herein, the instability of core/shell nozzle electrospinning was investigated under various jetting conditions, and utilized for the production of chopped fibers of different aspect ratios. While keeping stable electrospinning of shell polyethylene glycol solution, the jetting conditions of core solutions were varied. From fluorescence microscopy analysis, the size of inner nozzle and the conductivity of inner cellulose acetate solutions were found to be influencing parameters. After the preparation of core/shell fibers, the selective dissolution of the shell material (polyethylene glycol) resulted in chopped fibers. The discontinuity of core solution was intensified as the diameter of inner nozzle was diminished. An increase in the concentration of core solution resulted in more continuous jetting of inner core materials, which corresponds to a longer aspect ratio. The mechanism for the instability is that a modulation in the radius of the jet induces a modulation in the surface charge density. By using this instability phenomenon, the diversity of nanostructures prepared by electrohydrodynamic jetting can be significantly widened.
9:00 PM - BB5.27
Electrical Double Layer Capacitor Formed with Carbon Nanotube.
Y. Shishido 1 , R. Kuwabara 1 , Yoshiyuki Show 1
1 , Tokai University, Hiratsuka Japan
Show AbstractThe electric double layer capacitor (EDLC) has an advantage that it enables to charge and discharge in short time comparing to other energy strange devices. Acetylene black is generally added to the polarizable electrode, which is made of activated carbon, in order to decrease the series resistance of the EDLC.In this study, carbon nanotube (CNT) was added in to the polarizable electrode of EDLC instead of the acetylene black as conducting material. The CNT-added polarizable electrode was applied to the coin type EDLCs.Activated carbon with a specific surface area of 2000m2/g was used as the base material for the polarizable electrode. The CNT was added into the polarizable electrode at various concentrations from 0 to 20%. The EDLC added with the acetylene black was also fabricated as a reference. All EDLCs showed a capacitance of approximately 20F/g. The capacitance was not decreased by a CNT addition of below 20%. No specific capacitance dependence relative to the CNT and acetylene black concentrations were observed up to 20%. The series resistance of the EDLCs fabricated without any conducting materials, such as CNTs, showed a high value of 40 Ohm. When the CNTs were added to the polarizable electrodes to act as a conducting material, the series resistance of the EDLC decreased with an increase in CNT concentration. An EDLC fabricated with a CNT concentration of 20% has a series resistance as low as 2 Ohm. This series resistance was lower than that of an EDLC fabricated with the same concentration of acetylene black by quarter. These results indicate that the CNT is suitable conducting material for the EDLC.
9:00 PM - BB5.28
Anticorrosion Coating Using Electrically Conductive CNT/FEP Composite Film for Bipolar Plate of Fuel Cell.
H. Kuribayashi 1 , T. Seimiya 1 , T. Nakashima 1 , S. Ishikawa 1 , T. Hisano 1 , D. Fukushiro 1 , K. Yoshida 1 , Y. Shishido 1 , Yoshiyuki Show 1
1 , Tokai University, Hiratsuka Japan
Show AbstractCarbon nanotube (CNT) is chemically stable and electrically conductive material. One of the applications of CNT is filler into insulating materials for decreasing its electrical resistance. In this study, dispersion fluid of fluorinated ethylene propylene (FEP) was mixed with CNT dispersion to form CNT/FEP composite film. Although FEP is electrically insulating material, this composite film shows electrical conductivity. The application of this composite film is anticorrosion coating to bipolar plate of fuel cell, because it has chemical stability in addition to the electrical conductivity.The CNT/FEP resin composite film was formed from dispersion fluids of the CNT and the FEP. CNT dispersion was made from multi-wall type CNT. Cellulose derivatives were added into water to disperse the CNT. Water based commercial FEP dispersion was used in this study. The dispersion fluids of the CNT and the FEP were mixed and stirred by applying the ultrasonic wave. The CNT/ fluorocarbon resin dispersion was applied to stainless steel bipolar plate at the thickness 50micro meter. The bipolar plates were at 350 oC for 20min.Pure FEP showed the low conductivity below measuring limit. The CNT/FEP composite film of 25% CNT showed high conductivity of 20S/cm. The conductivity increased up to 30S/cm with an increase in the CNT concentration up to 75%. This result indicates that the CNTs form the electrical network in the FEP film and modify the film into electrically conductive material.The CNT/FEP composite film was coated on the bipolar plates of a fuel cell. The fuel cell using the bare stainless steel (SS) bipolar plates showed maximum output power of 1.5W. The coating of the CNT/FEP composite film on bipolar plate increased the maximum output power up to 3.9W, which is higher than that of bare SS bipolar plates by 2.6 times. Impedance analyzer measurement for these FCs indicated that the coating of the CNT/FEP composite film decreased the contact resistance between the bipolar plate and the MEA. Therefore, the FC fabricated with the metal bipolar plate, which is coated with the CNT/FEP composite film, shows high output power. This result indicates that the CNT/FEP composite film is useful for anticorrosion coating to bipolar plate of fuel cell.
9:00 PM - BB5.29
Preparation of the Mesoporous Nanofiber Webs by Electrospinning as Electrode Materials of EDLC.
Eunmi Jo 1 , Changkook Hong 1
1 , chonnam national university, Gwangju Korea (the Republic of)
Show AbstractThe capacity of EDLC is dependent on the specific surface area of the electrodes. Mesoporous volume is a very important factor to the performance of EDLC. As increasing the mesoporous volume, the ion-mobility resistance is decreased and the charge capacitance is enhanced. The purpose of this work is to prepare mesoporous nanofiber webs using polyacrylonitrile (PAN) and Poly(methyl methacrylate) (PMMA) blends by electrospinning process. The PAN/PMMA blend solutions were prepared in various weight ratios, such as 100/0, 75/25, 50/50, 25/75, and 0/100. And PMMA was removed at a high temperature by carbonization in N2 atmosphere. The mesopores sized in 20~50nm were observed on the surface of the nanofiber. According to the BET analysis, the nanofiber webs had a large surface area suitable for electrodes of supercapacitors. It is predicted that ion mobility is improved and the capacitance of EDLC is significantly enhanced by adapting the mesoporous nanofiber webs.
9:00 PM - BB5.30
First-Principles Calculation on Transport Properties of Nanoscale Molecular Wires.
Hiroshi Mizuseki 1 , Sang Uck Lee 1 , Rodion Belosludov 1 , Yoshiyuki Kawazoe 1
1 , Institute for Materials Research, Tohoku Univ., Sendai, Miyagi, Japan
Show AbstractBuilding molecular devices with molecules was initially proposed by Aviram and Ratner in the 1970s. New methods and techniques developed since then and different prospective molecular candidates were measured to understand various transport properties and demonstrate their technological usefulness. We used the self-consistent method-based density functional theory (DFT) and non-equilibrium Green’s function (NEGF) to simulate molecular transport. Our group has covered a wide range of nanoscale materials, which have potential application in molecular nanowires [1], such as decorated capped carbon nanotubes [2-4], fused porphyrin [5], metallocene, fused-ring thiophene wires [6, 7], and so on. In this presentation, we will present the quantum transport properties of nanowires using local orbital basis sets. Namely, we have performed a systematic analysis of molecular level alignments and electron transport characteristics for these nanowires, to investigate a relationship of the energy levels of delocalized frontier orbitals and Fermi level of metal electrodes and estimate the electronic transport properties through atomic and molecular wires.References 1. http://www-lab.imr.edu/~mizuseki/nanowire.html2. S.-U. Lee, R. V. Belosludov, H. Mizuseki, and Y. Kawazoe, Small, 5, 1769 (2009).3. S.-U. Lee, H. Mizuseki, and Y. Kawazoe, Nanoscale, 2, 2758 (2010).4. S.-U. Lee, R. V. Belosludov, H. Mizuseki, and Y. Kawazoe, Nanoscale, 3, 1773 (2011).5. S.-U. Lee, R. V. Belosludov, H. Mizuseki, and Y. Kawazoe, Small, 4, 962 (2008).6. S.-U. Lee, R. V. Belosludov, H. Mizuseki, and Y. Kawazoe, J. Phys. Chem. C, 111, 15397 (2007).7. H. Mizuseki, R. V. Belosludov, T. Uehara, S.-U. Lee, and Y. Kawazoe, J. Korean Phys. Soc., 52, 1197 (2008).
9:00 PM - BB5.31
Rational Design of Quantum-Dot-Sensitized TiO2 Nanotube Arrays for Highly Efficient Cofactor Regeneration.
Jungki Ryu 1 , Sahng Ha Lee 1 , Dong Heon Nam 1 , Chan Beum Park 1
1 Materials Science and Engineering, KAIST, Daejeon Korea (the Republic of)
Show AbstractDespite the high potential of redox enzymes for the synthesis of valuable compounds, their application is hampered by the high cost of enzyme-specific cofactors that are required as a redox equivalent, such as NAD(P)H and FADH. In this work, we report on the development of quantum-dot sensitized TiO2 nanotube arrays for redox enzymatic synthesis coupled with the photoregeneration of nicotinamide cofactors via inspiration from natural photosynthesis. The nanostructured TiO2–CdS photoelectrode has many advantages for cofactor regeneration. For example, conventional biocatalytic regeneration methods have critical problems such as by-product formation and the requirement of a secondary enzyme, which cause an increase in regeneration cost and pose a significant hurdle for their practical implementation. To avoid such problems, researchers investigated electrochemical methods that use the reducing power of electrons supplied from the electrode connected to an external power supply for cofactor regeneration. Compared to the electrochemical methods, photochemical regeneration is considered to be more promising in terms of scale-up of the reactor and energy consumption because it utilizes a renewable energy source (i.e., solar energy) without complex design and installation of the reactor for the external power supply. However, the photochemical regeneration method is still in its infancy and requires significant improvements in its design and efficiency. We have demonstrated that more efficient cofactor regeneration systems can be developed through rational design and engineering of nanostructured photosystems. We found that the efficiency of NADH cofactor regeneration can be significantly enhanced by controlling the morphology/dimension of light-harvesting materials and integrating active components for efficient charge separation. We believe that these results provide a foundation for future studies on the design and engineering of photoenzymatic reaction systems for artificial photosynthesis.Our Recent Publications Related to This Presentation:J. Ryu, S. H. Lee, D. H. Nam, C. B. Park, Advanced Materials 2011, 23, 1883-1888.
9:00 PM - BB5.5
Nanoarchitectured Gold-Multiwalled Carbon Nanotubes for Non-Enzymatic Electrochemical Glucose Sensor.
Maxime Gougis 1 , Amel Tabet Aoul 1 , Dongling Ma 1 , Mohamed Mohamedi 1
1 , INRS-EMT, Varennes, Quebec, Canada
Show AbstractGold is a key component in the advancement of the future of some biomedical and electrochemical technologies. Glucose is a clinical important biomolecule. Indeed, the electrochemical oxidation of glucose is a major concern in medical sensors for diabetes diagnostics and management. Non enzymatic electrochemical oxidation of glucose is being considered and explored as an alternative to enzymatic glucose oxidation in the hope of improving the electrocatalytic activity and selectivity towards the glucose oxidation.This work centers on developing advanced free-standing nanoarchitectured layers which are comprised of the current collector, the catalyst and the catalyst support for non-enzymatic electrochemical glucose sensors. These nanoarchitectures are made of nanostructured Au ultrathin films deposited directly onto multiwalled carbon nanotubes (MWNTs). The Au films are fabricated by pulsed laser deposition (PLD), whereas MWNTs are grown by chemical vapour deposition (CVD) directly on the current collector substrate that is composed of highly porous 3D networks of microfibers (~7 micrometer diameter). The formation of Au film catalysts was studied as a function of the PLD deposition conditions such as background atmosphere, i.e. vacuum vs. helium (He) gas. This allowed us to obtain various morphologies of the deposited Au catalyst, from discrete nanoparticles with controlled size (as small as 5 nm), to formation of a continuous smooth layered or highly porous layered film. In this talk, we will report the relationship between the electrocatalytic properties and these nanoarchitectures. These insights were obtained using several different physico-chemical characterization techniques such as SEM, TEM and HR-TEM, XPS, MicroRaman, and XRD. These techniques are combined with electrochemical studies for glucose electrooxidation, an electrochemical reaction that is central to electrochemical biosensors technology. The electrochemical oxidation of glucose was performed in a pH 7.0~7.3 phosphate buffer containing various concentrations of glucose.
9:00 PM - BB5.6
Organic Vapor Adsorption on In Situ Grown Carbon Nanotube Films.
Ken Bosnick 1 , Shuai Ban 2 , Wayne Hiebert 1 , Zheng Shi 2 , Cheng Huang 2 , Ryan Lister 1 , Michal Mleczko 1
1 National Institute for Nanotechnology, National Research Council Canada, Edmonton, Alberta, Canada, 2 Institute for Fuel Cell Innovation, National Research Council Canada, Vancouver, British Columbia, Canada
Show AbstractOrganic vapor adsorption isotherms are measured on in situ grown carbon nanotube (CNT) films using piezoelectric GaPO4 crystal microbalances as mass sensing substrates. The isotherms are Type IV and show adsorption/desorption hysteresis, consistent with a porous material. The measured porosity is 2%, a value surprisingly low given an over 90% void volume in the film estimated from density considerations. At low pressures (p/p0 < 0.25) the isotherm is well fit by the Freundlich model and at intermediate pressures (p/p0 = 0.1–0.4) by the Brunauer, Emmett, Teller (BET) model. Monte Carlo simulations show three consecutive adsorption processes: filling of the intratube micropores at low pressures, monolayer coverage of the CNT external surface at intermediate pressures, and capillary condensation in the intertube mesopores at high pressures. The simulation results validate the use of the BET model for surface area analysis in the experimental system. The average total accessible surface area is found to be 180 ± 100 mm2 and the specific surface area is estimated to be 45 ± 25 m2/g. Further engineering of the CNT film microstructure should lead to much higher surface areas. (Ken Bosnick, et al, Carbon 49 (2011) 3639–3644.)
9:00 PM - BB5.7
Design and Construction of Non-Biologically Decorated Nanowires with Protein Nanotubes.
Joanne Yu 1 , Jonathan Heddle 1
1 Advanced Science Institute, RIKEN, Wako, Saitama, Japan
Show AbstractNanotubes are versatile constituents in the toolkit for self-assembled nanoscale architectures. They have potential applications as vessels for drug delivery, as electronic components, or as frameworks for nanowires, among other devices. Nanotubes constructed from proteins offer the enticing ability for customization through well-established chemical and peptide synthesis techniques, as well as biocompatibility. Naturally occurring toroidal-shaped TRAP (trp RNA-binding attenuation protein) is a flexible nanoscale building block with an outer diameter of 8nm and an inner hole of approximately 2nm. TRAP has been shown to be robust to multiple genetic mutations, and derivatives can be engineered to readily stack into protein nanotubes under the appropriate conditions. It is proposed that the exterior of the protein nanotube can be manipulated by chemical modification to bind specific moieties, thus giving rise to an adjustable nanostructure. The purpose of this research is to use TRAP-based nanotubes as a scaffold to tether non-biological functionalities into columnar motifs. Their design and assembly will be discussed.
9:00 PM - BB5.8
Lithiation Induced Embrittlement of Multi-Walled Carbon Nanotubes.
Yang Liu 1 , He Zheng 2 , Xiao Hua Liu 1 , Shan Huang 3 , Ting Zhu 3 , Jiang Wei Wang 2 , Akihiro Kushima 4 , Nicholas Hudak 1 , Xu Huang 5 , Sulin Zhang 5 , Scott Mao 2 , Xiao Feng Qian 6 , Ju Li 4 , Jian Yu Huang 1
1 , Center for Integrated Nanotechnology (CINT), Sandia National Laboratories, Albuquerque, New Mexico, United States, 2 Department of Mechanical Engineering and Materials Science, University of Pittsburgh, Pittsburgh, Pennsylvania, United States, 3 Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States, 4 Department of Materials Science and Engineering, University of Pennsylvania, Philadelphia, Pennsylvania, United States, 5 Department of Engineering Science and Mechanics, Pennsylvania State University, University Park, Pennsylvania, United States, 6 Department of Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States
Show AbstractLithiation of individual multi-walled carbon nanotubes (MWCNTs) was conducted in-situ inside a transmission electron microscope (TEM). Upon lithiation, the intertube spacing increased from 3.4 to 3.6 Å, corresponding to about 5.9% radial and circumferential expansions and ~50 GPa tensile hoop stress on the outermost tube wall. In the meantime, the straight tube walls became distorted after lithiation. In-situ compression and tension tests show that the lithiated MWCNTs were brittle with sharp fracture edges. Such failure mode is in stark contrast with that of the pristine MWCNTs which are extremely flexible, and can be bent to large angles without fracture, and fail in a ‘sword in sheath’ manner upon tension. The lithiation-induced embrittlement is attributed to the mechanical effect of a “point-force” action posed by the intertubular lithium that induces the stretch of carbon-carbon bonds additional to that by applied strain, as well as the chemical effect of electron transfer from lithium to the antibonding π orbital that weakens the carbon-carbon bond. The combined mechanical and chemical weakening leads to a considerable decrease of fracture strain in MWCNTs. Our results provide direct evidence and understanding of the degradation mechanism of carbonaceous anodes in lithium ion batteries (LIBs).
9:00 PM - BB5.9
Enhanced Photo-Assisted Water Splitting Using Titanium-Niobium Mixed Oxide Nanotubes/CNTs Hybrid Electrodes.
Zohreh Razavi Hesabi 1 , Nageh Allam 2 , Klaus Dahmen 1 , Hamid Garmestani 1 , Mostafa El-Sayed 3
1 School of Materials Science and Engineering, Georgia Institute of Technology, Atlanta,, Georgia, United States, 2 Department of Electrical Engineering and Computer Science, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 3 School of Chemistry and Biochemistry, Georgia Institute of Technology, Atlanat Georgia
Show AbstractSelf organized titanium-niobium mixed oxide nanotubes were fabricated by anodization of a Ti-Nb alloy in a formamide-based electrolyte containing NH4F at room temperature. Carbon nanotubes were grown on the oxide nanotubes by chemical vapor deposition at 650 °C in a mixture of H2/He atmosphere. Morphological and structural evolution of the hybrid electrodes were investigated by field- emission scanning electron microscopy (FESEM), glancing angle X-ray diffraction (GAXRD), and X-ray photoelectron spectroscopy (XPS) analyses. The fabricated hybrid electrodes showed a significant increase in the photo-assisted water splitting as compared to pure TiO2 nanotubes. This enhancement can be related to the synergetic effect of highly conductive CNTs (a sink that transfers photo-generated electrons) and the presence of niobium oxide, which could slow down the charge carrier recombination.
Symposium Organizers
Kornelius Nielsch University of Hamburg
Anna Fontcuberta-Morral EPFL
Heiner Linke Lund University
Hyunjung Shin Kookmin University
Li Shi The University of Texas-Austin
BB10: Poster Session: Semiconductor Nanowires I
Session Chairs
Anna Fontcuberta i Morral
Kornelius Nielsch
Tuesday PM, November 29, 2011
Exhibition Hall C (Hynes)
BB6: Lithium Ion Battery
Session Chairs
Tuesday PM, November 29, 2011
Ballroom A (Hynes)
9:15 AM - BB6.1
Ultrafast Electrochemical Lithiation of Individual Si Nanowire Anodes.
Xiaohua Liu 1 , Li Qiang Zhang 2 , Li Zhong 2 , Yang Liu 1 , He Zheng 2 , Jiang Wei Wang 2 , Jeong-Hyun Cho 3 , Shadi Dayeh 3 , Tom Picraux 3 , John Sullivan 1 , Scott Mao 2 , Jian Yu Huang 1
1 Center for Integrated Nanotechnologies (CINT), Sandia National Laboratories, Albuquerque, New Mexico, United States, 2 , University of Pittsburgh, Pittsburgh, Pennsylvania, United States, 3 Center for Integrated Nanotechnologies (CINT), Los Alamos National Laboratory, Los Alamos, New Mexico, United States
Show AbstractRecord-high charging (lithiation) rate of individual Si nanowires by doping and carbon-coating was achieved and directly observed using advanced in situ transmission electron microscopy [Ref: Nano Letters, 11: 2251-2258 (2011)]. Intrinsic Si has low electrical conductivity thus poor rate performance. Doping and carbon-coating are typical engineering measures that are widely used in batteries; however, their effects are not clear and under debate. We show that the carbon coating and phosphorus doping each resulted in a 2~3 orders of magnitude increase in electrical conductivity of the Si nanowires that, in turn, resulted in a 1 order of magnitude increase in charging rate. In addition, electrochemical solid-state amorphization (ESA) and inverse ESA were directly observed and characterized during a two-step phase transformation process during lithiation: crystalline silicon (Si) transforming to amorphous lithium-silicon (LixSi) which transforms to crystalline Li15Si4 as the fully lithiated phase. This corresponds to the highest achievable capacity of Si 3579 mAh/g at room temperature, not the widely believed 4200 mAh/g. The ultrafast charging rate is attributed to the nanoscale diffusion length and the improved electron and ion transport. These results provide important insight in how to use Si as a high energy density and high power density anode in lithium ion batteries for electrical vehicle and other electronic power source applications.
9:30 AM - BB6.2
Tensile Testing and In Situ Scanning Electron Microscopy of Silicon Nanowires for Lithium-Ion Battery Applications.
Andreas Sedlmayr 1 , Steven Boles 1 , Ahmed Al-Obeidi 2 , Eugene Fitzgerald 2 , Oliver Kraft 1 , Carl Thompson 2 , Reiner Moenig 1
1 Institute for Applied Materials, Karlsruhe Insitute of Technology, Eggenstein-Leopoldshafen Germany, 2 Department of Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States
Show AbstractIn this work we have investigated the mechanical properties of silicon nanowires for lithium-ion battery applications. Wires have been synthesized using both the vapor-liquid-solid (VLS) technique and the metal-assisted etching (MAE) technique. With the VLS method, wires were grown on both SiO2 substrates using e-beam Au thin-film catalysts and silicon-on-insulator (SOI) substrates using Au-colloid particles. With the MAE method, Au thin-films were evaporated onto commercially available single-crystal Si wafers. Mechanical testing was performed in situ by harvesting individual nanowires in the scanning electron microscope (SEM) with a micro-manipulator probe and subsequently fixing one end of the wire at a piezo-actuated nanopositioning system and fixing the other end of the wire to a nominally stationary force sensor. Wires were then tensile tested while the SEM recorded images for post-processing and stress-strain calculations. Digital Image Correlation (DIC) was used to calculate elongation and true strain in the wires. This data, combined with the data from the force sensor, allows for the extraction both the fracture strength of the material, as well as the Young’s modulus. As an extension of this testing, additional silicon nanowires were harvested and subjected to poteniostatic lithium intercalation and delithiation using metallic lithium and an ionic liquid electrolyte. After cycling, the wires were tensile tested to understand how lithiation affects the mechanical integrity of the wires as both a function of discharge depth and number of cycles. Implications of testing results on the realization of lithium-ion batteries with silicon anodes will be discussed.
9:45 AM - BB6.3
Hybrid Free-Standing Germanium Nanoparticle-Single Wall Carbon Nanotube Anodes for Lithium Ion Batteries.
Roberta DiLeo 1 2 , Melissa Thone 5 2 , Matthew Ganter 3 2 , Jason Staub 2 , Reginald Rogers 5 2 , Ryne Raffaelle 4 , Brian Landi 5 2
1 Microsystems Engineering, Rochester Institute of Technology, Rochester, New York, United States, 2 NanoPower Research Laboratories, Rochester Institute of Technology, Rochester, New York, United States, 5 Chemical & Biomedical Engineering, Rochester Institute of Technology, Rochester, New York, United States, 3 Golisano Institute of Sustainability , Rochester Institute of Technology, Rochester, New York, United States, 4 , National Renewable Energy Laboratory , Golden, Colorado, United States
Show AbstractThere is considerable interest to develop lithium ion batteries which are capable of meeting the energy density demands of the portable electronic, electric vehicle, and alternative energy storage industries. Specifically, high lithium ion capacity anodes are under investigation and demonstrated capacities of thin film silicon and germanium are up to 3000 and 800 mAh g-1, respectively, all much higher than state-of-the-art graphite anodes. The reduction of material size to the nanoscale has been the most successful technique to improve performance and mitigate poor charge transport and degradation issues associated with the excessive volumetric expansion during lithiation. The use of high capacity semiconductor nanomaterials can improve the anode, but the full impact on energy density is not realized because capacity matching with conventional cathode chemistries requires thinning of the anode composite on an inactive, heavy current collector. A disruptive approach to electrode design is to eliminate the use of binders and current collectors, and rather utilize a free-standing anode. Recent work has shown the use of free-standing carbon nanotube (CNT) electrodes as viable anode materials due to their light weight, robust mechanical and electrical properties, and ability to support high capacity materials. Ge-thin film free-standing CNT anodes exhibit enhanced anode energy density 3x over conventional MCMB anodes. Although this structure has shown promise, an improved design is a 3-dimensional hybrid structure which entangles the active materials while providing porosity to enhance diffusion and accomodate active material expansion. In this work, the electrochemical performance of Ge nanoparticles (NPs) synthesized by a one-step CVD approach is evaluated. The combination of these materials with single wall CNTs (SWCNTs) to form a hybrid free-standing anode represents a tailorable 3-dimensional electrode structure. Physical characterization of the materials by Raman spectroscopy and SEM confirm the presence of crystalline nanoparticles with average diameters of 60 nm. Electrochemical testing of the Ge-NPs shows high reversible lithium ion capacity up to 900 mAh g-1 and a coulombic efficiency of 96% on the 1st cycle, which is one of the highest reported, to date. Electrical contacting with Ti, as recently demonstrated, results in a Ge-NP:SWCNT-Ti hybrid electrode with lithium ion capacities near 1000 mAh g-1. The higher capacity for the hybrid electrode is maintained at modest cycling rates up to 1C. The pairing of the hybrid electrode with a commerical LiFePO4 cathode shows excellent performance with anode capacities over 800 mAh g-1 at rates up to a 1C discharge, while the anode energy density changes by only 8.5 % at higher discharge rates. Thus, this demonstrates the first full battery comprising a free-standing Ge-based anode with a high power cathode exhibiting improved energy and power density.
10:00 AM - **BB6.4
One-Dimensional Si-Based Nanostructures for Lithium Ion Batteries.
Jin-Hwan Park 1 , Moon-Seok Kwon 1 , Taeseup Song 2 , Hansu Kim 2 , Ungyu Paik 2 , Sun-Hwak Woo 3 , Dongmok Whang 3 , Seok Gwang Doo 1 , Hyuk Chang 1
1 Energy Lab, Samsung Advanced Institute of Technology, Samsung Electronics Co., Ltd., Suwon Korea (the Republic of), 2 Department of Materials Science Engineering, Hanyang University, Seoul Korea (the Republic of), 3 Sungkyunkwan Advanced Institute of Nanotechnology, Sungkyunkwan University, Suwon Korea (the Republic of)
Show Abstract Lithium ion batteries have been widely used as energy storage devices for portable consumer devices and their application is rapidly extending to electric vehicles. Although graphite-based materials have been widely used in commercial Li-ion battery anodes, their efficiency is limited due to their low theoretical specific capacity of 372 mAh g-1. A promising alternative material for the graphite anode is silicon, largely due to its low cost, abundant resources, and high theoretical capacity (about 4200 mAhg-1, ten times higher than graphite). A major challenge for the commercial application of high-capacity Si anode is poor cyclability due to severe volume changes during the alloying and de-alloying processes. For many years, several approaches have been explored in order to overcome the volume change problem. One of them is one dimensional Si-based nanostructures, which have efficient lithium ion transport and facile strain relaxation. In this presentation, the authors would like to introduce several novel one dimensional nanostructures including nanowires and nanotubes and discuss how the nanostructures work for structural stability and electrochemical reversibility of Si-based Li-ion battery anodes.
10:30 AM - **BB6.5
One-Dimensional Si and Ge - Based Nanowires and Nanotubes for Lithium-Ion Energy Storage Materials.
Jaephil Cho 1
1 , UNIST, Ulsan Korea (the Republic of)
Show AbstractThere have been tremendous interests in using nanomaterials for advanced Li-ion battery electrodes, particularly to increase the energy density by using high specific capacity materials. Recently, it is demonstrated that one dimensional (1D) Si and Ge nanowires (NWs) and nanotubes (NTs) have great potential to achieve high energy density as well as long cycle life for the next generation of advanced energy storage applications. In this talk, I present recent progress on Si nd Ge based NWs and NTs as high capacity anode materials. Fundamental understanding and future challenges on one dimensional nanostructured anode are also discussed.
BB7: Optical Properties II
Session Chairs
Tuesday PM, November 29, 2011
Ballroom A (Hynes)
11:30 AM - **BB7.1
Novel Size-Dependent Light-Matter Interaction and Phase Change Properties of Semiconductor Nanowire Devices.
Ritesh Agarwal 1
1 Materials Science and Engineering, University of Pennsylvania, Philadelphia, Pennsylvania, United States
Show Abstract We will discuss the intriguing size-dependent properties of semiconductor nanowires at the 20-200 nm lengthscales. At these lengthscales not only finite-size effects become important, but also other lengthscales such as visible optical wavelengths, strain fields, interfacial, and polarization scales become comparable to the size of the nanostructures. Proper understanding of these phenomena and the effect of different lengthscales on nanowire properties becomes important, which is also required to rationally design functional devices with tunable and precisely controlled responses. We will discuss three examples: nanowires integrated with plasmonic nanocavities allows precise control over their radiative rates with excited state lifetimes shortened to sub-picoseconds due to interaction of nanowire excitons with whispering gallery plasmons; size-dependent light-matter interaction in nanowire optical cavities which leads to the formation of strongly coupled one-dimensional exciton-polaritons and their very unique waveguide dispersion and slow-light propagation properties; size-dependent electrical properties that lead to novel structural phase change phenomena which also influences the kinetics and thermodynamics of the system. None of these phenomena exists in bulk systems or in extremely small systems with sub-10 nm sizes. The unique aspects of each size-dependent phenomenon in nanowires will be discussed and explained with the help of simple models. The implications of these findings for assembling novel and reconfigurable electronic and photonic devices will be discussed.
12:00 PM - BB7.2
All-Optical Switching in Semiconductor Nanowires.
Brian Piccione 1 , Lambert van Vugt 1 , Ritesh Agarwal 1
1 Materials Science and Engineering, University of Pennsylvania, Philadelphia, Pennsylvania, United States
Show AbstractAs optical interconnections continue providing speed advantages over their electronic counterparts with every new level of integration achieved, the need for smaller and lower-power components has only increased. Hybrid optical/electrical interconnects in particular have been garnering increasing attention as of late, with rapid progress towards on-chip integration of nanophotonics alongside more mature electronics. The exciting prospect of on-chip all-optical computing notwithstanding, even in a simple signal-routing role, successful integration requires a large toolbox of tiny components: emitters, detectors, modulators, waveguides and switches, among others, all of which are expected to perform at high speeds and low powers.Self-assembled semiconductor nanowires, which exhibit vastly improved surface conditions when compared with comparable components produced via top-down methods, can serve as both candidates for future nanowire-based all-optical networks themselves, as well as model systems for furthering the understanding of optical processes in highly confined structures. Here, we report all-optical switching in surface-passivated, CdS nanowire optical cavities with sub-wavelength dimensions. The unique device design utilizes very strong exciton-photon coupling in nanowire cavities.* Using continuous-wave, super-bandgap laser emission as the pump and an on-chip, electronically-isolated CdS nanowire laser as the probe, large on/off ratios and repeatable cycling have been observed in the vicinity of the CdS polariton relaxation bottleneck region. The switching mechanism at work in the nanowire system, as well as a discussion of CdS lasing itself based on polariton scattering phenomena, all as functions of temperature and nanowire dimensions, will be presented.* Vugt, L.K.v.,† Piccione, B.,† Cho, C-H., Nukala, P. & Agarwal, R., Proc. Natl. Acad. Sci. U. S. A. (2011), http://dx.doi.org/10.1073/pnas.1102212108.† Denotes equal contribution.
12:15 PM - BB7.3
Towards Ideal Nanowire Quantum Dots.
Tilman Zehender 1 , Ikaros Hauge 1 , George Immink 2 , Marcel Verheijen 1 2 , Thuy Vu 1 , Sébastien Plissard 1 , Moïra Hocevar 3 , Lou-Fé Feiner 1 , Nika Akopian 3 , Jos Haverkort 1 , Erik Bakkers 1 3
1 Applied Physics, Technische Universiteit Eindhoven, Eindhoven Netherlands, 2 , Philips Research Laboratories, Eindhoven Netherlands, 3 Kavli Institute of Nanoscience, Technische Universiteit Delft, Delft Netherlands
Show AbstractRecently, it has been argued that nanowire quantum dots are ideal in terms of light outcoupling and fine structure splitting for quantum optics applications [1]. In addition they can be embedded in a nanowire p-n junction in order to couple single electrons with single photons [2]. Quantum dots in nanowires have been widely studied, but the photoluminescence intensity and line width should be improved for future applications. Both are probably limited by crystal defects, impurities or surface states.For any application it is important to reduce the number of crystal defects in nanowires. In addition, control of the crystal structure is a unique feature of nanowires. Recently it has been shown that pure (defect-free) crystal structures can be obtained for InAs and InSb wires. These materials, however, have small band gaps. For optical studies and applications, InP would be a more interesting material. However, and despite the fact that InP nanowires have been widely studied in the past years [3], no control of pure crystal structures without doping has been demonstrated for InP.In this work the growth of defect free wurtzite InP nanowires without the addition of impurities is explored. Their morphology is studied as a function of temperature, V/III ratio and the addition of HCl during growth to control tapering [4]. In a next step, short segments with a smaller band gap will be incorporated controllably to obtain quantum dots. Single wires and quantum dots have been characterized by optical measurements.References:[1] M. H. M. van Weert et al, Nano Lett. 9, 1989-1993 (2009). [2] E. D. Minot et al, Nano Lett. 7, 367-371 (2007).[3] R. E. Algra et al, Nature, vol 456, p. 369-372 (2008).[4] M.T. Borgström et al, Nano Research 3, 264-270 (2010).
12:30 PM - BB7.4
Exciton Diffusion Measurements in III/V Nanowires Using Spatially and Time-Resolved Photoluminescence.
Leigh Smith 1 , Melodie Fickenscher 1 , Howard Jackson 1 , Jan Yarrison-Rice 2 , Jung Hyun Kang 3 , Suriati Paiman 3 , Qiang Gao 3 , Hoe Tan 3 , Chennupati Jagadish 3
1 Department of Physics, University of Cincinnati, Cincinnati, Ohio, United States, 2 Department of Physics, Miami University, Oxford, Ohio, United States, 3 Department of Electronic Materials Engineering, Australian National University, Canberra, Australian Capital Territory, Australia
Show AbstractWe present an optical investigation of transport in GaAs/AlGaAs core shell nanowires and mixed-phase zincblend/wurtzite InP nanowires utilizing low temperature spatial and time resolved photoluminescence (PL). We use a solid immersion lens (SIL) to achieve a laser spot size and image resolution of 600 nm. With the laser spot fixed on the nanowire, the image of the wire is scanned across the entrance slit of the spectrometer taking time-decays at each point. Thus, we measure the spatial profiles of the exciton distribution in the wire as a function of time. We then extract the diffusion constant from the width squared of each spatial distribution as a function of time. The measured exciton diffusion constants for the GaAs/AlGaAs nanowires are of the order of 100 cm2/s, equivalent to a mobility of 100,000 cm2/Vs by using the Einstein relation. These values are comparable to the best hole mobilities seen in modulation doped two dimensional GaAs/AlGaAs heterostructures. In contrast, the ZB/WZ InP nanowire shows excitons become localized in the mixed phase nanowire within a thermalization time.Supported by the NSF Grants 0701703, 0806700 and 0806572 and the Australian Research Council.
12:45 PM - BB7.5
Electronic Structure of Semiconductor Nanowires Using Photomodulated Rayleigh Scattering.
Mohammad Montazeri 1 , Melodie Fickenscher 1 , Howard Jackson 1 , Leigh Smith 1 , Jan Yarrison-Rice 2 , Suriati Paiman 3 , Jung-Hyun Kang 3 , Qian Gao 3 , Hoe Tan 3 , Chennupati Jagadish 3
1 Department of Physics, University of Cincinnati, Cincinnati, Ohio, United States, 2 Department of Physics, Miami University, Oxford, Ohio, United States, 3 Department of Electronic Materials Engineering, Australian National University, Canberra, Australian Capital Territory, Australia
Show AbstractWe use photomodulated Rayleigh scattering spectroscopy (PMRS) to measure the electronic structure from single semiconductor nanowires. We show that PMRS is an easy, quick and nondestructive technique which can be used to study the electronic band structure of a single semiconductor nanowire at both room and low temperatures. We have used PMRS spectroscopy to study the electronic band structure of single wurtzite and zincblende InP and zincblend GaAs nanowires at room and low temperatures. For example, WZ InP Nanowires were grown by MOCVD using 100nm Au-nanoparticle catalysts. Derivative like features in the PMRS spectra around the fundamental gaps allow us to extract energies of 1.50eV, 1.53eV and 1.70eV for A, B and C excitons of wurtzite an InP nanowire at low temperature. These values are compared to values obtained by photoluminescence-excitation and photocurrent measurements. PMRS spectra from ZB InP and GaAs nanowires exhibit the expected electronic structure at the band edge. Possible extensions to pulsed measurements in the time domain will also be discussed. Supported by the NSF 0701703, 0806700 and 0806572 and the Australian Research Council.
BB8: Nanowire Growth II
Session Chairs
Tuesday PM, November 29, 2011
Ballroom A (Hynes)
2:30 PM - BB8.1
Three-Dimensional Multiple-Order Twinning of Self-Catalyzed GaAs Nanowires on Si Substrates.
Jordi Arbiol 1 , Emanuele Uccelli 2 , Cesar Magen 3 , Peter Krogstrup 4 , Eleonora Russo-Averchi 2 , Martin Heiss 2 , Gabriel Mugny 2 , Francois Morier-Genoud 5 , Jesper Nygard 4 , Joan R. Morante 6 , Anna Fontcuberta i Morral 2
1 , ICREA and Institut de Ciencia de Materials de Barcelona, ICMAB-CSIC, Bellaterra, CAT, Spain, 2 Laboratoire des Matériaux Semiconducteurs, Ecole Polytechnique Fédérale de Lausanne, Lausanne Switzerland, 3 , Instituto de Nanociencia de Aragon-ARAID , Zaragoza Spain, 4 Nano-Science Center, Niels Bohr Institute, University of Copenhagen, Copenhagen Denmark, 5 Laboratoire d’Optoelectronique Quantique, Ecole Polytechnique Federale de Lausanne, Lausanne Switzerland, 6 , Catalonia Institute for Energy Research, IREC, Barcelona Spain
Show AbstractNanowire arrays are expected to play an important role in energy conversion devices such as solar and water splitting cells. The efficiency of these devices is highly dependent on the perfection of the array, e.g. all of them should be perpendicular to the surface to avoid any shortcuts. In the present work we introduce a new paradigm for nanowire growth that explains the unwanted appearance of parasitic non-vertical nanowires. With a polarization analysis of the crystal structure, we demonstrate that secondary seeds form due to a three-dimensional twinning phenomenon. We derive the geometrical rules that underlie the multiple growth directions observed experimentally. These rules help optimizing nanowire array devices such as solar or water splitting cells or of more complex hierarchical branched nanowire devices. Here we present an explanation and experimental evidence of a manifold of growth directions, which are typically found to occur and which are not in direct epitaxial relation with the substrate. Using aberration corrected high angle annular dark field (HAADF) scanning transmission electron microscopy we observe the polarity of the nanowire structures at atomic scale and the occurrence of 3D twinning at the initial stage of GaAs nanowire growth provoking non-epitaxial growth relations with the Si substrate. The results are general to the III-V semiconductors family and could potentially be extended to other substrates than silicon.
2:45 PM - BB8.2
Kinking during Early-Stage Ge Nanowire Growth.
Yanying Li 1 , Paul McIntyre 2 3
1 Applied Physics, Stanford University, Stanford, California, United States, 2 Geballe Laboratory for Advanced Materials, Stanford University, Stanford, California, United States, 3 Materials Science Engineering, Stanford University, Stanford, California, United States
Show AbstractDuring the last two decades, nanotechnology research has increasingly focused on semiconductor nanowires for their potential applications in nano-electronics, sensing and energy applications. In particular Ge nanowires are considered a promising component of molecular-scale field-effect transistors and nano-photonic components due to their desirable electronic and optical properties. These properties are highly dependent on the structure of the Ge wires, such as their size, shape, crystalline phase and orientation. Most reported research on Ge nanowires has used the vapor-liquid-solid (VLS) growth mechanism to synthesize the wires. Despite decades of study, many mechanistic details of VLS growth are not yet well understood, such as the kinking of the Ge nanowire growth direction that can occur early on during a typical VLS growth process. We report an investigation of kinking during early-stage Ge nanowire growth, without any intentional interruption of growth. VLS-grown Ge nanowires were synthesized using colloidal gold particle catalysts on Ge (111) substrates in a cold-wall lamp-heated chemical vapor deposition (CVD) chamber. Hydrogen-diluted GeH4 was used as the precursor. We examine Ge nanowires of different nominal diameters, determined by the Au colloid diameter, and for varying growth temperatures. Nanowires grew either along the vertical <111> direction or kinked away at very beginning of their growth. We found that the probability of kinking depends strongly on the diameter of the Ge nanowires. For a given nanowire nominal diameter, the growth temperature also affected the kinking probability. Two modes of kinking were identified for initially vertical [111] Ge nanowires. One mode involved transient formation of <110> wire segment of varying length prior to finally kinking onto another <111> axis. The other involved abrupt kinking onto an inclined <111> direction. The kinking modes were found to be size-dependent; for example, the transient <110> kinking occurred much more frequently in wires of nominal diameter 20 nm than for 40 nm diameter. High-resolution transmission electron microscopy indicated that both modes of kinking were coherent, without evidence of twin defects. The observed kinking behavior will be discussed in terms of the Ge nanowire sidewall surface energies and the viscosity-temperature relation of the Au-Ge liquid alloy during VLS growth.
3:00 PM - BB8.3
Nucleation Mechanisms of Self-Catalyzed GaAs Nanowires on Silicon.
Eleonora Russo Averchi 1 , Jordi Arbiol 2 4 , Emanuele Uccelli 1 , Peter Krogstrup 3 , Jesper Nygard 3 , Joan Ramon Morante 5 6 , Anna Fontcuberta i Morral 1
1 Laboratoire des Matériaux Semiconducteurs, Ecole Polytechnique Fédérale de Lausanne, Lausanne Switzerland, 2 , Instituciò Catalana de Recerca i Estudis Avançats (ICREA), Barcelona Spain, 4 , Institut de Ciencia de Materials de Barcelona, Barcelona Spain, 3 Nano-Science Center, Niels Bohr Institute, University of Copenhagen, Copenhagen Denmark, 5 , Catalonia Institute for Energy Research (IREC), Sant Adrià del Besòs Spain, 6 Department d' Electrònica, Universitat de Barcelona, Barcelona Spain
Show AbstractIII-V semiconductor nanowires are expected to play an important role in the next generation of energy harvesting devices. For this to become a reality, it is important that they can be obtained on low cost substrates such as silicon. The challenge here will be to understand how to minimize the electronic barrier between the Si substrate and III-V nanowire. In the case of planar growth of III-V on Si it is known that the induced strain due to the lattice mismatch strongly limits the performance. In the case of nanowires, the strain can be relaxed over few monolayers due to the small contact area between nanowire and substrate, making it a minor issue. However, other complications may arise when growing III-V NWs on Si by the VLS method, such mixing Si and III-V at the interface. Here we present a detailed study on the initial stages of growth of self-catalyzed GaAs nanowires on silicon. Our cross-section transmission electron microscopy measurements indicate that gallium diffuses in the silicon substrate and forms a nanoscale hole. Then heteroepitaxial growth of the GaAs nanowire proceeds from the Si/GaAs interface. The lattice-mismatch strain relaxation occurs within the first monolayers. Finally, by studying the first stages of growth under different conditions we find a way of controlling the nanowire density and orientation on the substrate. These design rules will be useful for the efficient integration of III-V nanowires on silicon and their application to energy harvesting devices such as solar cells.
3:15 PM - BB8.4
Two Coherent Limits in Core-Shell Semiconductor Nanowires.
Shadi Dayeh 1 , Jian Wang 1 , Wei Tang 2 , Karen Kavanagh 3 , S. Thomas Picraux 1
1 Center for Integrated Nanotechnologies, Los Alamos National Laboratory, Los Alamos, New Mexico, United States, 2 Department of Materials Science and Engineering, University of California, Los Angeles, Los Angeles, California, United States, 3 Physics Department, Simon Fraser University, Burnaby, British Columbia, Canada
Show AbstractProgress in enhancing semiconductor device performance requires heterostructuring of different materials to gain from the best of their individual physical properties in a combined architecture. At the 1D scale in the form of core/shell nanowires, these architectures have added benefits of quantum confinement and compromise of relative larger surfaces. It is therefore important, experimentally and theoretically, to assess the coherent limits for the growth of such epitaxial shells and to identify the mechanisms by which the strains are relaxed. Here, we observe two types of relaxed shell structures using HRTEM and explain their formation mechanisms by proposing two critical thicknesses to relax axial and radial strain components, and validate these observations by molecular dynamics simulations.Our system constitutes of a [111] oriented Ge nanowire core grown by chemical vapor deposition through the vapor-liquid-solid growth mechanism and subsequent in-situ Si shell deposition. The Ge NW core diameter is 30 nm and the Si shell thickness was varied from 1-10 nm and was post-annealed at 600 C for evaluation of the equilibrium critical thickness. As the shell thickness increases to 2.7 nm, we generally find perfect crystalline core/shell structures. At a thickness of 3.2 nm up to 5 nm, we observe extra Si (111) planes along the axis of the NW that indicate a perfect dislocation loop with a Burgers vector of a/2<110>. The axial separation of these additional planes was reproducibly found to be ~ 26 (111) bi-layers or ~ 8.5 nm consistent with and larger than a predicted spacing of 7.5 nm along the [111] direction for such dislocation loops. The dislocation loop nucleates at the shell surface and introduces an extra plane at the edge of the shell, and then glides on one of the three {111} planes (besides the growth direction) at a 19.5 degrees from the growth axis to form an extra plane on the other edge of the NW. Our HRTEM analysis verifies the presence of several of such loops that form elliptically around the Ge core with a total axial length of ~ 85 nm consistent with the expected 30nm/tan(19.5). However, the additional (111) planes in the axial direction relieve only axial strain and radial strain remains to be relieved. Since the insertion of additional (111) Si planes radially is not supported, defected structures (such as twin, grains) evolve instead and surface roughening of the shells happens as verified experimentally for Si shell thicknesses exceeding 7-8 nm. With such comprehensive understanding, we can better predict the distribution of strains in both core and shell and energy band-edge profiles for different core/shell nanowire dimensions.
3:30 PM - **BB8.5
Control of Morphology and Internal Structure of Epitaxial III-V Nanowire Structures.
Knut Deppert 1
1 Solid State Physics, Lund University, Lund Sweden
Show AbstractDuring the last decade there has been a tremendous research interest in semiconductor nanowires. The most common approach to fabricate perfect nanowires, a bottom up method, uses self assembly to build up the structure atom by atom. The success of nanowires in future applications lies in the degree of control that can be achieved for their growth down to the atomic level. To initiate the epitaxial growth of a highly perfect nanowire a nanometer-sized metal seed particle, usually gold, is used.We will discuss different methods to create gold seed aprticles and the advantages of using size-selected aerosol nanoparticles over other methods. We will also discuss different methods to control the morphology and internal structure of III-V nanowires. Further, we will demonstrate extraordinary polytypic control of gold seeded nanowires.
BB9: Sensing Devices
Session Chairs
Tuesday PM, November 29, 2011
Ballroom A (Hynes)
4:30 PM - BB9.1
Innovative Electrical Sensors for Highly Toxic Gases Based on Carbon Nanotubes and Silicon Nanowires.
Jean-Pierre Simonato 1 , Alexandre Carella 1 , Simon Clavaguera 1 , Michael Delalande 1 , Stephane Lenfant 2 , Dominique Vuillaume 2 , Vikram Passi 2 , Emmanuel Dubois 2
1 LITEN / DTNM / LCRE, CEA, Grenoble France, 2 , IEMN, Villeneuve d'Ascq France
Show AbstractE-mail:
[email protected] threat of a chemical attack on homeland and military forces continues to grow and recent examples such as the terrorist attack of the Metro of Tokyo have clearly shown that organophosphorus agents are powerful neurotoxic molecules that can actually be used as weapons of chemical terrorism. Some sensors are commercially available to detect warfare gases, however they suffer from some intrinsic defects that reduce significantly their interest in some specific kinds of operation. Up to now, there is still a lack of supersensitive and specific autonomous tiny sensors which can communicate their alert message by wireless means.In this communication we will present new sensing techniques developed for the detection of toxic gases based on the recent developments of nanosciences and nanotechnologies. The miniaturized sensing devices based on electrical detection, i.e. resistors or transistors, using hybrid semiconducting parts made either of silicon nanowires [1,2] or carbon nanotubes [3]. New sensors, based on silicon nanowires and carbon nanotubes, have been chemically functionalized with tailor-made molecules for detection of traces of toxic gases. In particular, a chemical receptor specific to traces of neurotoxic organophosphorus agents (OPs) like Sarin has been synthesized and grafted to sensitive nanomaterial based electrical devices. Our results show that it is possible to detect very efficiently sub-ppm traces of OPs with high selectivity by monitoring the Drain-Source current of the SiNW-FET at an optimum back Gate voltage as a function of time.In this communication we will present results starting at the nanoscale using functionalized nanomaterials, up to their integration in a real demonstrator, and we will show its efficiency in complex mixtures of gases, and its high selectivity with regard to interferents.[1] S. Clavaguera, A. Carella, L. Caillier, C. Celle, J. Pécaut, S. Lenfant, D. Vuillaume, and J.-P. Simonato, " Sub-ppm Nerve Agent Detection by Chemically Functionalized Silicon Nanoribbon Field-Effect Transistors," Angewandte Chemie Int. Ed., 2010, 49,4063.[2] V. Passi, F. Ravaux, E. Dubois, S. Clavaguera, A. Carella, C. Celle, J.-P. Simonato, L. Silvestri, S. Reggiani, J.-P. Raskin, D. Vuillaume, "High Gain and Fast Detection of Warfare Agent using Back-Gated Silicon Nanowires MOSFETs", IEEE Electron Device Letters, 2011, 32(7), DOI 10.1109/LED.2011.2146750[3] M. Delalande, S. Clavaguera, M. Toure, A. Carella, S. Lenfant, D. Vuillaume, and J.-P. Simonato, " Sarin-like sensing devices based on Carbon Nanotube Network Field-Effect Transistors," Chemical Communication, 2011, 47, 6048-50.
4:45 PM - BB9.2
Ordered Arrays of Plasmonic Magnetic Nanotubes for Bio-Sensing.
Xiaobin Xu 1 , Kwanoh Kim 1 , Huifeng Li 1 , Rodney Ruoff 1 , Donglei Fan 1
1 Mechanical Engineering, Univerisity of Texas at Austin, Austin, Texas, United States
Show AbstractMultifuncitonal plasmonic magnetic nanoparticles have attracted intensive interest due to their potential in applications in bio-manipulation and biosensing. In this work we report an orignial approach to rationally synthesize magnetic plasmonic nanotubes using electrodeposition and wet-chemistry. The magnetic plasmonic nanotubes are made of Ag with a Ni segment positioned in the tube center. Using Surface Enhanced Raman Scattering (SERS), we can readily detect bio-chemicals of as low as 1 pM. Even more, the magnetic Ag nanotubes have been manipulated and precisely positioned into ordered arrays, which can be applied for multiplex single molecular detection.
5:00 PM - BB9.3
Optical Gas Detection with Group III-Nitride Nanowires and Nanowire Heterostructures.
Jörg Teubert 1 , Pascal Becker 1 , Alexej Chernikov 2 , Sumit Paul 3 , Florian Furtmayr 1 4 , Andreas Helwig 3 , Sabgam Chatterjee 2 , Martin Eickhoff 1
1 I. Physikalisches Institut, Justus-Liebig-Universitaet Giessen, Giessen Germany, 2 Faculty of Physics and Materials Science Center, Philipps-Universitaet Marburg, Marburg Germany, 3 IW-SI – Sensors, Electronics & Systems Integration, EADS Innovation Works, Munich Germany, 4 Walter Schottky Institut, Technische Universitaet Muenchen, Munich Germany
Show AbstractWe report on the photoluminescence (PL) response of AlGaN/GaN and GaN/InGaN nanowire heterostructures (NWHs) to the exposure of different gases (H2, O2). An increase of the PL intensity in the presence of H2 is achieved by deposition of a semitransparent catalytic Pt film and is explained by the suppression of non radiative surface recombination due to the formation of surface dipole fields by adsorption of catalytically generated atomic hydrogen. In contrast, exposure of uncoated NWHs to O2 results in a strong decrease of the PL intensity that we attribute to enhanced non radiative surface combination. This assignment is supported by time resolved PL analysis. Based on these we also discuss the response to O3 and NO2 in terms of photoactivated charge transfer. We demonstrate that these mechanisms facilitate the realization of optochemical transducers with significantly improved performance compared to conventional semiconductor gas sensors already when operated between room temperature and 200°C.
5:15 PM - BB9.4
Effects of Doping Profile on the Optoelectronic Properties of GaN Nanowires.
Friederich Limbach 1 2 , Tobias Gotschke 1 2 , Raffaella Calarco 1 2 , Toma Stoica 2 , Roberta Caterino 2 , Carsten Pfueller 1 , Oliver Brandt 1 , Xiang Kong 1 , Achim Trampert 1 , Lutz Geelhaar 1 , Sebastian Geburt 3 , Carsten Ronning 3 , Henning Riechert 1
1 , Paul-Drude-Institute for Solid State Electronics, Berlin, NRW, Germany, 2 , Institute of Bio- and Nanosystems (IBN-1), Research Centre Jülich GmbH and JARA-FIT Fundamentals of Future Information Technology, Jülich Germany, 3 Institute for Solid State Physics, University Jena, Jena Germany
Show AbstractFor almost any device based on nanowires (NWs) such as an LED structure precise control of the doping in the NWs is needed. However, doping strategies established for thin films cannot necessarily be transferred to NWs since, e.g., the supply of the different doping species can have profound implications for the NW growth mechanisms. Such side effects could be particularly severe for catalyst-free approaches to NW synthesis. On the other hand, it has been shown that the material quality of NWs grown without any external material is much better suited for optoelectronic applications. Here, we investigate doping phenomena in the self-induced growth of GaN nanowires on Si(111) substrates by molecular beam epitaxy. An AlN buffer layer was prepared prior to the growth of the NWs. The NWs were grown with two different nominal doping profiles with opposite sequence with respect to the substrate. In one case Si was supplied during the first 2 hours of the growth followed by 30 minutes without supply of any doping species, and subsequently growth was continued for 2 hours with supplying Mg (type A). In the other case the reverse structure was fabricated, starting with Mg doping and ending with Si doping (type B). For all samples of type B, the donor-acceptor-pair transition (DAP) signal in photoluminescence (PL), µ-PL and cathodoluminescence is less intense than the near band edge peak and in some cases almost not detectable. In contrast, type A samples show a very strong DAP signal. We conclude that during the first stages of the growth of GaN NWs the incorporation of Mg is hampered while in the later phase of the growth Mg is more effectively incorporated and acts as an acceptor in the GaN matrix. In order to rule out a possible polarisation flip, caused by the supply of Mg, which in turn would lead to a severe change in the Mg incorporation properties of the GaN NWs, a transmission electron microscope based electron energy-loss spectrometer investigation was conducted. We found that all the wires exhibit N-polarity throughout their full length. To further investigate the influence Mg doping has on the initial stages GaN NW growth as series with short growth time durations was investigated. Under the supply of Mg the nucleation takes place faster and the subsequent NW coalescence is more pronounced compared with undoped NWs. Through the in-situ observation of the Ga desorption by a line-of-sight quadrupole mass spectrometer this faster nucleation in the case of GaN:Mg could be analyzed in more detail. Our findings reveal that Mg incorporation is difficult at the initial growth stage when the substrate is still close to the NW tips. Consequently, device structures have to be designed such that the p-segment is located far from the substrate. At the same time, our results show that Mg can be used to tune the nucleation phase and therefore for example the density of the NW ensemble.
5:30 PM - BB9.5
GaN Nanowire - TiO2/Pt Nanocluster Hybrid Gas Sensors: A Novel Approach to Tailor Selectivity.
Geetha Aluri 1 2 , Abhishek Motayed 2 3 , Albert Davydov 2 , Vladimir Oleshko 2 , Kris Bertness 4 , Norman Sanford 4 , Mulpuri Rao 1
1 Electrical and Computer Engineering, George Mason University, Fairfax, Virginia, United States, 2 Material Measurement Laboratory, NIST, Gaithersburg, Maryland, United States, 3 Institute for Research in Electronics and Applied Physics, University of Maryland, college park, Maryland, United States, 4 Physical Measurement Laboratory, NIST, Boulder, Colorado, United States
Show AbstractDeveloping highly sensitive and selective sensors for detecting environmental pollutants is of immense technological significance. Conventional metal-oxide based thin film sensors lack selectivity for different species, and often require high working temperatures. In this work, we demonstrate room-temperature Nanowire-nanocluster hybrid chemical sensors by functionalizing gallium nitride (GaN) nanowires with titanium dioxide (TiO2)and/or Pt nanoclusters. The selectivity of these hybrid sensors can be tuned from sensing aromatic compounds to alcohols by depositing Pt nanoclusters along with TiO2 nanoclusters. Response and recovery times of the devices to ppm levels of concentrations were as low as 60s.Gallium nitride nanowires with diameter in the range of 150 nm to 250 nm were grown using Molecular Beam Epitaxy [1]. These nanowires were aligned on a nonconducting substrate by dielectrophoresis and complete sensor structures were fabricated using standard microfabrication techniques. The TiO2 nanoclusters were deposited on the nanowire devices utilizing RF magnetron sputtering system. X-ray diffraction and high-resolution analytical transmission electron microscopy confirmed the presence of anatase phase in TiO2 clusters after post-deposition anneal at 700 °C. A change of current was observed for these hybrid sensors when exposed to aromatic compounds including benzene, toluene, ethylbenzene, xylene, and chlorobenzene mixed with air [2]. However, these sensors did not show any sensitivity when exposed to methanol, ethanol, isopropanol, chloroform, acetone, and 1, 3-hexadiene. These TiO2 nanocluster decorated sensors are capable of sensing the aromatic compounds only under ultraviolet excitation. The sensitivity range tested for the aromatic compounds except chlorobenzene, were from 1% down to 50 parts per billion (ppb) at room-temperature. Pt nanoclusters are deposited by sputtering technique on the TiO2 nanocluster decorated hybrid devices. Interestingly, the hybrid sensors stopped responding to benzene and the related aromatic compounds, even for high concentration levels (1%). It was observed that they showed sensitivity to ethanol, methanol vapors in air in the presence of UV light. Notably, the sensors did not respond to chloroform, acetone even after Pt deposition. The sensitivity range for the alcohols tested was from 200 ppb to 1%. Though the Pt and TiO2 nanocluster decorated devices showed sensitivity to the analytes, even without the presence of UV light, they did not show satisfactory recovery. Devices made by depositing Pt nanoclusters on bare GaN nanowires under the same conditions showed response to only concentrations > 100 ppm of ethanol. References:[1] K.A. Bertness , A. Roshko, L.M. Mansfield, T.A. Harvey and N.A. Sanford, 2008, Journal of Crystal Growth 310 3154[2] G.S. Aluri, A. Motayed, A.V. Davydov, V.P. Oleshko, K.A. Bertness, N.A. Sanford and M.V. Rao, 2011, Nanotechnology 22 295503
5:45 PM - BB9.6
Superior Room Temperature Hydrogen Sensing by Nanowire Arrays of SnO2 and In2O3-Doped SnO2.
Nozomi Shirato 1 , Amit Kumar 2 , Umesh Singh 2 , Rameech McCormack 2 , Hyoungjin Cho 2 , Sudipta Seal 2 3 , Ramki Kalyanaraman 1 4
1 Department of Materials Science and Engineering, University of Tennessee, Knoxville, Knoxville, Tennessee, United States, 2 Department of Mechanical, Materials and Aerospace Engineering, Univeristy of Central Florida, Orlando, Florida, United States, 3 Nanoscience Technology Center and Advanced Materials Processing and Analysis Center, University of Central Florida, Orlando, Florida, United States, 4 Department of Chemical and Biomolecular Engineering, University of Tennessee, Knoxville, Knoxville, Tennessee, United States
Show AbstractThe drive to use hydrogen gas as an economical fuel source necessitates the need for excellent hydrogen gas sensors. Room temperature operation, high sensitivity and rapid response and recovery towards hydrogen gas is essential for practical applications of such sensors. Nanowire arrays of SnO2 and In2O3-doped SnO2 (IODT) are strong candidates for improving H2 sensing. Here we present synthesis and hydrogen sensing response of nanowire arrays. The arrays were fabricated using nanosecond laser interference self-organization. The hydrogen sensing performance of the arrays were measured in dynamic testing conditions at room temperature. The novelty of these nanowire sensors lies in their sustained excellent performance towards gas sensing even at very low concentrations of hydrogen. Response time, sensitivity and recovery time of the array sensors were significantly superior to corresponding thin film based sensors. A theoretical analysis of the sensing mechanism of SnO2 was carried out to understand the experimentally shown improvement in performance of nanowire arrays over the films.
BB10: Poster Session: Semiconductor Nanowires I
Session Chairs
Anna Fontcuberta i Morral
Kornelius Nielsch
Wednesday AM, November 30, 2011
Exhibition Hall C (Hynes)
9:00 PM - BB10.1
Space-Charge-Limited Currents and Trap Characterization in Coaxial AlGaN/GaN Nanowires.
B. Simpkins 1 , M. Mastro 1 , J. Hite 1 , C. Eddy 1 , P. Pehrsson 1
1 , Naval Research Lab, Washington, District of Columbia, United States
Show AbstractThere is hope that high aspect-ratio nanowire (NW) heterostructures will impact sub-lithographic electronics, optical emitters and detectors, and enable the integration of dissimilar materials to achieve multi-functional systems. Understanding fundamental properties such as conduction mechanisms, charge injection limits, and defect distributions in these nanostructures, and how these properties differ from their bulk analogs, is critical to these goals. A phenomenon of particular interest to wide band-gap NW materials is space-charge-limited current (SCLC), which occurs when the injected charge density is higher than the concentration of neutralized thermal equilibrium carriers , and therefore is most commonly observed in insulators, wide-band gap semiconductors, and poorly conducting polymers.Our work represents the first observation of the space-charge-limited current conduction mechanism in individual heterostructure NWs. This effect is exploited to extract size-dependent carrier densities and to demonstrate surface-dominated behavior for these technologically relevant nanostructures. Mobile carrier densities were shown to increase from 2.5e16 to 5.6e17 cm-3, as NW width decreased from 200 to 50 nm. This size-dependent behavior is a consequence of the increasing influence of near-surface confined carriers as widths decrease. Traps impact the SCLC response and were characterized as an exponential band edge tail with an average characteristic energy of 75 meV. In addition to the specific materials properties extracted, these results further demonstrate the tendency for low-dimensional materials (1-D NWs) to exhibit SCLC at much lower injection fluxes compared to their higher dimensional (2-D HFETs) counterparts.
9:00 PM - BB10.11
Nanoscale Effects on Heterojunction Electron Gases in Core/Shell Nanowires.
Bryan Wong 1 , Francois Leonard 2
1 Materials Chemistry Department, Sandia National Laboratories, Livermore, California, United States, 2 Materials Physics Department, Sandia National Laboratories, Livermore, California, United States
Show AbstractThe unique properties of semiconducting heterostructure nanowires hold great promise for their incorporation in next-generation transistors, circuits, and nanoscale devices. The reduction in dimensionality produced by confining electrons in these heterostructure nanowires results in a dramatic change in their electronic structure, leading to novel properties such as ballistic transport and conductance quantization. One area of particular interest is in the formation of heterojunction electron gases in III-nitride core/shell nanowires which may provide a route towards quasi-one-dimensional electron gases.In order to tailor these nanostructures with the desired physical properties, we must first understand their electronic properties as a function of size and material composition. To this end, we developed a self-consistent Poisson-Schrodinger approach to calculate the properties of heterojunction electron gases in polar and non-polar AlGaN/GaN core-shell nanowires. We find that the nanoscale size of these wires leads to the appearance of quasi-one-dimensional electron gases at the corners of the hexagonal and triangular cross-sections, in contrast to what would be expected from analogy with bulk heterojunctions. Our results allow a guided understanding of low-dimensional electron gas formation in freestanding semiconductor heterostructure nanowires.
9:00 PM - BB10.12
ZnO Nanocluster Coated Gallium Nitride Nanowire Bridge for Gas Sensing.
Ritu Bajpai 1 2 , Abhishek Motayed 2 4 , Albert Davydov 2 , Mona Zaghloul 1 , Norman Sanford 3 , Kris Bertness 3
1 Electrical and Computer Engineering, George Washington University, Washington, District of Columbia, United States, 2 , National Institute of Standards and Technology, Gaithersburg, Maryland, United States, 4 , University of Maryland, College Park, Maryland, United States, 3 , National Institute of Standards and Technology, Boulder, Colorado, United States
Show AbstractIn this work we have shown the gas sensing behavior of single GaN nanowire (NW) devices coated with ZnO nanoclusters at room temperature in presence of UV light. These devices show a sensitivity of an order of magnitude greater than the sensitivity of sputtered ZnO thin films at room temperature. Under similar conditions, bare GaN NWs which have not been coated with ZnO nanoclusters show minimal or no response to the analyte gases.We used c-axis oriented, n-type Si doped GaN NWs grown by molecular beam epitaxy [1]. Device fabrication started with suspension of GaN NWs across alignment electrodes using dielectrophoresis [2]. Alignment electrodes were fabricated on sapphire substrates using photolithography followed by deposition of Titanium(40nm)/Aluminum(420nm)/Titanium(40nm) metals. Ti is used for better adhesion and to prevent surface oxidation whereas Al is chosen for reduced internal stresses in the bulk of the thick alignment electrodes. Top contacts were made to the NW ends by depositing Titanium(70nm)/Aluminum(70nm)/Titanium(40nm)/Gold(40nm) [3]. Scanning electron microscopy confirmed that GaN nanowires were freely suspended to form a bridge structure and electrical measurements showed linear current-voltage device characteristics.NW devices were coated with ZnO nanoclusters using RF sputtering at 150W RF power, 30 sccm flow of oxygen and 20 sccm argon for 40 seconds. Devices were wire bonded onto a chip carrier and placed inside a gas sensing chamber with a quartz window on top. These devices did not show any response to analyte gases. However, when UV light is introduced through the window, these devices showed a change in photocurrent with exposure to different gases mixed with air. Sensitivity of the device is defined as the percentage change in current in presence of analyte gas with respect to the initial device current in air. Table at the end lists the sensitivity of these sensors at room temperature to 140 ppm of different gases mixed with air. The sensor could detect ethanol vapors up to 100 ppb with the response and recovery time of 100s. Acknowledgement- The authors thank Dr. Mulpuri V. Rao and Geetha S. Aluri for help with the sensor measurements.References[1] Bertness K A, Roshko A, Mansfield L M, Harvey T A and Sanford N A 2007 J. Cryst. Growth 300 94[2] Pohl H, Dielectrophoresis: The Behavior of Neutral Matter in Nonuniform Electric Fields, 1978, 590, Cambridge University Press.[3] Motayed A, Bathe R, Wood M C, Diouf O S, Vispute R D and Mohammad S N 2003 J. Appl.Phys. 93 2
9:00 PM - BB10.13
Energy Transfer in SiC/SiO2 Core/Shell Nanowires Functionalized with Fluorinated Porphyrins.
Giancarlo Salviati 1 , Filippo Fabbri 1 , Francesca Rossi 1 , Lucia Nasi 1 , Giovanni Attolini 1 , Salvatore Iannotta 1 , Lucrezia Aversa 2 , Roberto Verucchi 2 , Marco Nardi 2 , Flavio Mariani 3 , Luigi Cristofolini 3
1 , IMEM-CNR, Parma Italy, 2 , IMEM-CNR, Trento Italy, 3 Physics Department, University of Parma, Parma Italy
Show AbstractNovel functionalizations of nanostructured materials have attracted the attention for the possible application in the field of sensing and biomedical applications. SiO2/SiC coaxial nanowires (NWs) are of interest because of the unique physical and chemical properties of 3C-SiC, in particular its biocompatibility, and the presence of a SiO2 native shell that favors surface functionalization.Here, we report on preliminary results of the functionalization of 3C-SiC NWs with partially-fluorinated tetraphenylporphyrins (H2TPP(F)). The morphological, structural and optical properties of the nanostructures are analyzed by means of Field Emission Scanning Electron Microscopy, Atomic Force Microscopy (AFM), High Resolution Transmission Electron Microscopy, Fluorescence and Cathodoluminescence (CL) Spectroscopy and imaging and X-ray Photoelectron Spectroscopy (XPS), before and after the functionalization..The surface functionalization is performed by means of Supersonic Molecular Beam Deposition in order to kinetically activate bonding formation between the molecules and the nanostructure surface. The kinetic energy of the molecules, deposited by helium carrier gas, is evaluated to be around fifteen eV, a value about three orders of magnitude higher than the thermal evaporation kinetic energy of a conventional MBE. The functionalization of the NWs has been demonstrated by comparing XPS analyses of molecules deposited on SiO2/Si layer and on SiC/SiO2 core/shell NWs. The chemical shifts of the carbon 1s and of the fluorine lines shapes at the surface interface with respect to the corresponding molecular bulk material have been evidenced as the proof of the functionalization. On the other hand the optical response of the molecules at the surface shows a noticeable effect due to the interaction which is another strong indication of molecule-surface stable bonding. The fluorescence monitored as a function of the kinetics of the growth is in fact strongly depending on the kinetic energy of the molecular precursors of the beam. In particular the first monolayer shows specific features in the emission spectrum, not present in the bulk porphyrin, when the kinetic energy of the molecules is around 15 eV, which are tentatively ascribed to a lower symmetry, surface-bound porphyrin specie.The optical investigation carried out by CL spectroscopy and imaging, reveals that the functionalized NWs have three order of magnitude higher integrated intensity in comparison with the molecules deposited on bulk substrates. This effect is related to an efficient energy transfer between the NWs and the molecules. Analytical electron microscopy elemental mapping confirms an homogeneous 20 nm thick H2TPP(F) shell around each single NW. This value coincides with the maximum thickness achieved by the molecules layer on bulk substrates, as shown by AFM studies. Those findings encouraging in the prospective to employ this functionalized system for different nano-medical applications.
9:00 PM - BB10.14
Near Room Temperature Formation of Helimagnetic Ordering in Single Crystal FeGe Nanowires.
Tae-Eon Park 1 2 , Dong-Jei Seo 1 , Younho Park 1 2 , Byoung-Chul Min 2 , Joonyeon Chang 2 , Heon-Jin Choi 1
1 Department of Materials Science and Engineering, Yonsei University, Seoul Korea (the Republic of), 2 Spin Device Research Center, Korea Institute of Science and Technology (KIST), Seoul Korea (the Republic of)
Show AbstractWe report on the synthesis, structural characterization, and magneto-transport of single crystal iron monogermanide nanowires (FeGe NWs). Transition metal silicides and germanides have attracted a lot of attention because of their chiral cubic helimagnetism as a consequence of the broken inversion symmetry and the Dzyaloshinskii-Moriya (DM) interaction. In contrast to MnSi having an itinerant helical spin structure with a period of 180 Å below 29±5 K, FeGe is known to have a helical spin order with a relatively long period (700 Å) and high Neel temperature (~280 K). In addition to this, the excitonic Bohr radius (24.3 nm) of bulk Ge, which is much larger than that (4.7 nm) of Si, might enhance the effect of the one dimensional confinement on the spin structure as the nano-confinement often alter or improve the physical properties of nanowires.We have synthesized single crystalline FeGe NWs using a chemical vapor transport process without using any catalyst, and investigated the electrical and magnetotransport characteristics of these NWs. When the magnetic field applied longitudinal to the NW axis, the distinct change in the magnetoresistance is observed up to room temperature, revealing the helimagnetic spin structure inside the NWs. Measured magnetic transitions from the helimagnetic state to the conical helimagnetic state occur at much higher fields than those observed in the bulk, implying that nano-confinement stabilizes the helimagnetic state. The temperature and magnetic field angle dependence of the helimagnetic ordering in FeGe NWs will be discussed in detail.
9:00 PM - BB10.15
Harnessing Brownian Motion and Inter-Particle Interactions in Dielectrophoretic Assembly of Nanowires.
Stergios Papadakis 1 , Joan Hoffmann 1 , David Deglau 1 , Andrew Chen 1 , Pawan Tyagi 2 , Andrew Monica 1 , David Gracias 2
1 , Johns Hopkins University Applied Physics Laboratory, Laurel, Maryland, United States, 2 Chemical and Biomolecular Engineering, Johns Hopkins University, Baltimore, Maryland, United States
Show AbstractWe describe the reproducible assembly of ordered arrays of nanowires between electrodes using dielectrophoresis. By using both a statistical mechanical model of nanowire behavior and particle-tracking code that analyzes nanowire motion during dielectrophoresis, we demonstrate a method for quantitative mapping of dielectrophoretic, nanowire-substrate, and inter-nanowire forces. We show that by tuning the nanowire density and the magnitude of the applied electric field such that the Brownian forces, dielectrophoretic forces, and inter-particle forces are of the same order of magnitude, the nanowires can reliably be assembled into their minimum-energy configuration. This technique has the potential to dramatically improve the reliability of dielectrophoresis-based manufacturing by enabling feedback-controlled dielectrophoretic assembly of structures.For the case of parallel electrodes, the minimum-energy configuration of the nanowires is a uniformly-spaced array of nanowires. We demonstrate directed assembly of single-component and multiple-heterojunction nanowires into ordered arrays between parallel electrodes. The geometry is such that each nanowire spans the gap between the electrodes and is centered, so that two-terminal devices grown into the nanowire centers are reliably electrically connected to the electrodes. In any assembly technique, it is important to affix the nanowires to the substrate without damaging devices grown into the nanowires. We describe a dielectrophoretic pulse technique that fixes the assembled nanowires in place while allowing the excess nanowires to be rinsed away. The pulse momentarily increases the dielectrophoretic forces on the nanowires, and thus fixes them in place without forcing large currents through the nanowires, which could damage sensitive devices.
9:00 PM - BB10.16
Polarization Engineered One-Dimensional Electron Gas Arrays.
Digbijoy Nath 1 , Pil Sung Park 2 , Michele Esposto 3 , David Brown 4 , Stacia Keller 5 , Umesh Mishra 6 , Siddharth Rajan 7 , Sriram Krishnamoorthy 1
1 Electrical Engineering, The Ohio State University, Columbus, Ohio, United States, 2 Electrical Engineering, The Ohio State University, Columbus, Ohio, United States, 3 , The Ohio State University, Columbus, Ohio, United States, 4 , University of California, Santa Barbara, Santa Barbara, California, United States, 5 , University of California, Santa Barbara, Santa Barbara, California, United States, 6 , University of California, Santa Barbara, Santa Barbara, California, United States, 7 , The Ohio State University, Columbus, Ohio, United States
Show AbstractOne-dimensional (1D) electron gas (nanowire) based devices are of great interest due to their promise in high-performance electronics and other future device applications. However, synthesis and patterning of arrays of nanowires is a challenge in all material systems. Here we demonstrate a novel system based on vicinal AlGaN/GaN heterostructures that enables direct electrostatic tuning of the dimensionality of electrons from 1D to 2D. Our approach, based on polarization engineering, enables top-down fabrication of dense arrays of pure 1-dimensional electron channels (width ~ 15-20 nm) with carrier confinement equivalent to 100 meV, that are capable of carrying technologically relevant current densities up to 130 mA/mm (normalized). A direction-dependent small-signal capacitance-voltage (C-V) profiling method to probe the Fermi occupation function of the electron gas was used to demonstrate distinct signatures of 1-dimensional density of states and transport in these structures at room temperature. The 1-dimensional system discussed here is based on the concept of polarization-induced anisotropy in vicinal AlGaN/GaN heterostructures which we reported earlier. We developed a 2-sub-band model consisting of 1-D and 2-D subbands to describe the room temperature behavior of these wires. We find excellent agreement between our model and the experimental data thus confirming that the channels created are indeed 1-dimensional. Our demonstration of 1-dimensional electron channel arrays in this novel system provides a new paradigm for research into low dimensional systems, and could enable optical, electronic and magnetic devices with added functionalities and performance.
9:00 PM - BB10.17
Li-Intercalation in Anatase TiO2 Nanotube Array Based Anode Material.
Sovan Panda 1 , Youngjin Yoon 2 , Hyun-Suk Jung 1 , Won-Sub Yoon 1 , Hyunjung Shin 1
1 School of Advanced Materials Engineering, Kookmin University, Seoul Korea (the Republic of), 2 GS Caltex Microcell Center, GS Nanotech Incorporated, Seoul Korea (the Republic of)
Show AbstractTiO2 has been studied extensively as anode material for Li-ion battery since it has very high chemical stability, low self-discharge rate, low fabrication cost and environmentally benign. TiO2 has been recognized as a safe anode material owing to its higher Li-insertion potential (~ 1.7 V versus Li+/Li) compared to well known graphite anode (~0.1V vs Li+/Li). However, the kinetics of Li-storage in TiO2 strongly depends upon its texture. Nanostructuring is a promising scheme to improve the reaction kinetics and performance of the TiO2 anodes. Recently, vertically arrayed TiO2 nanotubes (NTs) have attracted considerable attention as anode material due to their higher exposed surface area and superior charge transport properties. In this presentation, we have reported the synthesis of arrayed TiO2 NTs by atomic layer deposition (ALD) using anodic aluminum oxide (AAO) as template and their application as anode material in Li-ion battery. AAO templates with average pore diameter of ~80 nm, inter-pore spacing ~100 nm and a density ~1010/cm2 were prepared by electrochemical anodization of aluminum foil in oxalic acid followed by a widening process in H3PO4. Arrays of TiO2 NTs were fabricated by coating the inner pores of the AAO template by ALD using titanium (IV) iso-propoxide (TTIP, UP Chemical) as Ti precursor and water vapor as oxidant at 160 oC. The as-grown amorphous NTs were then annealed at 400 oC in ambient and transformed to anatase. Galvanostatic cycling tests were performed using TiO2 NTs as working electrode in two-electrode cells with Li metal as the counter electrode. Electrolyte was a solution of 1M LiPF6 in 1:1 mixture of ethylene carbonate and dimethyl carbonate. The array of anatase NTs as anode showed a reversible capacity of ~150 mAh/g which is similar to the maximum obtainable capacity from bulk anatase phase reported. The capacity retention at 50th cycle was of ~ 90 mAh/g which is ~90 % of the 10th cycle. The morphology of the NTs was not changed upon lithiation even after 50 charging/discharging cycles. The formation of fine nano LixTiO2 (x = 0.5) domains by the expense of anatase phase in the discharged NT was found in the high resolution TEM images and SAED patterns. The lithiated TiO2 NTs showed poor electrical conductivity compared to anatase NTs. As a result the vertically arrayed TiO2 NTs are ideal candidate for the anodes in Li-ion secondary batteries.
9:00 PM - BB10.18
Van der Waals Heteroepitaxial Growth of Vertical InAs Nanowires on Graphitic Substrates.
Young Joon Hong 1 , Takashi Fukui 1
1 Research Center for Integrated Quantum Electronics, Hokkaido University, Sapporo Japan
Show AbstractNanoscale semiconductors epitaxially integrated on ultrathin graphitic substrates can be applied to a wide range of lightweight, transparent, wearable and trasferable electronics and photonics. However, problems associated with chemical stability of sp2-bonded honeycomb carbon surface of the substrates make it difficult to deposit various inorganic materials on graphitic layers with cohesive bonds. Here, we report the critical leading factors of nucleation and growth for heteroepitaxy of III–V arsenide nanowires on graphitic layers in terms of van der Waals (VDW) epitaxy. Our new important finding is that the nearly coherent in-plane lattice matching (misfit of 0.47 %) between indium arsenide (InAs) and graphitic surface plays a critical role in the epitaxial formation of vertical InAs nanowires on graphitic substrate. Otherwise, gallium arsenide (misfit of –6.22 %) was grown to be island morphologies. Cross-sectional transmission electron microscopy analyses show that mono- or di-atomic ledge or kink facilitates heterogeneous nucleation of InAs on non-wetting graphitic surface, forming the nuclei and promoting the subsequent nanowire epitaxial growth with strong VDW interactions at the heterojunction. We further demonstrate the controlled VDW heteroepitaxy method with surface engineering of substrates, such as dry etching and patterning, for high-yield and uniform InAs nanowire arrays. All the features of the VDW epitaxy, that is high-yield, very uniform vertical nanowires with a controlled manner, readily provide significant opportunities for fabricating various semiconductor devices on honeycomb carbon materials.
9:00 PM - BB10.19
Single Crystalline Radial P-N Junction Si Nanopillars for Photovoltaic Applications.
Jinkyoung Yoo 1 , Shadi Dayeh 1 , S. Picraux 1 , Ian Campbell 2
1 Center for Integrated Nanotechnologies, Los Alamos National Laboratory, Los Alamos, New Mexico, United States, 2 Materials Physics and Applications-11, Los Alamos National Laboratory, Los Alamos, New Mexico, United States
Show Abstract One-dimensional (1D) semiconductor radial p-n junction arrays have attracted much attention for their potential application as solar cells. For high performance the radial p-n nanopillar arrays depend on the orthogonalization of enhanced axial light absorption due to diffuse scattering and the radial carrier separation in individual nanopillars over significantly smaller length scales. Various reports in the literature have validated the concept of enhanced light absorption with 1D Si nanostructure arrays; however, the impact of radial carrier separation on the performance of nanopillar cells has received less attention. We develop fabrication and growth processes that allow the realization of single nanopillars photocells, as well as large area nanopillar arrays. We have characterized the photovoltaic response of these structures in order to isolate carrier separation effects from enhanced light absorption effects in array performance. The as-etched nanopillar arrays are subject to several oxidation-etching steps to obtain smooth surfaces with well controlled diameters in the range of 150 – 500 nm and lengths 5 – 10 microns with variable pitch. RCA cleaning then follows in order to prepare an epi-ready surface for CVD doped shell deposition. Through a series of systematic growth runs and TEM characterization, we find that a low silane partial pressure combined with dopant precursors and high temperatures provides good single crystalline shell epitaxial growth. Processing of top contacts is then performed to fabricate array-based as well as single nanopillar solar cells. Our initial electrical measurements on these pillars show good rectifying behavior, with contacts to the structures being optimized to reduce excess leakage currents. Detailed characterization of the wavelength-dependent photovoltaic response of individual nanopillars will be reported. By combining our independent optical absorption measurements for the nanopillar array structures with the individual pillar photovoltaic response results, we predict the expected solar cell performance and compare these results with the measured response of our arrays. This approach allows one to isolate the effects of electrical carrier separation and collection from the enhanced light absorption of the arrays to better optimize cell performance.
9:00 PM - BB10.2
A Simple Two-Step Solution Process for the Synthesis of Micropatterned ZnO Nanorods Based on Soft-Lithography of Hydrogels.
Ilenia Tredici 1 , Alessandro Resmini 1 , Frank Yaghmaie 2 , Michael Irving 2 , Filippo Maglia 1 , Umberto Anselmi Tamburini 1
1 Chemistry, University of Pavia, Pavia Italy, 2 Northern California Nanotechnology Center, University of California Davis, Davis, Davis, California, United States
Show AbstractIn recent years zinc oxide received large attention as a functional material because of its remarkable combination of physical properties. ZnO is in fact a wide band gap semiconductor with a large excitation binding energy and relevant piezoelectric properties. In particular, a large effort has been devoted to the synthesis of ZnO nanostructures that present a huge potential as a key component in miniaturized electro-optic devices. In this respect, well-aligned ZnO nanowire arrays present a particular interest, since they possess high surface to volume ratio and provide a direct path for charge and light transport. Recently, many groups have demonstrated site-specific growth of ZnO nanorods using solution based approaches, like hydrothermal decomposition of zinc amino complex. However, a critical step for the realization of actual devices is represented by the ability to control the growth of the nanostructures in order to produce complex patterns with good spatial accuracy. Patterned arrays of ZnO nanowire have been obtained in recent years using several different approaches, involving patterning of the metallic catalyst using dip-pen lithography, microcontact printing, templates, Langmuir-Blodgett or fluidic alignment. In most cases, however, these patterning methods result to be quite complex or might involve the use of photolithography or other complex or expensive apparatuses, or can be performed only on specific substrate materials. We here present a novel approach that is based on a simple two-step process involving first the patterning of metal loaded hydrogels through soft-lithography followed by the growth of the nanorods by a hydrothermal approach. The method does not present restrictions on the shape and complexity of the pattern features and can be realized on any type of substrate and allows to realize patterns with good lithographic characteristics.
9:00 PM - BB10.20
Enhanced Photoconductivity in ZnO Nanowires Coated with Colloidal CdSe Quantum Dots.
Dongchao Hou 1 , Apurba Dev 1 , Tobias Voss 1
1 Institute of Solid State Physics, University of Bremen, Bremen Germany
Show AbstractSurface functionalization of semiconductor nanowires is a very versatile means for tailoring their optical absorption and emission properties. Through specially designed organic linker molecules, a tight binding of a variety of different semiconductor or metal nanoparticles to the nanowire surface can be achieved. The separation between the nanoparticles and the nanowire surface can be precisely controlled by adjusting the length of the organic linker molecule.We have chemically synthesized colloidal CdSe quantum dots (QDs) and attached them to the surface of ZnO nanowires with molecules of 3-mercaptopropionic acid (MPA). In this configuration, the MPA molecules bind to the CdSe particles with the terminating S atom thus stabilizing the QDs and preventing agglomeration. The carboxyl group of the MPA binds to the ZnO surface such that a dense coverage of the nanowire surface with QDs can be achieved. The synthesized CdSe quantum dots show a room-temperature photoluminescence band between 480-550nm with the shortest wavelength obtained after 15h growth time and the longest after 160h. TEM studies yield corresponding QD diameters between 2-3nm which is in excellent agreement with the expected quantum confinement in CdSe nanostructures.Under illumination with 458nm photons from an Ar laser, i.e., a photon energy below the bandgap of ZnO nanowires, a strong enhancement of the photoconductivity was observed in the nanowire/QD structures. The experimental results suggest that after excitation of electron-hole pairs in the quantum dots through the absorption of photons, the electrons can be efficiently transferred to the ZnO nanowires where the larger electron concentration increases the electrical conductivity. We have verified this assumption by systematic power, spectrally, and time dependent studies of the QD-enhanced photoconductivity in ZnO nanowires. Our results demonstrate the potential of hybrid nanowire/QD structures for photovoltaic applications as well as light-emitting devices.
9:00 PM - BB10.21
Carrier and Exciton Spin Dynamics in Cu-Doped ZnO Nanowires.
Tze Chien Sum 1 , Guo Zhong Xing 1 , Gui Chuan Xing 1 , Chang Ho Alfred Huan 1 , Wu Tao 1
1 Division of Physics and Applied Physics, Nanyang Technological University, Singapore Singapore
Show AbstractCopper is one of the most pervasive and important impurities in ZnO. Over the past four decades, there have been extensive studies on this CuZn defect which manifest itself as the green luminescence (GL) band peaking at ~2.45eV. At low temperatures, the GL exhibits a distinct phonon-related fine structure and a zero-phonon line at ~2.86 eV. The origin of this GL band is attributed to the [Cu+(d9 + e), h] → [Cu2+ (d9)] + hv charge transfer transitions where the hole is transferred from a level highly perturbed by the surrounding oxygen to the highly shielded d shell of the copper atom. In this intermediately bound exciton model, the electron wavefunction of the tenth electron in the Cu+ ion is delocalized due to the hybridization of the d states with the bottom of the conduction band, thus being depicted as: [Cu+(d9 + e), h]. This CuZn transition have long been speculated as a charge transfer (CT) type and direct experimental evidence of the CT process and the CT rate between the ZnO host and the Cu subsystem has not been reported until now. Herein, we report on the ultrafast optical spectroscopy of well-aligned Cu-doped ZnO nanowires (NWs), that were synthesized using a chemical vapor transport method on double-side polished sapphire substrate. Time resolved photoluminescence (TRPL) and transient absorption (TA) spectroscopy reveal an ultrafast CT process, with an electron localization time constant 39 ± 9 ps, between the ZnO host and the Cu dopants in Cu-doped ZnO nanowires. This CT process competes with the ZnO band edge emission, resulting in a quenching of the ZnO UV emission. Furthermore, transient spin-polarized optical orientation experiments reveal the occurrence of long-lived, highly spin-polarized excitonic emissions from Cu-doped ZnO nanowires in the absence of an external magnetic field at low temperatures. Our findings continue to shed new light on this fascinating nanowire system. These one-dimensional nanostructures with long-lived, highly spin polarized emissions may find potential applications as spin-polarized light emitting devices and lasers.
9:00 PM - BB10.22
Electrical Transport Measurements and Topography of III-V Semiconductor Nanowires by Four Probe and Low Temperature Scanning Tunneling Microscopy.
Corentin Durand 1 , Maxime Berthe 1 , Younes Makoudi 1 , Thanh Hai Nguyen 1 , Philippe Caroff 2 , Jean Philippe Nys 1 , Bruno Grandidier 1
1 Physique, IEMN UMR-8520, Villeneuve d'Ascq France, 2 EPIPHY, IEMN UMR-8520, Villeneuve d'Ascq France
Show Abstract In contrast to bulk semi-conductor materials, where the conductance through the surface is usually much lower than through the underlying bulk crystal, semiconductor systems with reduced dimensions may exhibit transport phenomena that are mostly governed by their surface properties. Here, we investigate the surface atomic structure and the transport properties of InAsxSb1-x nanowires in ultra high vacuum. The nanowires were grown vertically on InP(111)B substrate via a gold seed-assisted vapor-liquid-solid mechanism by molecular beam epitaxy, and protected against oxidation via an in-situ arsenic capping layer. The nanowires crystal structure was engineered to change axially from wurtzite to zinc blende by tuning the antimony concentration. Scanning tunneling microscopy performed at low temperature reveals the atomic reconstruction of their sidewalls. In addition, the resistivity of single nanowires was investigated by a four-probe scanning tunneling microscope platform under the operation of a scanning electron microscope. Performing the electrical measurements on free-standing nanowires demonstrates an ohmic character of the nanowires. Furthermore, four probe transport measurements show a significant reduction of the resistivity in comparison with the resistivity of similar nanowires connected between electrodes, that are covered with a thin oxide layer. Our results indicate the importance of the surface layer on the electrical conduction of these nanowires.
9:00 PM - BB10.23
Catalyst Phase Behavior during Germanium Nanowire Growth by Vapor-Solid-Solid Mechanism.
Andrew Gamalski 1 , Caterina Ducati 2 , Renu Sharma 3 , Jerry Tersoff 4 , Stephan Hofmann 1
1 Department of Engineering, University of Cambridge, Cambridge United Kingdom, 2 Department of Materials Science and Metallurgy, University of Cambridge, Cambridge United Kingdom, 3 Center for Nanoscale Science and Technology, National Institute of Standards and Technology, Gaithersburg, Maryland, United States, 4 , IBM T. J. Watson Research Center, Yorktown Heights, New York, United States
Show AbstractImplementing bottom-up grown semiconductor nanowires (NW) in photonics, sensors, and energy storage devices will require a detailed understanding of NW growth mechanisms. We present environmental transmission electron microscopy video data of Au catalyzed Ge NW growth under digermane exposure at temperatures between 240 °C to 280 °C. The catalyst particles are temporarily liquid after gas exposure [1] and Ge NWs grow by periodic step nucleation from the liquid Au-Ge alloy [2]. The system then reaches a steady state where both catalyst and nanowire are in the solid phase. Here we focus on the crystalline phase that the catalyst particle attains during vapor-solid-solid (VSS) growth after recrystallization of the Au-Ge alloy [3]. We explore the role that metastable solid phases play in VSS growth and the importance of the surface energetics at the solid catalyst-NW interface in determining NW growth dynamics.
[1] A. D. Gamalski et al., Nano Lett., 10, 2972 (2010)
[2] A. D. Gamalski et al., J. Phys. Chem. C, 115, 4413 (2011)
[3] A. D. Gamalski et al., submitted (2011)
9:00 PM - BB10.24
From Vapor-Liquid-Solid to Wet Chemically Etched Silicon Nanowires.
Vladimir Sivakov 1 , Florian Talkenberg 1 , Björn Hoffmann 1 , Felix Voigt 1 2 , Gerald Broenstrup 3 , Matthias Pietsch 3 , Marina Kulmas 1 , Arne Bochmann 1 , Silke Christiansen 1 3
1 Semiconductor Nanostructures, Institute of Photonic Technology, Jena Germany, 2 , Carl-von-Ossietzky University, Oldenburg Germany, 3 , Max Planck Institute for the Science of Light, Erlangen Germany
Show AbstractNW structures possess unique - engineered-at-will - properties which make them a promising class of materials for novel technological applications ranging from nanoelectronics to energy harvesting systems. Recent work on SiNWs has sparked great interest in the photonic community as they exhibit enhanced optical, electrical and thermal characteristics. Two different growth modes will be explored with regards to the fabrication of the SiNWs: VLS growth and wet chemical etching. SiNWs usually synthesized by the vapor-liquid-solid growth mechanism are meant that grow from the gas phase by supplying Si vapor (PVD methods such as MBE or EBE) or Si containing gases such as silane (CVD) and catalyzing the one dimensionality of the growing nanostructure by a metal nanocatalyst droplet e.g. from gold that determines the diameter of the growing wire. When the growth is carried out on an oxide free Si wafer, the SiNWs can grow epitaxially, when growing on glass the SiNWs grow random in all sorts of different directions while keeping certain preferred growth directions. The challenge with the VLS method is multifold, e.g. it is challenging to form high crystalline quality SiNWs with a predefined doping level or even with sharp axial p-n junctions. In direct comparison, the growth of sharp pn-junctions in alternating 2D layers with well defined doping levels is easily to be obtained following completely understood processes. In a subsequent step, the formation of highly parallel SiNWs with desired lengths, at diameters of the order of few ten nanometers up to a few hundred nanometers could easily be obtained by an aqueous electroless chemical etching of single crystalline silicon wafers. For these etched SiNWs some of the aforementioned challenges of VLS growth do not occur, e.g. sharp doping profiles of choice can be realized in the initial wafer material e.g. by epitaxial 2D layer deposition of doped homo-epitaxial Si layers, the SiNW diameters and etching depth can be defined by the variation of etching time and/or etching solution. Silicon nanowire (SiNW) ensembles with different architectures have been realized using wet chemical etching of bulk silicon wafers. SiNWs built by WCE were investigated by PL measurements. Strong visible (red-orange) room temperature photoluminescence has been observed in wet chemically etched heavily and lowly doped SiNWs. In this paper the possible origins of PL of the SiNW and PL dependence on the WCE kinetic’s (concentration, time, temperature, irradiation) are investigated and will be discussed in details. The strong absorption, less reflection and RT PL of the SiNW ensembles strongly suggest that such material has a real potential to be applied in the fields of optoelectronics, photonics, sensoric and photovoltaics. The possible application of such nanostructures in the cancer therapy, unique elastic, super antiseptic Ag/SiNWs properties and explosion properties were suggested and will be discussed in details.
9:00 PM - BB10.25
Radial Mechanical Properties of Single-Walled Boron Nitride Nanotubes.
Zheng Meng 1 , Xiaoming Chen 1 , In-Tae Bae 2 , Changhong Ke 1 , Cheol Park 3 4 , Michael Smith 5 , Kevin Jordan 6
1 Department of Mechanical Engineering, State University of New York at Binghamton, Binghamton, New York, United States, 2 Small Scale Systems Integration and Packaging Center, State University of New York at Binghamton, Binghamton, New York, United States, 3 , National Institute of Aerospace, Hampton, Virginia, United States, 4 Department of Mechanical and Aerospace Engineering, University of Virginia, Charlottesville, Virginia, United States, 5 , NASA Langley Research Center, Hampton, Virginia, United States, 6 , Jefferson Laboratory, Newport News, Virginia, United States
Show AbstractBoron nitride nanotubes (BNNTs) are one type of one-dimensional nanostructures with extraordinary mechanical, thermal, and radiation shielding properties and chemical stabilities, and are being pursued for a number of applications, such as multifunctional nanocomposites. In this talk, we present our recent work on studying the radial mechanical properties of single-walled BNNTs (SW-BNNTs). The SW-BNNTs employed in our study were synthesized using a novel catalyst-free pressurized vapor/condenser (PVC) method and were confirmed to possess highly crystalline, thin, and long structures. The radial mechanical properties of individual SW-BNNTs were measured using atomic force microscopy (AFM)-based nanomechanical testing techniques, and were interpreted using contact mechanics models. The measured effective radial elastic moduli of SW-BNNTs are found to follow a decreasing trend with the increase in tube diameter. Our results show that SW-BNNTs have relatively lower effective radial elastic moduli compared with single-walled carbon nanotubes (SW-CNTs), which is ascribed to factors related to the strain energy and the structure of both types of tubes. Our results suggest that BNNTs are compelling for applications demanding thermally stable and mechanically strong, but supple structures, such as nanotube-based ceramic nanocomposites.
9:00 PM - BB10.26
Hierarchical Vanadium Oxide Nanowires for Lithium Ion Batteries.
Liqiang Mai 2 1 , Lin Xu 2 1 , Chunhua Han 2
2 State Key Laboratory of Advanced Technology for Materials Synthesis and Processing, WUT-Harvard Joint Nano Key Laboratory, Wuhan University of Technology, Wuhan, Hubei, China, 1 Department of Chemistry and Chemical Biology, Harvard University, Cambridge, Massachusetts, United States
Show AbstractHierarchical nanostructured materials such as hollow nanospheres, porous nanostructures, nanotubes, nanorod-on-nanowire structures, branched nanowires, and kinked nanowires, etc. can exhibit special function in the field of electrochemistry, electronics, and nano-bio interface. In this presentation, ultralong hierarchical vanadium oxide nanowires with diameter of 100-200 nm and length up to several millimeters were synthesized using the low-cost starting materials by electrospinning combined with annealing. The hierarchical nanowires were constructed from attached vanadium oxide nanorods of diameter around 50 nm and length of 100 nm. The growth of NH4VO3 nanorods on the surface of electrospun NH4VO3/PVA composite nanowires before annealing is critical for the formation of hierarchical vanadium oxide nanowires. This novel nanostructure exhibits high performance for lithium batteries, providing a high discharge capacity of 390 mAh/g and improved cycle stability, which results from reduced self-aggregation of the nanomaterials. This demonstrates that ultralong hierarchical vanadium oxide nanowire is one of the most favorable nanostructures as cathodes for improving cycling performance of lithium batteries. The nanorod-in-nanowire described here is a unique structure that will probably have potential applications in chemical power sources, sensors, and other nanodevices.AcknowledgementsThis work was supported by the National Nature Science Foundation of China (51072153, 50702039), Program for New Century Excellent Talents in University (NCET-10-0661) and the Fundamental Research Funds for the Central Universities (2010-II-016). Thanks to Prof. C.M. Lieber of Harvard University, Prof. Z. L.Wang of Georgia Institute of Technology and J. Liu of Pacific Northwest National Laboratory and G. Liu of Oak Ridge National Laboratory for strong support and stimulating discussion.
9:00 PM - BB10.27
Modeling Composition Profiles in Segregated Core-Shell and Axial Heteroepitaxial Nanowires.
Guglielmo Vastola 1 , Yong Wei Zhang 1 , Vivek Shenoy 2
1 Engineering Mechanics, Institute of High Performance Computing, Singapore Singapore, 2 School of Engineering, Brown University, Providence, Rhode Island, United States
Show AbstractControl of transport properties in semiconductor core-shell and axial heteroepitaxial nanowires is crucial for their use in nanoelectronic applications. Electronic properties in a multi-component system, in turn, are shaped by the alloy distribution of composition inside the nanostructure. Therefore, the control of alloy distribution inside core-shell and axial heteroepitaxial nanowires, and in particular at the interface between core and shell and between axial junctions, becomes important.In the case of two components, as for example Si and Ge, one specie is usually segregating over the other. This means that, both at thermodynamic equilibrium and during growth, the composition of the surface of the alloy is different from that of the bulk. Notice that this scenario includes the case where one specie is a dopant, and the other is the host material.The equations that account for surface segregation in a bi-component alloy system have been recently derived from first-principles thermodynamics (V. B. Shenoy, J. Mech. Phys. Sol. 59, 1121 (2011)). Starting from these equations, we derive the relation between surface and bulk composition under growth conditions. The formula converges to the well-known isotherm of segregation for thermodynamic equilibrium as the growth velocity is reduced.We apply our formulation to study composition evolution in core-shell and axial nanowires. We see that, when both the core and the shell are alloy (i.e., with intermediate composition between 0 and 1), the interface evolves a localized peak in composition of the segregated specie at the interface. Because bulk diffusion is negligible, the peak remains sculpted in the nanostructure after growth. For the case of deposition of pure component over the other, we show that the interface is diffuse if the segregating specie is deposited over the other, and is sharp in the opposite case. By varying the growth rate, we show that, for both types of interfaces, the interface is sharper at high growth rates compared to low growth rates.
9:00 PM - BB10.28
Interfacial Reaction-Dominated Full Oxidation of 5 nm Diameter Silicon Nanowires.
Ilsoo Kim 1 , Tae-Eon Park 1 , Ki-Young Lee 1 , Ryong Ha 1 , Byung-Hyun Kim 2 3 , Yong-Chae Chung 3 , Kwang-Ryeol Lee 2 , Heon-Jin Choi 1
1 Department of Materials Science and Engineering, Yonsei university, Seoul Korea (the Republic of), 2 Computational Science Center, Korea Institute of Science and Technology, Seoul Korea (the Republic of), 3 Department of Materials Science and Engineering, Hanyang University, Seoul Korea (the Republic of)
Show AbstractWe systematically investigated the oxidation behavior of SiNWs with diameters of 5 nm and compared the findings with those of SiNWs with diameters of 30 nm. The single crystal 5 nm and 30 nm SiNWs were grown by a CVD process using Ti as a catalyst. The SiNWs were then oxidized at 600–1000°C for 30 min to 4 hours in O2 and the oxide thickness was determined by transmission electron microscopy. As reported, 30 nm SiNWs showed parabolic, self-limited oxidation. However, the 5 nm SiNWs showed linear, full oxidation under the same conditions. Molecular dynamic simulations of the oxidation of SiNWs using the reactive force field of a Si-O system revealed that the compressive stress at the Si/SiO2 interfaces, which evolves due to the volume expansion of oxidized Si, decreased as the diameter of the SiNWs in the sub-10 nm regimes. This smaller amount of compressive stress may yield a lower energy barrier for oxygen diffusion and full oxidation in 5 nm SiNWs. It may also yield the interfacial reaction-dominated oxidation in 5 nm SiNWs, as compared to the diffusion-dominated oxidation of typical SiNWs. By considering the potential of sub-10 nm SiNWs toward advanced devices, these results may helpful to widen our knowledge of the oxidation behavior of sub-10 nm structures as well as to exploit the potential toward nanodevices.
9:00 PM - BB10.29
Lithium Intercalation in Low Dimensional Materials as Anodes for Li-Ion Batteries.
Reza Shahbazian Yassar 1 , Hessam Ghassemi 1 , Qi Gao 1 , Ming Au 2 , Patricia Heiden 3 , Ning Chen 3
1 Mechanical Engineering, Michigan Technological University, Houghton, Michigan, United States, 2 , Savannah River National Laboratory, Aiken, South Carolina, United States, 3 Department of Chemistry, Michigan Technological University, Houghton, Michigan, United States
Show AbstractSilicon nanowires and TiO2 nanotubes are promising materials for Lithium-ion batteries. This report focuses on the in-situ observation of lithiation and delithiation in Si nanorods and TiO2 nanotubes. The intercalation of Li ions in Si nanorods was monitored during charging and the fracture of nanorods was quantified in terms of size. The electrochemical testing of these low dimensional structures were conducted inside a transmission electron microscope equipped with a novel in-situ electrical probing holder. In addition, the intercalation of crystalline anatase and amorphous TiO2 was studied and their fracture events were monitored in real time.
9:00 PM - BB10.3
Structural and Optical Properties of GaN-InGaN Core-Shell Nanowires.
Tevye Kuykendall 1 , Adam Schwartzberg 2 , Daniel Gargas 1 , Virginia Altoe 1 , Shaul Aloni 1
1 Molecular Foundry, Lawrence Berkeley National Lab, Berkeley, California, United States, 2 , Sandia National Lab, Livermore, California, United States
Show AbstractCore-shell Gan-InGaN nanowires have attracted much attention for their potential applications as LEDs, MJ-solar cells and solar-to-fuel applications. While advances have been made along these directions, many synthetic challenges and fundamental questions remain. Some of our recent studies have shown that the indium concentration in the InGaN shells can vary along a single nanowire. We have seen that the nature of this transition depends not only on the nanowire diameter, but on the film thickness, nanowire density, and morphology. However, it can be changed globally as a function of shell growth temperature. In the search for controlled, high-yield growth strategies of uniform arrays, characterization techniques are used to provide information both about individual nanostructure properties, as well as that of an ensemble. Correlating results of electron microscopy, EDS, Cathodoluminescence, micro-PL and Raman spectroscopy provide valuable insight not only into the properties of individual nanostructures and arrays, but also allow us to understand the factors limiting the growth parameter space. I will discuss some of our recent advances in the synthesis and characterization of GaN-InGaN core-shell nanowire arrays.
9:00 PM - BB10.31
Diffusion Instability and Tapering of Nickel Silicide Intrusions in Silicon Nanowires.
Yuval Yaish 1 , Alexander Katsman 1 , Michael Beregovsky 1
1 , Technion - Israel Institute of Technology, Haifa Israel
Show AbstractThermally activated axial intrusion of nickel silicides into the silicon nanowire (NW) from pre-patterned Ni reservoirs is used in formation of nickel silicide/silicon contacts in SiNW field effect transistors. This intrusion consists usually of different nickel silicides which grow simultaneously during thermal annealing. The growth is often accompanied by local thickening and tapering of the NW, up to full disintegration of an adjacent NW segment. In the present work this process was investigated in SiNWs of 30-60 nm in diameters with pre-patterned Ni electrodes after annealing in a rapid thermal annealing tool in nitrogen atmosphere at different temperatures of 300-440°C and times up to 120 s. The intrusion lengths of mono- and nickel-rich silicides showed various time dependences, from a linear (with low growth rates ~ 1-3 nm/s) to a square root, diffusion-type dependence (with higher rates ~ 10-15 nm/s). In several cases, periodic thickening of nickel-rich part resulted in formation of "churchkhela"-like profile; sometimes it is accompanied by tapering of mono-silicide part up to its full dissolution and breaking of the NW. Kinetics of the nickel silicides axial growth was analyzed in the framework of a model taking into account simultaneous formation of different silicides phases and balance between transition of Ni atoms from the Ni reservoir to the NW surface, diffusion transport of these Ni atoms to the interfaces between different silicides and silicide/Si interface, and corresponding reactions of the nickel silicides' formation. Additional flux of atoms caused by the NW curvature gradients appears when the different parts of the intrusion (consisted of different nickel silicides) have different radii. Simultaneous growth of mono- and nickel-rich silicides was described for different kinetic and geometrical parameters of the system. For a certain set of parameters formation of the "churchkhela"-like profile on the nickel-rich silicide surface, tapering and dissolution of the monosilicide part of intrusion were obtained.
9:00 PM - BB10.32
Stacking Fault-Free II-VI Nanowires via Tunable Alloying.
Hoseok Heo 1 , Kibum Kang 2 , Donghun Lee 2 , Inchan Hwang 2 , Miseong Kim 2 , Moon-Ho Jo 1 2
1 Division of Advanced Materials Science, Pohang University of Science and Technology(POSTECH), Pohang Korea (the Republic of), 2 Department of Material Science and Engineering, Pohang University of Science and Technology(POSTECH), Pohang Korea (the Republic of)
Show AbstractPlanar defects in compound (III-V and II-VI) semiconductor nanowires (NWs), such as twin and stacking faults are universally formed during the catalytic NW growth, and they detrimentally provide static disorders against coherent electron transport and light emissions. Here we report a simple synthetic route for unprecedentedly stacking fault-free II-VI NWs via tunable alloying, i.e. Cd1-xZnxTe NWs (0≤x≤1). It was found that the eutectic alloying of Cd and Zn in Au catalysts immediately alleviates interfacial instability during the catalytic growth, and forms homogeneous Zinc Blende crystals as opposed to unwanted Zinc Blende/Wurtzite mixtures. Thereby the spectral and temporal responses in photodetection and photoluminescence fully exploit the intrinsic energy band-gap modulation, which pertains to the tunable alloying, in the visible range.
9:00 PM - BB10.33
Nucleation and Growth Mechanisms of Self-Induced GaN Nanowires Grown on an Amorphous Interlayer by Molecular Beam Epitaxy.
Vincent Consonni 1 , Matthias Knelangen 1 , Michael Hanke 1 , Lutz Geelhaar 1 , Achim Trampert 1 , Henning Riechert 1
1 , Paul Drude Institute for Solid State Electronics, Berlin Germany
Show AbstractThe self-induced growth of GaN nanowires (NWs) by plasma-assisted molecular beam epitaxy (PAMBE) has the great advantage to avoid the use of any foreign material that could result in the NW contamination but involves complex nucleation and growth mechanisms. It has been shown that GaN nucleates as spherical cap-shaped islands on an AlN buffer layer and not with the NW morphology. The nucleation process is strongly related to the relaxation process of the epitaxial strain through successive shape transitions and subsequent misfit dislocation formation [1]. In particular, the critical radius to nucleate the misfit dislocation in the islands defines the initial NW radius [1]. However, it is well-known that GaN NWs can also be grown on an amorphous interlayer: in this case, the nucleation mechanisms are still open since the previous process cannot be applied due to the lack of epitaxial constraint.In this work, GaN NWs are grown by PAMBE within a self-induced approach on a Si(111) substrate with an amorphous SixNy interlayer. We study the nucleation process by combining in situ reflection high-energy electron diffraction (RHEED) with ex situ high-resolution transmission electron microscopy (HRTEM). The development of the RHEED intensity related to Si and GaN is monitored as growth proceeds. Also, dedicated samples are grown at different durations so as to observe ex situ the distinct morphologies of GaN islands. It is shown that GaN nucleates as spherical cap-shaped islands after an incubation time that is dependent upon the growth conditions such as gallium rate and growth temperature [2,3]. The incubation time is described in the framework of standard island nucleation theory, from which a nucleation energy of 4.9 ± 0.1 eV and a very small nucleus critical size are deduced [3]. These islands then coarsen and eventually undergo a shape transition towards the NW morphology beyond an experimentally observed critical radius of 5 nm [2]. It is revealed that the anisotropy of surface energy acts as the predominant driving force for the shape transition while edge effects may lead to the existence of an energy barrier [2]. These findings will directly be compared to the nucleation mechanisms on the AlN buffer layer [1]. Furthermore, the effects on the GaN NW density and growth rate are thoroughly discussed [4]. In particular, it is found that the presence of the shape transition between spherical caps and NWs has a significant influence on the NW density besides coalescence effects [4].[1] V. Consonni, M. Knelangen, L. Geelhaar, A. Trampert, and H. Riechert, Phys. Rev. B 81, 085310 (2010).[2] V. Consonni, M. Hanke, M. Knelangen, L. Geelhaar, A. Trampert, and H. Riechert, Phys. Rev. B 83, 035310 (2011).[3] V. Consonni, A. Trampert, L. Geelhaar, and H. Riechert, Appl. Phys. Lett. (in press).[4] V. Consonni, M. Knelangen, A. Trampert, L. Geelhaar, and H. Riechert, Appl. Phys. Lett. 98, 071913 (2011).
9:00 PM - BB10.34
Alkylphosphonic Acid Control over PbSe Nanowire Morphology.
Anthony Smith 1 , Janice Boercker 2 , Joseph Tishler 2 , Edward Foos 2
1 , NRC/NRL Postdoctoral Fellow, Washington, District of Columbia, United States, 2 , Naval Research Laboratory, Washington, District of Columbia, United States
Show AbstractControlled synthesis over the size and shape of nanomaterials has become more important in any application looking to take advantage of the size dependent effects associated with quantum confinement. As our understanding of solution synthesis of nanomaterials expands, the challenge shifts toward isolating specific shapes and sizes of a given material. An example of this is the solution synthesis of PbSe where nanocrystals, nanorods, and nanowires have all been isolated, providing an opportunity to control the morphology and assembly mechanism to attain materials with specific functions. Smoother wires are suggested to promote electron mobility where rougher or branched materials can limit transport, properties which are of interest for photovoltaics and thermoelectrics. Here, the introduction of alkylphosphonic acids of various lengths from 8 to 18 carbons into the PbSe nanowire synthesis is presented. Short alkyl chain lengths lead to faceted and branched wires while longer chain lengths lead to smoother surface morphologies. Information on the structures present during the initial stages of the reaction was also obtained through truncated reaction times. Electron microscopy and photoluminescence data of these nanowires will be presented along with discussion of the role of phosphonic acids in the nanowire synthesis.
9:00 PM - BB10.36
Twinning Phenomena in Functional FCC Nanowires and Their Influence on Electrical, Optical Properties.
Ying Li 1 , Rob Dickerson 1 , Amit Misra 1
1 Center for Integrated Nanotechnologies, Los Alamos National Laboratory, Los Alamos, New Mexico, United States
Show AbstractThe twinning phenomena in one-dimensional (1D) functional nanostructure (two examples GaP and ZnS mainly used here) with face centered cubic (FCC) structure were studied in detail. Transmission electron microscopy (TEM), selected area electron diffraction (SAED), high resolution TEM (HRTEM), scanning TEM (STEM), energy dispersive X-ray spectroscopy (EDX), electron energy loss spectroscopy (EELS) and energy filtered TEM (EFTEM) method were used to characterize the as-synthesized 1D nanostructure. The distribution of twin in these FCC 1D nanostructures was summarized, the growth mechanism of twin and the scale effect to twinning were proposed. Electrical and optical properties of these 1D functional nanostructures were measured, the influence of twinning to the properties were also analyzed and discussed.
9:00 PM - BB10.37
On the Kinetics of VLS Growth of Nanowires.
Dhayalan Shakthivel 1 , Srinivasan Raghavan 1
1 Materials Research Centre, Indian Institute of Science, Bangalore-12 India
Show AbstractVapour-Liquid-Solid growth mechanism has been utilized for the growth of nanowires. A kinetic model is presented here for Silicon nanowires grown on Sapphire substrate using Silicon tetrachloride and Silane as precursors. Mechanistic equations have been derived for the various steps involved in the VLS growth mechanism and discussed in detail. Based on the earlier phenomenological model, the growth velocity is observed to be controlled by the interplay between incorporation and crystallization steps. The equations derived herein express the balance between various injection and ejection steps at steady state growth conditions. The equations derived are solved for different conditions of VLS variants to elucidate the dependence on catalyst droplet diameter, pressure and temperature. The supersaturation under steady state conditions has been calculated. The conclusions of the phenomenological model has been clearly quantified and shown to be consistent with the current kinetic model.
9:00 PM - BB10.38
CVD Assisted VLS Growth of Silcon Nanowires on Sapphire Substrate.
Dhayalan Shakthivel 1 , Narayanan Ravishankar 1 , Srinivasan Raghavan 1
1 Materials Research Centre, Indian Institute of Science, Bangalore India
Show AbstractSilicon Nanowire research has been fueled by Nanoelectronics and Sensors. As there are numerous possible applications for nanowires envisioned, particle-assisted VLS growth method remains the dominant process, for its simple reproducibility and application to an enormous variety of growth and material systems. This has also increased the importance of understanding all atomistic processes by which these 1D structures form. In order to understand the thermodynamics and kinetics of VLS growth, Si nanowires are grown on sapphire substrate as a model system. Experimetal work has been performed in indigenously build automated MOCVD recator. Gold is used as catalyst material for Si nanowire growth and SiCl4, SiH4 are the silicon precursors. Growth temperatures are varied between 450°C to 1000°C at the growth pressure excess of 1torr. A incubation period of ~8min is observed at the pre-growth stage of Si nanowire growth in the temperature of 800-900 °C using SiCl4. Silicon concentration in the Au-Si droplet in the first 8 mins has been measured using inductively coupled plasma technique and found no change in Silicon concentration. This implies the time period(~8min) is ”incubation period” after supersaturation. Growth kinetics has been evaluated by measuring the steady state growth velocity. Numerical values out of this experimental work has been compared with the values estimated by the kinetic model developed.
9:00 PM - BB10.39
Synthesis and Characterization of Nanoribbons of Charge-Density-Wave Material NbSe3.
Adam Stabile 1 , Luisa Whittaker 2 , Sarbajit Banerjee 2 , G. Sambandamurthy 1
1 Physics, University at Buffalo, Buffalo, New York, United States, 2 Chemistry, University at Buffalo, Buffalo, New York, United States
Show AbstractNbSe3 has been a model system to study low-dimensional transport behavior across the charge density wave phase transitions. Though the physical properties on macroscopic samples have been comprehensively explored, studies on nanoscale samples, which are expected to show effects due to size confinement are not as well known. Currently, many researchers use a top-down approach to create nanometer-sized NbSe3 samples; specifically, through the use of focused-ion beam etching or ultrasonic cleaving. These nanofabrication techniques are often complicated and may result in unwanted defects. Thus, bottom-up approaches to synthesizing nanoscale NbSe3 samples are particularly desirable. Herein, we report the synthesis, characterization, and electrical transport properties on a facile approach to the chemical vapor transport method, which can yield NbSe3 nanoribbons in single step. Transport measurements on individual nanowires show two Peierls transitions at 145 K and 59 K, underscoring the highest quality of our nanoribbons. Enhanced depinning electric fields suggest significant size confinement effects in our ribbons.
9:00 PM - BB10.4
Performance and Limitations of Individual Silicon Nanowire Inverters at High Frequencies.
Andrea Fasoli 1 , Alan Colli 2 , Antonio Lombardo 1 , Tero Kulmala 1 , Andrea Ferrari 1 , William Milne 1
1 Engineering, Cambridge University, Cambridge United Kingdom, 2 , NOKIA Research Centre, Cambridge United Kingdom
Show AbstractSilicon nanowires (SiNWs) remain to date the nanoscale system most compatible with the current industrial technology for electronics. While alternatives are being actively investigated [1-2], it is likely that new materials won’t be systematically introduced in mass production lines for several years to come. A proper assessment of the potential and limitations of Si nanodevices is thus still topical, as the market need for increased computational power will be satisfied by either improving the performance of known devices or via the implementation of novel Si-based architectures [3].The lack of a fully deterministic assembly technique still favours the use of top-down over bottom-up approaches for large scale fabrication. On the other hand, the capability to produce self-assembled SiNWs in large amounts and with reproducible properties [4] makes them the ideal test system for prototypes implementation and fundamental studies.Here, we evaluate the performance of inverters based on individual SiNWs. NWs are produced via a bottom-up vapor-transport approach [4]. Devices are fabricated using electron-beam lithography, with a single-step double-dose exposure technique [5]. SiNWs can be back-gated to vary the carrier concentration in each FET channel. Individually, SiNW FETs exhibit a 10^5 ON/OFF ratio and steep subthreshold slopes on par with state-of-the-art devices. At room temperature, the inverters can operate at low voltage (Vdd < 1 V) with gain > 1. The dependence of the switching time and maximum operational frequency to the carrier concentration is assessed.[1] A. Javey, Nano Lett. 7, 773 (2007)[2] P. Avouris, Nature Nanotechnology 2, 605 (2007)[3] J Goldberger, Nano Lett. 6, 973 (2006)[4] A. Colli, et al. J. Appl. Phys. 102, 034302 (2007)[5] A. Colli, et al. ACS Nano 3, 1587 (2009)
9:00 PM - BB10.42
Carrier Transport in GaAs Nanowires Using Surface Acoustic Waves.
Alberto Hernandez-Minguez 1 , Michael Moeller 2 , Carsten Pfueller 1 , Steffen Breuer 1 , Oliver Brandt 1 , Mauricio de Lima Jr. 2 , Alberto Garcia-Cristobal 2 , Andres Cantarero 2 , Lutz Geelhaar 1 , Henning Riechert 1 , Paulo Santos 1
1 , Paul-Drude-Institut für Festkörperelektronik, Berlin Germany, 2 , Institut de Ciència dels Materials, Universitat de València, Valencia Spain
Show AbstractSemiconductor nanowires (NWs) provide a way to explore quantum effects in epitaxial structures composed of dissimilar materials. In addition, the non-planar geometry of these structures allows for the 3-D integration of electronic components as well as for new concepts for opto-electronic devices. In this contribution, we demonstrate a novel approach for contactless transport of carriers in undoped GaAs/AlGaAs core-shell NWs [1] using the piezoelectric field of a surface acoustic wave (SAW). The samples used in the studies consist of L=9 µm average long NWs dispersed on a LiNbO3 substrate containing a SAW delay line for an acoustic wavelength λSAW=17.5 µm (frequency fSAW=226 MHz). The transport experiments were performed by generating carriers using a tightly focused pulsed laser beam (1.5 µm spot diameter, pulse width of 100 ps << 1/fSAW) centered at one end of the NW and measuring their spatial distribution along the NW axis by spatially and time-resolved photoluminescence spectroscopy (PL). In the absence of acoustic excitation, PL is only observed close to the illumination spot. When a SAW is applied, the PL signal appears also at a second spot, R, a few µm away from the generation spot along the SAW propagation direction. The latter is attributed to the acoustic transport of electrons and holes towards trap sites at R, where they recombine. Since λSAW/2>L, the piezoelectric field induces an oscillating back and forth energy bias at the valence and conduction bands that drives electrons and holes towards R at opposite SAW phases, thus leading to the emission of PL pulses at R repeating at twice the SAW frequency. This transport mechanism model can find possible applications on dynamic acoustic control of light emission in NW structures.[1] S. Breuer et al., Nano Letters 11, 1276 (2011).
9:00 PM - BB10.43
Controlled Synthesis and Properties of High Quality PbSe, PbS and PbSe(x)S(1-x) Nanowires.
Anthony Onicha 1 , Nattasamon Petchsang 1 , Masaru Kuno 1
1 Chemistry & Biochemistry, University of Notre Dame, Notre Dame, Indiana, United States
Show AbstractHigh quality PbSe, PbS and ternary PbSe(x)S(1-x) nanowires (NWs) were grown by solution-liquid-solid (SLS) method using single-source precursors. The growth process was catalyzed by BiCl3 at relatively low temperatures. The binary PbS and PbSe NWs were grown by injection of the reaction mixture, containing precursor solution and catalyst, into the growth solvent using standard Schlenk line procedures under nitrogen atmosphere. Optimization of the synthetic procedure was first carried out for the binary PbS and PbSe NWs to determine the appropriate growth temperature, reaction time, growth solvent, precursor solvent, and precursor concentration. At the optimized conditions, the diameter of the NWs was controlled primarily by the amount of catalyst in the reaction mixture. Resulting NWs were characterized by transmission electron microscopy (TEM) and posses mean diameters of 9 – 16 nm with accompanying lengths greater than 10 μm. The ternary PbSe(x)S(1-x) (x = 1.0, 0.8, 0.6, 0.5, 0.4, 0.2, 0.0) NWs were grown under the optimized conditions that were for the binary NWs. The composition of the ternary NWs was controlled by combining the appropriate molar ratios of the single-source precursors in the injected solution. Resulting NWs have mean diameters of 9 – 10 nm and lengths greater than 10 μm. The alloyed nature of the ternary nanowires was confirmed by energy-filtered transmission electron microscopy (EFTEM), x-ray diffraction (XRD) and scanning transmission electron microscopy (STEM), which show a homogenous distribution of selenium and sulfur within the NWs. Size-dependent and composition-dependent band gap tuning was confirmed by absorption and emission spectroscopy.
9:00 PM - BB10.44
Dielectrophoretic Alignment of Nanowires for the High Throughput Fabrication of Functional Devices.
Roman Jimenez-Diaz 1 , Jordi Sama 1 , J. Daniel Prades 1 , Albert Romano-Rodriguez 1 , Francisco Hernandez-Ramirez 2 , Joaquin Santander 3 , Carlos Calaza 3 , Luis Fonseca 3 , Carles Cane 3
1 , University of Barcelona, Barcelona Spain, 2 , IREC, Catalonia Institute for Energy Research, Barcelona Spain, 3 , Instituto de Microelectronica de Barcelona, IMB-CNM-CSIC, Bellaterra Spain
Show AbstractNanowires have emerged as potential blocks for future electronic devices; however, the requirements arisen from the use of elements with dimensions in the nanometer range slow down the development of novel devices [1]. In this work, a methodology for the high throughput production of gas sensors based on individual nanowires (NWs) is presented. Some nanowires can be dispersed in ethanol creating solutions with different concentrations. Afterwards, their manipulation to achieve placement and alignment of the nanowires in predefined positions was carried out by spreading a droplet of the solution (~10 μl) onto a SiO2 / Si wafer with pre-patterned microelectrodes, while between these specially designed microelectrodes an AC voltage of controlled frequency and amplitude was applied. These experimental conditions were kept constant until the complete evaporation of the suspension was reached. Dielectrophoretic (DEP) force tends to align the nanowires along the potential variation and positions them in the gap between the electrodes. Afterwards, SEM inspection was used to evaluate the efficiency of the process with different nanowire concentrations and frequency of the voltage applied. This step allowed determining the optimal experimental conditions to perform the DEP alignment process. Afterwards, the sample was introduced in a Focused Ion Beam (FIB) system for the deposition of platinum, obtained from the decomposition of a metalorganic precursor (trimethylcyclopentadienyl–platinum, (CH3)3CH3C5H4Pt), by means of Electron Beam Induced Deposition (EBID). Electrical contacts from the nanowire to the microelectrodes were fabricated with electron beam scanning of the sample to prevent modification of the sample with ions [2]. After contacting the nanowires, DC electrical measurements were performed. Ohmic and rectifying responses were obtained as commonly found by the use of the FIB method [3]. Moreover, some of these nanowires were tested as gas and UV sensors using well controlled environmental conditions. The obtained results demonstrated the huge potential of nanowires as building blocks of a new generation of devices with improved performances. It is noteworthy that DEP aligned nanowires did not exhibit any significant difference in their electrical response than those previously reported and based on the random dispersion of the nanowires on top of pre-patterned substrates [4]. For this reason in this work, DEP based technologies are a promising approach for the fabrication of nanodevices in a scalable process will be discussed. [1] Satyanarayana V.N.T. et al., Progress in Materials Science 52 (2007) 699-913.[2] F. Hernandez-Ramirez, et al., Nanotechnology 17 (2006) 5577-5583.[3] Z. Zhang, et al., Advanced Functional Materials 17 (2007) 2478-2489.[4] F. Hernandez-Ramirez, et al., Sens. Actuators, B, Chem 118 (2006) 198–203.
9:00 PM - BB10.45
In-Plane Mechanical Response of TiO2 Nanotube Arrays - Intrinsic Properties and Impact of Adsorbates.
Kristina Fischer 1 2 , Stefan Mayr 1 2 3
1 , Leibniz-Institut für Oberflächenmodifizierung e.V., Leipzig Germany, 2 Translationszentrum für regenerative Medizin, Universität Leipzig, Leipzig Germany, 3 Fakultät für Physik und Geowissenschaften, Universität Leipzig, Leipzig Germany
Show AbstractIn plane dynamic-mechanical properties of TiO2 nanotube arrays are assessed by a vibrating reed experimental study. Due to a high degree of porosity, the arrays reveal a very low elastic modulus, while significant damping due to the amorphous nature of the tube walls and anelastic deformation behavior are detected. Hence TiO2 tube arrays are perfectly suited as biocompatible coatings for flexible membranes in low frequency applications. Both, storage and loss moduli, are dramatically affected by presence of surface adsorbates, as demonstrated exemplarily for air and water, respectively. While the physics of desorption (including activation enthalpies of rate-limiting processes) can thus directly be followed, adsorbate type is also demonstrated to leave its fingerprint on mechanical response. The possibility of probing adsorbates via mechanical properties paves the way for highly sensitive and economical adsorbate detectors or – vice versa – adsorbate mediated actuators. Funded by the German Federal Ministry of Education and Research BMBF, PTJ-BIO, 0313909.[1] K. Fischer and S.G. Mayr, Adv. Mat. (2011) in press
9:00 PM - BB10.46
Designing Si-Based Nanowall Arrays for Li-Ion Battery Anodes by Dynamic Shadowing Growth.
Yuping He 1 , Cameron Brown 1 , Ramaraja Ramasamy 2 , Kaikun Yang 3 , Howard Wang 3 , Cynthia Lundgren 4 , Yiping Zhao 1
1 Department of Physics and Astronomy, and Nanoscale Science and Engineering Center, University of Georgia, Athens, Georgia, United States, 2 Faculty of Engineering, and Nanoscale Science and Engineering Center, University of Georgia, Athens, Georgia, United States, 3 Department of Mechanical Engineering, Binghamton University, Binghamton, New York, United States, 4 Chief Electrochemistry Branch, Army Research Laboratory, Adelphi, Maryland, United States
Show AbstractEffective electric energy storage relies on advanced batteries. Currently, the theoretic capacity of Li-ion batteries with graphite based anodes is limited to 372 mAh/g. In pursuit of high capacity anode materials, Silicon, the second most abundant element on earth, stands out because it has the highest-known theoretical charge capacity of approximately 4020 mAh/g (Li22Si5), which is more than ten times that of the graphite anodes. However, silicon exhibits large volume changes (about 400%) upon Li insertion and extraction. The stress induced by this volume change causes cracking, pulverization, and peeling off the underlying current collector, which leads to loss of electrical contact and eventual fading of capacity. Engineering Si into different nanostructures could provide an ultimate solution for those problems. Here we use a simple physical vapor deposition method, dynamic shadowing growth (DSG), to design different aligned amorphous Si nanowall arrays, including intrinsic Si, multilayer Cu/Si, Cu side-coated Si, composition-graded CuSi, and CuSi composite nanowall arrays. The fabrication and the structural & morphological properties of those nanoarrays will be discussed in detail. Their Li-ion battery anode performances have been evaluated and compared using a coin-cell configuration. When cycled at 0.05 mA, the capacity almost fades to zero after ~ 30 cycles for pure Si, after ~ 60 cycles for multilayer Cu/Si, and after ~ 100 cycles for Cu side-coated Si; while both the graded CuSi and CuSi composite with the same total Cu amount (62 at.%) reach a capacity of ~ 40% after 100 cycles compared to the first discharge process, and the former fades slightly but the latter is stable. The improved battery performance could be attributed to the incorporated inactive but conductive Cu to buffer the lithiation/delithiation induced volume expansion and increase the conductivity of amorphous Si nanowalls.
9:00 PM - BB10.47
Tuning Electrical Characteristics by Surface Modification of n-Type Si Nanowires Synthesized by Chemical Etching.
Kyeong-Ju Moon 1 , Tae Il Lee 1 , Jiyeon Kang 1 , SangHoon Lee 1 , Sunghwan Hwang 1 , Jae-Min Myoung 1
1 Materials Science and Engineering, Yonsei University, Seoul Korea (the Republic of)
Show AbstractSilicon nanowires (Si NWs) have drawn a great attraction due to their potential applications in nanoscale electronic devices. Thus, numerous technologies for the synthesis of Si NWs have been developed such as laser ablation, thermal evaporation, chemical vapor deposition, molecular beam epitaxy, solution growth, and chemical etching. Especially, Si NWs synthesized using wet chemical etching method having the virtue of large-area array, controllable doping level, and selective doping type and orientation have been reported to develop for mass production.However, in case of inherited n-type Si NWs from n-type starting wafer, it actually exhibits poor n-channel device characteristics because of the rough surface on Si NWs. In this study, we modified the surface roughness of n-type Si NWs and measured the variation of electrical properties to fabricate the filed-effect transistors (FETs). The as-synthesized n-type Si NWs were treated by thermal oxidation to control the porous surface as a function of oxidation time. The n-type Si NW FETs were prepared via NWs/dielectric partial composite formed by transfer implantation, considering the enhancement of gate coupling and surface passivation of NWs. The surface of modified Si NWs was analyzed using high-resolution transmission electron microscopy (HRTEM), and electrical properties were evaluated in terms of mobility, Ion/Ioff ratio, subthreshold swing, and threshold voltage.
9:00 PM - BB10.50
Thermoelectric Power Generation Based on Random MWCNT Buckypaper.
Ming-chia Yang 1 2 , Hsin-Yuan Miao 3 , Mei Zhang 1 2 , Ben Wang 1 2
1 , High Performance Material Institute, Tallahassee, Florida, United States, 2 Industrial and Manufacturing Engineering, Florida State University, Tallahassee, Florida, United States, 3 Electrical Engineering, Tunghai University, Taichung Taiwan
Show AbstractWith the increasing emphasis on environmental protection, applications involving thermoelectric technology are being extensively studied. A thermoelectric generator (TEG) may provide a relatively simple thermoelectric energy conversion process. Various thermoelectric materials have been reported in both bulk and small scale applications. Low dimensional thermoelectric materials have been reported to perform better than bulk materials. Because of the requirements of various applications, small efficient TEGs are undergoing additional study. Many researchers are examining the superior physical properties of carbon nanotubes for potential applications in thermoelectric. The potential use of carbon nanotubes as a thermoelectric material is becoming more popular because of their one-dimensional structures and their promising electrical and thermal properties. The more affordable multiwalled carbon nanotubes (MWCNTs) have good electrical conductivity and a large surface area, which make them a suitable thermoelectric material. In this project, thermoelectric generator cells were developed using random MWCNT buckypapers, which are thin films of nanotubes. Devices were made from multiwalled nanotubes without any additional treatment and different manufacturing approaches were applied to fabricate the MWCNT buckypapers. The electrical-power performances were measured in a closed circuit and the comparisons between devices were recorded.
9:00 PM - BB10.51
Simultaneously Measuring Thermoelectric Transport Properties of SiGe Nanowires.
Eun Kyung Lee 1 , Liang Yin 2 , Yongjin Lee 3 , Jong Woon Lee 4 , Sangjin Lee 1 , Junho Lee 5 , Seung Nam Cha 1 , Dongmok Whang 4 , Gyeong S Hwang 3 , Kedar Hippalgaonkar 7 , Arun Majumdar 8 , Choongho Yu 2 , Byoung Lyong Choi 1 , Jong Min Kim 1 , Kinam Kim 6
1 Frontier Research Laboratory, Samsung Advanced Institute of Technology, Yongin Korea (the Republic of), 2 MEEN, Texas A & M University, College station, Texas, United States, 3 Department of Chemical Engineering, University of Texas, Austin, Texas, United States, 4 School of Advanced Materials Science and Engineering, Sungkyunkwan University, Suwon Korea (the Republic of), 5 Analytical Engineering Group, Samsung Advanced Institute of Technology, Yongin Korea (the Republic of), 7 Department of Mechanical Engineering, University of California, Berkeley, California, United States, 8 ARPA-E, US Department of Energy, Washington, District of Columbia, United States, 6 , Samsung Advanced Institute of Technology, Yongin Korea (the Republic of)
Show AbstractSilicon germanium alloy has long been a good material for thermoelectric devices due to a potentially improved efficiency, which was typically described as thermoelectric figure of merit (ZT). Typically Seebeck coefficient, electrical conductivity and thermal conductivity are strongly interdependent, which makes it still challenging to increase ZT value. Nevertheless, synthesizing materials into one-dimensional nanostructures has been proven to be an effective method to influence the efficiency by increasing boundary scattering. In this work, we synthesized SiGe alloy with varying Ge concentrations. In order to have more accurate ZT prediction, three key thermoelectric properties- thermal conductivity, electrical conductivity and the Seebeck coefficient were simultaneously measured from the same nanowires. The results show that the thermal conductivity of SiGe nanowires is very low, which gives a improvement in ZT in comparison to those of bulk silicon germanium alloys.
9:00 PM - BB10.6
Individualization and Electrical Characterization of SiGe Nanowires.
Manuel Monasterio 1 , Andres Rodriguez 1 , Tomas Rodriguez 1 , Carmen Ballesteros 2
1 Tecnología Electrónica, ETSI de Telecomunicación, UPM, Madrid, Madrid, Spain, 2 Física, EPS, Universidad Carlos III, Leganés, Madrid, Spain
Show AbstractSiGe nanowires (NWs) are interesting since their band gap can be modulated within the wire, allowing their electronic properties to be tailored over a wide range. In this work, SiGe NWs were grown, doped, individualized and located between two electrodes by dielectrophoresis for electrical measurements. The growth was carried out on Si wafers by the VLS method using a LPCVD reactor and Ga-Au as catalyst. The NWs are straight and cylindrical in shape, with diameters around 30 nm and lengths of 2 - 3 micrometers. Different Ge fractions (from 0 to 0.3) were obtained by changing the flow ratio of the GeH4 and Si2H6 precursor gases. Doping of the NWs was achieved by diffusion using spin-on glasses containing B or P deposited on top of the samples and densified. Annealing of the samples was carried out at temperatures of 800 and 850 °C for times from 1 to 4 hours. The NWs were encapsulated by the deposited oxide during the annealing step, so they were not oxidized. The oxide was finally removed by etching using BHF. The NWs were separated from the substrate and collected in a methanol bath by sonication. The devices for the individualization and electrical characterization of the NWs consisted in pairs of electrodes located in front of each other at distances from 1 to 4 micrometers, with different shapes (tapered and rectangular) and with the appropriate contact pads. The structures were fabricated on heavily doped Si wafers with an Al film evaporated on the bottom side and a 100 nm thick thermal SiO2 layer grown on the top one. The electrodes were formed by e-beam lithography using PMMA on a Cr-Au bilayer deposited on top of the oxide followed by a lift-off process. The individualization of the NWs was achieved in a few seconds using an alternate voltage of 1 kHz applied between the bottom contact and one of the electrodes of the top part and depositing a few droplets of methanol containing the NWs on the electrodes. Several (2-4) successful events (a single NW located between two electrodes) were achieved in each run when the sample with the tapered electrodes was used. On the other hand, the use of the sample with rectangular electrodes in the same conditions leads to a number of NWs distributed around the electrodes but avoiding the region between them. I-V measurements in DC were performed in a two-wire configuration using Keithley instruments and with applied voltages of up to 5 V. Different shapes were observed in the I-V curves which, depending on the nature of the nanowire-electrode contact, point to rectifier behaviour in some cases and nearly ohmic in other cases. Undoped nanowires exhibit very high resistances. Resistivities of around 1000 Ω.cm were derived from the measurements regardless the nanowire composition. This value decreases significantly in doped nanowires, the values depending on the annealing step parameters and being smaller for the n-type doped nanowires than for the p-type ones processed in the same conditions.
9:00 PM - BB10.7
SiGe Nanowires Grown by LPCVD Using Ga-Au Catalysts.
Manuel Monasterio 1 , Andres Rodriguez 1 , Tomas Rodriguez 1 , Carmen Ballesteros 2
1 Tecnología Electrónica, ETSI de Telecomunicación, UPM, Madrid, Madrid, Spain, 2 Física, EPS, Universidad Carlos III, Leganés, Madrid, Spain
Show AbstractThe most commonly used metal for the growth of semiconductor nanowires (NWs) by the Vapour-Liquid-Solid method is Au, which acts as a catalyst for the dissociation of the precursor gas. Ga is not catalytic, but shows a low eutectic temperature and very low Si and Ge solubilities, thus favouring their segregation. In this work, SiGe NWs of different compositions were grown by the VLS method using a LPCVD reactor. Bare as well as thermally oxidized Si wafers were used as substrates and metal films formed by Ga and Au were deposited on top by evaporation. The Ga atomic fraction was varied from 0 (pure Au) to 1 (pure Ga) by changing the expected thickness to be achieved from each evaporation process while keeping constant the total thickness of the metal layer at around 10 nm. Au-Ga (Au evaporated first) and Ga-Au (Ga evaporated first) structures were considered. An annealing step at 500 °C was carried out in hydrogen atmosphere inside the reactor to break the metal film and form droplets of 50-100 nm in diameter. During the subsequent growth process, Si2H6 and GeH4 were used as precursor gases for Si and Ge with GeH4:Si2H6 flow ratios varying from 0 to 2. The total pressure was kept constant at 400 mTorr and the temperature was varied from 400 to 480 °C. The length, diameter and other morphological features of the SiGe NWs were studied by Scanning Electron Microscopy. The density of NWs is smaller in the samples with Ga-Au than in the ones with Au, but it increases progressively as the Ga content in the metal does and is higher in the oxidized Si samples than in the bare Si ones of both orientations. No growth at all was achieved in samples with pure Ga or with Au-Ga regardless the substrate or the process conditions used. The NWs grown using pure Au and a low flow ratio are several micrometers long (with a large dispersion) and with diameters around 30 nm, but most of them are curved and tangled. When the flow ratio is increased, tapered nanowires appear and become progressively dominant. However, the NWs grown using Ga-Au catalysts are always cylindrical and straight, with almost the same diameter (30 nm) and with a small dispersion in their lengths (2-3 micrometers). The internal structure and defects of the NWs were analyzed by Transmission Electron Microscopy. For these studies, the NWs were separated from the substrate by sonication in a methanol bath. High resolution images show that NWs grown using Au exhibit some stacking faults and twins, while the ones grown from Ga-Au are monocrystalline and no defects at all were observed. Compositional studies carried out by EDX in the NWs show that the Ge fraction increases almost linearly with the gas flow ratio up to a value of around 0.3 and it is nearly constant along their whole length. Analysis of the droplets located at the end of the NWs shows that they contain Ga and Au, indicating that Ga has taken an active role in their growth.
9:00 PM - BB10.8
Hierarchical Nano/Microstructures on Silicon Surface with Ultra Low Reflectance for Photovoltaic Applications.
Lay Theng Tan 1 , Abner Herbert Lim 1 , Zi Yang Chee 1 , Yong Li Wong 1 , Yan Cheng Huang 1 , Hui Wei Ong 1 , Qi Xun Wee 2 , Jian Wei Ho 2 , Chew Beng Soh 3 , Soo Jin Chua 2
1 School of Engineering, Republic Polytechnic, Singapore Singapore, 2 Electrical and Computer Engineering, National University of Singapore, Singapore Singapore, 3 , lnstitute of Materials Research and Engineering, Singapore Singapore
Show AbstractSilicon nanowires (SiNWs) have received great interest hinge upon their excellent electrical, mechanical, and optical properties as well as their potential applications, ranging from nano/micro-electromechanical system to optoelectronics, solar cells, and biological/chemical sensors. In this paper, we describe the study of a set of SiNWs arrays formed on solar-grade multi-crystalline silicon (mc-Si) wafers and single crystalline silicon (sc-Si) substrate with (111) orientation using reflectance measurement and field emission scanning electron microscope (FE-SEM). A catalytic etching using a mixed solution of hydrofluoric acid and silver nitrite (5M HF/0.02M AgNO3) are utilized as effective etching solution is employed. The parameters investigated are growth temperature, etching duration and HF/AgNO3 volume.Ultra low reflectance is achieved on Si substrates with high density of nanowire network and long nanowires. Reflectance data show an average reflectance over 400 to 700 nm of bare mc-Si is 0.918 % and decreases by almost 4 times to 0.278 % as the nanowire network starts to form under an etching duration of 1 min, growth temperature of 25 °C and 55 ml of HF/AgNO3. The reflectance further drops to 0.104 %, i.e. reduction in almost 3 times, when the etching duration increases from 1 to 5 min and the volume of HF/AgNO3 solution increases from 55 to 100 ml. According to FE-SEM images, large areas of aligned SiNWs are formed and these nanowires are produced in directions nearly perpendicular to the surface of mc-Si substrate. Both the density of SiNWs and its length increase from ~ 0.3 to 1.2 µm as the etching duration and HF/AgNO3 solution rise from 1 to 5 min and from 55 to 100 ml, respectively. Since the silver-induced etching process is not very sensitive to the crystalline orientation, the morphologies of SiNWs in different grains are very similar. For sc-Si (111) substrates, it is noted that formation of nanowires occurs on the front and backside of the substrate. This indicates that etching occurs on all sides of the substrate. Through a comparison of bare sc-Si (111) and sc-Si(111) etched for 1 min at 25 oC using 55 ml of HF/AgNO3, the average reflectance drops drastically from 44.87 to 13.57 % before it further decreases to 7.52 % with an increase in the volume of etching solution to 100 ml. As the temperature increases from 25 to 50 °C, the reflectance drops to a low value of 2.96 % with an overall improvement of almost 20 times as compared to bare sc-Si (111) substrate. Reflectance data and FE-SEM images provide an estimation of the enhancement of light absorption with respect to the density of nanowire network formation on the substrates. A strong influence of the multi-crystalline and single crystalline substrates and the density of nanowire network formation on the reflectance properties has been demonstrated.
9:00 PM - BB10.9
Characterization of InP Nanowire Array Solar Cells Using Selective-Area Metal-Organic Vapor Phase Epitaxy.
Masatoshi Yoshimura 1 , Eiji Nakai 1 , Katsuhiro Tomioka 1 2 , Takashi Fukui 1
1 Research Center for Integrated Quantum Electronics (RCIQE) and Graduate School of Information, Hokkaido University, Sapporo Japan, 2 PRESTO, Japan Science and Technology Agency, Saitama Japan
Show Abstract Semiconductor nanowires have become significant interest in the photovoltaic application in the last decade for the development of cost-effective and higher efficiency solar cells. Core-shell nanowire structures have potential advantages of more efficient charge collection due to radial junction structure through their cylindrical geometry. Moreover, some literatures report that periodical nanowire-array can enhance light trapping and absorption. We have reported on the fabrication of core-shell InP nanowire solar cells using catalyst-free selective-area metal-organic vapor phase epitaxy(SA-MOVPE) [1]. In this study, we report on the detailed analysis of the InP nanowire array solar cells. Fabrication of nanowire array was started by preparing patterned InP(111)A substrates partially covered with a SiO2 mask. After a 20-nm-thick SiO2 film was deposited on the substrate by plasma sputtering, hexagonal opening patterns were defined using electron beam lithography and wet chemical etching. The opening diameter and the pitch were 130 nm and 400 nm, respectively. Crystal growth of InP nanowire with a core-shell pn junction was carried out in a horizontal MOVPE system working at 0.1 atm. The source materials were TBP and TMIn as a group V and III source material, respectively. The p-type core nanowire was grown epitaxially at 640 °C on the SiO2-patterned substrate. For the n-type shell layer growth, the temperature was decreased to 560 °C. After the growth, the average height and diameter of nanowires are 1.3 μm and 180 nm, respectively. Therefore, the geometric fill factor as the ratio between the footprint area of the nanowire and the area of the unit cell is 0.20. The space between nanowires was filled with transparent electrical insulator to obtain an electrical separation layer. The overlaid excess resin was removed by reactive ion etching, exposing the tips of nanowires. The front and back electrodes were transparent indium tin oxide and Au-Zn alloy, respectively. Over a million nanowires are parallelly connected in the active area (0.85 × 0.85 mm2). The device exhibited 0.74 V of open circuit voltage, 7.47 mA/cm2 of short circuit current, and 0.68 of fill factor, and as the results the efficiency is 3.74% under Air Mass 1.5 Global illumination. In the wavelength range between 300 and 1000 nm, the reflectance of the nanowire array solar cells was average of 5.0% without antireflection coating. The external quantum efficiency at higher energy side, however, was lower than the reference planar solar cell. It could possibly be improved by employing a window layer to reduce the surface recombination.[1] H.Goto et al., Appl. Phys. Exp. 2 (2009) 035004.
Symposium Organizers
Kornelius Nielsch University of Hamburg
Anna Fontcuberta-Morral EPFL
Heiner Linke Lund University
Hyunjung Shin Kookmin University
Li Shi The University of Texas-Austin
BB11: Thermoelectric Applications
Session Chairs
Wednesday AM, November 30, 2011
Ballroom A (Hynes)
9:00 AM - BB11.1
Enhanced Thermoelectric Properties of Single-Crystalline Chromium Disilicide Nanowires.
Te-Chien Hou 1 , Lih-Juann Chen 1
1 Materials Science and Engineering, National Tsing Hua University, Hsinchu Taiwan
Show AbstractSingle-crystalline CrSi2 nanowires were grown via vapor-solid growth mechanism by a catalyst-free method. The microstructural, thermoelectric, and mechanical properties of an individual CrSi2 nanowire were unveiled and assessed. The ZT value of the CrSi2 nanowires assessed by the Harman technique is ~0.26+/-0.01 with a wire diameter of ~90 nm while the ZT value increases to ~0.30+/-0.01 as the diameter is decreased to ~70 nm. Furthermore, the ZT value was enhanced up to 15 % (~0.35+/-0.01) by roughening the surface of CrSi2 nanowires via dilute HF solution for 5 s, which is attributed to the increase of surface/phonon scattering effect, resulting in reduced thermal conductivity and improved thermoelectric property. The elastic modulus ~225 GPa of the single CrSi2 nanowire was measured for the first time. The enhancement of the thermoelectric properties of CrSi2 nanowires with robust mechanical properties may lead to their practical applications as advanced thermoelectric devices in the future.
9:15 AM - BB11.2
Thermoelectric Properties of Silicon Nanowire Array and Spin-on Glass Composites Fabricated with CMOS-Compatible Techniques.
Benjamin Curtin 1 , John Bowers 1
1 Department of Electrical and Computer Engineering, University of California - Santa Barbara, Santa Barbara, California, United States
Show AbstractSingle-crystal silicon has limited applications as a thermoelectric material mostly due to its relatively high thermal conductivity (κ), which severely limits thermoelectric conversion efficiency. Due to the low cost and excellent electrical properties of single-crystal silicon, there has been a significant effort to nanostructure silicon in order to reduce thermal conductivity while maintaining bulk values for Seebeck (S) and electrical conductivity (σ). Several studies have shown that individual silicon nanowires have thermal conductivities nearly two orders of magnitude lower than bulk silicon, without a significant decrease in power factor (S2σ). While these studies show promising results for individual nanowires, large area nanowire arrays fabricated with conventional silicon processing techniques are necessary for the production of thermoelectric micropower generators and on-chip coolers. In this work, interference lithography was used to pattern a square lattice photoresist template over 2 cm x 2 cm Si substrates, which were uniformly doped n-type for optimal power factor. The photoresist pattern was transferred to a 200 nm thick SiO2 layer, which was then used as a hard mask for a single-step deep reactive ion Si etch. The resulting nanowire arrays were 1 μm tall and the individual nanowires had vertical sidewalls, diameters that were 80 - 90 nm, and areal fill factors of 20%. Optimization of multiple thin-film layers to achieve high quality photoresist templates with interference lithography is discussed.The silicon nanowire arrays were embedded in spin-on glass (SOG) and cured at 425 C to form a dense composite material with high temperature stability. The thermal conductivity of the Si nanowire/SOG composite was measured to be ~1.5 W/m-K at 300 K using the differential 3ω method. An effective medium model was then used to extract a thermal conductivity of 7-8 W/m-K for the Si nanowires from the composite thermal conductivity data. Self-aligned contacts were formed on the wires by partially removing the SOG with a selective dry etch and then depositing Ni/Au for devices and electrical characterization. We discuss preliminary results of the cross-plane Seebeck coefficient as measured using thermoreflectance imaging, a non-contact method to determine local temperatures with high spatial and time resolution. Optimization of test structures with finite-element simulations and a discussion of this method are also presented.
9:30 AM - BB11.3
Seebeck Effect in ZnO(n) and CuO(p) Nanowires for Micropower Generation.
Guido Faglia 1 , Simone Dalola 2 , Elisabetta Comini 1 , Matteo Ferroni 1 , Caterina Soldano 1 , Dario Zappa 1 , Vittorio Ferrari 2 , Giorgio Sberveglieri 1
1 SENSOR, University of Brescia & CNR IDASC, Brescia Italy, 2 Dipartimento di Ingegneria dell’Informazione, Università degli Studi di Brescia, Brescia Italy
Show AbstractZnO(n) and CuO(p) nanowires (NW) have been investigated with the aim to build thermoelectric devices based on NW arrays for energy harvesting and potential use in low-power portable electronics and sensor systems. Quasi 1D metal-oxide NWs (MOX) are formidable candidates to develop high-temperature thermoelectrics as they provide reduced dimensionality and excellent durability at high temperature.Vertically aligned ZnO NWs have been deposited on GaN through Vapour-Phase (VP) and Vapour-Liquid-Phase (VLS) growth. The Seebeck coefficient of ZnO NWs has been successfully measured with a purposely-developed experimental set-up, confirming that the ZnO NWs exhibit high thermoelectric coefficient [1].CuO NWs have been obtained starting from a metallic Cu thin layer deposited by sputtering on alumina substrates. Thermal oxidation methods lead to copper oxide NW with higher degree of crystallinity, as compared to others. Growth process is based on four steps: a) cleaning of the substrate, b) deposition of the Cu thin layer, c) etching of the metal layer and d) thermal oxidation. In order to build a thermoelectric module a mixture of Poly(methyl-methacrylate) (PMMA) and toluene (1:1) is spin-coated to isolate each NW from its neighbor. Argon plasma etching (100W) is used to selectively remove the polymer from the top surface to leave only few NW tips exposed. SEM and PL are used to monitor the efficiency of the plasma etching in the removal of the superficial part of the PMMA. Aluminum and platinum contacts are then deposited on top of the PMMA and on GaN, respectively, and Seebeck measured. The same configuration is suitable for measuring the thermal conductance of NW composites using time-domain thermoreflectance (TDTR) [2].The measurements on the NW samples have been performed by means of two pairs of probing tips, made of Chromel (a) and Alumel (b) with absolute Seebeck coefficients of 28.1 μV/°C and −12.9 μV/°C, respectively. Experimental Seebeck coefficients preliminary obtained on mats of ZnO NWs result αZnO,a=-216 μV/°C and αZnO,b=-143 μV/°C with reference, respectively, to Chromel and Alumel, and αCuO,a= 941 μV/°C and αCuO,b= 832 μV/°C for the CuO NWs. The sign of the measured thermoelectric coefficients is negative for ZnO and positive for CuO, as expected for n and p type semiconductors. The experimental results are in agreement with the early values of Seebeck coefficient recently reported in literature for ZnO thin-films and nanostructures.Authors gratefully acknowledge Dr. Andre Somers from OSRAM Opto Semiconductors GmbH Regensburg for providing GaN substrates and partial financial support by the IIT, Project Seed 2009 “Metal oxide NANOwires as efficient high-temperature THERmoelectric Materials (NANOTHER)”.Ref1.S. Dalola, Guido Faglia et al. , Seebeck effect in ZnO nanowires for micropower generation, Proc. Eurosensors XXV, September 4-7, 2011, Athens, Greece2.A I. Persson et al. Nano Letters, 2009, Vol. 9, No. 12, 4484-4488
9:45 AM - BB11.4
Thermoelectric Properties of p and n-Type Nanocrystalline Silicon Nanowires with High Doping Levels.
Francesco Suriano 1 , Matteo Ferri 1 , Sandro Solmi 1 , Alberto Roncaglia 1 , Elisabetta Romano 2 , Dario Narducci 2 , Gianfranco Cerofolini 2
1 Bologna, CNR IMM, Bologna Italy, 2 Dept. of Materials Science, University of Milano Bicocca, Milano Italy
Show AbstractThe interest in silicon as a thermoelectric material, ruled by the thermoelectric figure of merit ZT (with Z defined as α2/(ρk), being α thermoelectric power, ρ electrical resistivity and k thermal conductivity), has recently grown up scaling silicon from bulk ZT (around 0.01 at room temperature) to the nanowire (NW) form, thus enhancing the ZT value at room temperature of about 2 orders of magnitude [1]. This value puts side by side silicon nanowires and the most widely used materials for high efficiency thermoelectric conversion, like Bi2Te3. In this work the power factor α2/ρ of highly doped (p- and n-type) nanocrystalline silicon NWs is investigated. The NWs are produced with a low costs, CMOS compatible process, highly customizable in terms of NWs cross-section and placement, which enables the fabrication of stacked NWs in nearly vertical arrays within nanostructured templates built with SiO2/Si3N4 thin films. The cross-section dimensions range between 30 and 70 nm in size and up to about 1.3 cm in length. The proposed technique is based on the controlled etching and filling of recessed region within the SiO2/Si3N4 template. In this way, both intrinsic and doped polysilicon nanowires can be obtained, with p or n-type doping at controlled concentration levels. Cross-sectional TEM observations have shown that the silicon nanowires produced in this way present a nanocrystalline structure, in which the average size of the nanocrystals in one dimension is comparable with the nanowire diameter. The polysilicon doping step has been optimized in order to reach low electrical resistivity on the nanowires, using predeposition from POCl3 source for n-type doping and a boron-based spin-on dopant (SOD) source for the p-type, each followed by an oxidation treatment at 1100 °C for doping activation. The as-produced nanowires cross-sections have been measured through extensive scanning electron microscope (SEM) imaging, confirming the process reliability at wafer level. P- and n-type doped NWs have been characterized in terms of resistivity (with minimum values of 2 and 2.8 mΩcm, respectively) and Seebeck coefficient (-120 and 170 µV/K). From these measured data, the power factors of the highly doped nanocrystalline NWs fabricated with the proposed technique have been calculated, yielding values of 7.2×10-6 W K2cm for the n-type and 1×10-5 W K2cm for p-type samples. The obtained values are very close to those reported in the scientific literature for single-crystal silicon nanowires of for thick polysilicon films with comparable doping levels and are very promising for obtaining an high ZT value, since the nanocrystalline structure is expected to reduce the thermal conductivity of the nanowires for the presence of additional phononic scattering with respect to the single-crystal case. The measurements of the thermal conductivity are in progress and will be presented at the Meeting.[1] A. I. Hochbaum et al., Nature, vol. 451, pp. 163-168 (2008)
10:00 AM - BB11.5
Backgate-Tuned Seebeck Coefficient and Power Factor in InAs Nanowires.
Johannes Gooth 1 2 , Phillip Wu 1 , Sofia Fahlvik Svensson 1 , Johannes Kimling 2 , Kornelius Nielsch 2 , Heiner Linke 1
1 The Nanometer Structure Consortium (nmC@LU) and Division of Solid State Physics, Lund University, Lund Sweden, 2 Applied Physics and Microstructure Research Center Hamburg, University of Hamburg, Hamburg Germany
Show AbstractSeveral recent experiments have confirmed that the thermal conductance of very thin nanowires can be strongly suppressed compared to bulk values. However, in order to observe the very high values of the thermoelectric figure of merit predicted for very thin, group III-V nanowires, also the Fermi energy must be perfectly tuned to maximize the power factor. Here, we report measurements of thermopower and conductance as a function of Fermi energy, tunable by a gate voltage, in µm-long, InAs nanowires with diameters of 50 nm and below. Near depletion, where the Fermi level drops below the onset of the first subband, we observe the predicted significant increase in thermopower, up to the order of 1mV/K. In addition, carrier concentration and mobilities were extracted from the Seebeck and conductance data using independent models. We discuss the achievable power factor in such realistic wires.
10:15 AM - **BB11.6
Nanosized Thermoelectric Model Systems – Bismuth Compound Nanowires via Ion-Track Technology.
Maria Eugenia Toimil-Molares 1 , Sven Mueller 1 , Oliver Picht 1 , Friedemann Voelklein 2 , Heiko Reith 2 , Matthias Schmitt 2 , Christina Trautmann 1 , Reinhard Neumann 1
1 Materials Research Department, GSI Helmholtz Center for Heavy Ion Research, Darmstadt Germany, 2 , University of Applied Sciences Wiesbaden, Ruesselsheim Germany
Show AbstractBismuth compound nanowires with controlled size and crystallinity constitute ideal model systems to shine light on the influence of mesoscopic and quantum size effects on the thermoelectric characteristics of nanostructured materials [1,2]. Measuring the thermoelectric (TE) figure of merit (ZT) at the single nanowire level is experimentally challenging, and requires the determination of absolute values of electrical and thermal conductivity, and thermopower of individual nanowires using specially designed microchips [3]. In addition, to assess the potential of nanowires for TE applications, it is necessary to elucidate novel 3D architectures that enable to achieve good control of the relevant device parameters such as composition, length, size, density and arrangement of the nanowires, properties of the surrounding matrix material, and electrical and thermal contacts. Ion-track technology makes use of high energetic heavy ions (~ GeV) to produce highly localized ion tracks in foils of insulating material (e.g. polymers, mica). The tracks consist of straight cylinders of damage with a width of a few nanometers that can be preferentially dissolved in an appropriate chemical solution, and subsequently enlarged to pores. Compared to other templates, ion-track membranes offer well-controlled pore diameter, an extremely high length-to-diameter ratio (>1000), as well as the possibility to adjust pore density and relative pore orientation via the irradiation conditions [4]. Here, we present the electrochemical synthesis of Bi, Bi2Te3, and Bi(1-x)Sb(x) nanowires with diameter between 10 and 200 nm and well-controlled compositional and morphological properties. Individual nanowires as well as nanowire ensembles are characterized by several techniques including scanning and transmission electron microscopy, X-ray diffraction, and energy dispersive X-ray spectroscopy. The TE characterization of the nanowires and the fabrication of vertical nanowire arrangements combining different TE materials will also be discussed. [1] L.D. Hicks et al. Phys. Rev. B 47 (1993) 16631; O. Rabin et. al. Appl. Phys. Lett. 79 (2001) 81.[2] T.W. Cornelius and M.E. Toimil-Molares, Finite- and Quantum-Size Effects of Bismuth Nanowires, INTECH Nanowires, edited by: Paola Prete, ISBN: 978-953-7619-79-4, (2010). [3] D. Li et. al. Appl. Phys. Lett. 83 (2003) 2934; F. Völklein et. al. Nanotechnology 20 (2009) 325706. [4] M. Rauber et. al. Nano Letters 11 (2011) 2304.
BB12: Nanowire Growth III
Session Chairs
Wednesday PM, November 30, 2011
Ballroom A (Hynes)
11:15 AM - **BB12.1
III-V and III-Nitride Nanowires for Light-Emitting Device Applications.
Lars Samuelson 1
1 , Lund University, Lund Sweden
Show AbstractThe science of growth of nanowires of compound semiconductors has in the last decade developed towards realistic opportunities for their impact in realization of applications, such as for solar cells or light emitting diodes. This interest is further fueled by the opportunities to grow such III-V and III-nitride nanowires on a silicon platform. In this talk I will describe our recent developments in the field, with an emphasis on growth of radial core-shell nanowire structures, using a core of purely wurtzite nanowire, such as InP or GaN, as an ideal and defect-free “substrate” onto which we grow radial heterostructures and pn-diodes. This approach shows good promise for realization of light-emitting devices from the near infrared throughout the visible and into the ultra-violet spectral region.
11:45 AM - BB12.2
Application of Narrow Magnetic Wires for Low Energy Logic Using Current-Induced Domain Wall Motion.
Jean Anne Incorvia 1 2 , Marc Baldo 2 , Caroline Ross 3
1 Physics, Harvard University, Cambridge, Massachusetts, United States, 2 Electrical Engineering and Computer Science, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 3 Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States
Show AbstractWe report on using narrow ferromagnetic wires to engineer more energy-efficient transistors and logic gates. Today, heat generated by transistors limits the speed of modern integrated circuits, and consequently, reducing power dissipation is one of the most important challenges in digital electronics. To support the required gate voltage modulation, it is usually necessary to supply a complementary metal oxide semiconductor (CMOS) transistor with at least 0.5 V. But, there is potential for logic families that incorporate collective behavior in their switching, such as the orientation of a ferromagnetic domain, to operate at much lower supply voltages.We demonstrate an implementation of magnetic logic that operates at 0.1 V and exhibits switching energies below that of contemporary field effect transistors. Information is stored in a transverse domain wall in a short, narrow ferromagnetic wire that is translated by current-induced spin torque transfer and read out by a magnetic tunnel junction. Similar devices have been proposed for memory, but we demonstrate they can be used to perform logic. Device fabrication is done using electron-beam lithography and UHV sputter deposition. We will present results from modeling the device behavior in circuits, to demonstrate that the logic device satisfies all the important qualities of beyond-CMOS logic: it scales well with size, to low operating voltages/energies in sub-10 nm wide wires, and has gain and concatenability. The device acts as a single non-volatile universal gate that can be successfully integrated into complex circuits. Additionally, it is compatible with CMOS since it uses charge as its input and output, and it is comprised of cheap and readily available materials. We will show simulations of a full adder circuit, comprised of 18 logic gates, and of a clocked oscillator with a switching time of 5.2 ns. The results show that domain wall based logic in narrow magnetic wires is a promising contender to replace CMOS at the end of its roadmap.
12:00 PM - BB12.3
Properties of GaAs/GaMnAs Core-Shell Nanowires Grown by MBE.
Andreas Rudolph 1 , Marcello Soda 1 , Christian Butschkow 1 , Benedikt Bauer 1 , Joachim Hubmann 1 , Matthias Kiessling 1 , Dieter Schuh 1 , Werner Wegscheider 2 , Dominique Bougeard 1 , Elisabeth Reiger 1
1 Institute for Experimental and Applied Physics, Universität Regensburg, Regensburg Germany, 2 Solid State Physics Laboratory, ETH Zürich, Zürich Switzerland
Show AbstractOur research interest is focused on combining nanowire growth with the growth of magnetic materials, thus integrating two important research domains: spintronics and self-assembled nanostructures. With this approach one-dimensional spintronic devices could be realized which will benefit e.g. from specific advantages of the nanowire growth, such as the large material freedom and the possibility to generate complex axial or radial nanowire heterostructures.As ferromagnetic material we use the diluted magnetic semiconductor GaMnAs. It is an extensively studied material; for two- dimensional GaAs/GaMnAs systems e.g. high spin injection efficiencies have been experimentally obtained. However, high quality GaMnAs has to be grown at low substrate temperature, which is not compatible to typical high temperature growth conditions for semiconductor nanowires. To circumvent this problem, a core-shell approach is chosen. In a first step, GaAs core nanowires are grown on GaAs(111)B oriented substrates at high temperature growth conditions, using either Au or Ga as catalyst material. Growth parameters, which allow us to tune the size, homogeneity and crystal structure of the core nanowires, were systematically investigated. In a second growth step, the GaMnAs shell is deposited on the side facets of the core nanowire at low temperature growth conditions. With this approach, nanowire heterostructures with an epitaxial, high quality interface and typical dimensions of 4 µm in length and 100 nm in diameter can be obtained.We characterize the morphology and crystal structure of the core-shell nanowires with scanning electron microscopy (SEM) and transmission electron microscopy (TEM). The magnetic properties of the nanowire ensembles are studied with SQUID, revealing a ferromagnetic transition temperature of 20K and a uniaxial magnetic anisotropy [1]. Detailed magneto-transport measurements on individually contacted nanowires show very pronounced magneto-resistive effects. In agreement with SQUID measurements, single nanowires exhibit a strong uniaxial anisotropy with the magnetic easy axis oriented along the nanowire axis [2]. Compared to etched structures of comparable dimension the anisotropy field of the core-shell nanowires is one order of magnitude larger. [1] Ferromagnetic GaAs/GaMnAs Core-Shell Nanowires Grown by Molecular Beam EpitaxyA. Rudolph, M. Soda, M. Kiessling, T Wojtowicz, D. Schuh, W. Wegscheider, J. Zweck, C. Back, and E. ReigerNanoletters Vol 9, Nr. 11, Page 3860-3868 (2009)[2] Magnetoresistance of individual ferromagnetic GaAs/(Ga,Mn)As core-shell nanowiresC. H. Butschkow, E. Reiger, S. Geissler, A. Rudolph, M. Soda, , D. Schuh, G. Woltersdorf, W. Wegscheider, and D. WeissSubmitted to Nanoletters
12:15 PM - BB12.4
A New Route towards Semiconductor Nanospintronics: Highly Mn-Doped GaAs Nanowires Realized by Ion-Implantation under Dynamic Annealing Conditions.
Christian Borschel 1 , Maria Messing 2 , Kilian Mergenthaler 2 , Magnus Borgstroem 2 , Waldomiro Paschoal 2 , Jesper Wallentin 2 , Sandeep Kumar 2 , Knut Deppert 2 , Carlo Canali 3 , Hakan Petterson 4 , Lars Samuelson 2 , Carsten Ronning 1
1 , University of Jena, Jena Germany, 2 , Lund University, Lund Sweden, 3 , Linnæus University, Kalmar Sweden, 4 , Halmstad University, Halmstad Sweden
Show AbstractSelf-assembled semiconductor nanowires (NWs) have proven to be of interest as versatile building blocks of high functionality for various devices. Moreover, the small footprint of semiconductor NWs facilitates direct growth on silicon wafers. Dilute magnetic semiconductor NWs would therefore offer a seamless integration of future spintronic devices with mainstream silicon technology, which is one of the main goals of “More than Moore”. However, Mn-doping of GaAs NWs turns out to be rather difficult to achieve by established growth methods, which is is well in agreement with the phase diagram determined for Ga1-xMnxAs layer growth via MBE. In our contribution, we report on highly Mn-doped GaAs nanowires (NWs) of high crystalline quality fabricated by ion beam implantation, a technique which allows doping concentrations beyond the equilibrium solubility limit. We studied two approaches for the preparation of Mn-doped GaAs NWs: First, ion implantation at room temperature with subsequent annealing resulted in polycrystalline NWs and phase segregation of MnAs and GaAs. The second approach was ion implantation at elevated temperatures. In this case, the single-crystallinity of the GaAs NWs was maintained, and crystalline, highly Mn-doped GaAs NWs were obtained. The electrical resistance of such NWs dropped with increasing temperature with an activation energy of about 70 meV. Corresponding magnetoresistance measurements showed a 10 % decrease at 1.6 K and 5 T, which indicates that our samples are in a paramagnetic state. Our findings suggest possibilities for future applications where dense arrays of GaMnAs nanowires may be used as a new kind of magnetic material system.
12:30 PM - BB12.5
Nanostructure of InGaN/GaN Superlattices in GaN Nanowires.
Thomas Kehagias 1 , Triantafyllia Koukoula 1 , George Dimitrakopulos 1 , Efterpi Kalesaki 1 , Joseph Kioseoglou 1 , Florian Furtmayr 2 , Holm Kirmse 3 , Wolfgang Neumann 3 , Martin Eickhoff 4 , Theodoros Karakostas 1 , Philomela Komninou 1
1 Department of Physics, Aristotle University of Thessaloniki, Thessaloniki Greece, 2 Walter Schottky Institute, Technical University of Munich, Garching Germany, 3 Institute of Physics, Humboldt University of Berlin, Berlin Germany, 4 Institute of Physics, Justus-Liebig-University Giessen, Giessen Germany
Show AbstractQuantitative high-resolution transmission electron microscopy (qHRTEM) and analytical scanning TEM (STEM) methods were used to elucidate the microstructure and morphology of InGaN nanodisks (NDs) embedded in GaN nanowires (NWs). The spontaneously grown NWs by molecular beam epitaxy (MBE) on Si(111) comprised a GaN base part followed by a superlattice of nine InGaN/GaN layers along the [0001] axis. TEM imaging showed high-density of basal stacking faults (BSFs) located in the superlattice area, mostly at the GaN/InGaN interfaces. When a low temperature GaN spacer (LT-GaN) is deposited directly above the GaN base, a significant increase of the lateral diameter occurred as well as numerous BSFs were observed at the GaN/ LT-GaN spacer interface. Conversely, when the superlattice starts with InGaN ND directly above the GaN base part, the tapering effect is less pronounced and lower BSFs densities were observed. The absence of interfacial misfit dislocations in the superlattice region implies fully-strained heterostructures suitable for tunable emission wavelength active elements.HRTEM and Z-contrast STEM imaging revealed that InGaN NDs obtain a dome-like morphology, i.e. a projected truncated pyramidal shape with the (0001) planes bounded by six {10-1L} facets, L being 1, 2, or 3. These facets can offer low-coordinated lattice sites, where indium adatoms may be more easily incorporated relative to the {10-10} GaN NW sidewalls. The morphology of the NDs was verified by complementary HRTEM image simulations. The atomistic model constructed on the basis of experimental HRTEM and STEM images, which served as input for HRTEM image simulations, comprised higher indium concentrations on the {10-1L} planes and on (0001). Shallow diffusion of indium in the GaN spacers was recorded by Energy Dispersive X-Ray spectroscopy (EDXS) and Electron Energy Loss Spectroscopy (EELS). Quantitative TEM measurements by geometrical phase analysis (GPA) were used to determine the strain of the NDs. The GPA lattice strain along the NWs axis revealed compressive strain for the InGaN NDs, with respect to the reference GaN at the base. The strain is partitioned between layers, i.e. the lattice mismatch is shared in the GaN spacers and the InGaN NDs, thus a tensile strain in the GaN spacers was observed at the GPA profile. Photoluminescence (PL) experiments for NWs showed that emission peak energies varied with In content and substrate temperature. Indium percentage values extracted from GPA are in satisfactory agreement with those calculated from PL measurements, using the standard bowing equation. Moreover, the PL energy peak red-shifted with increasing the thickness of the NDs and lower substrate temperature. The distinct ~3.2 eV peak recorded by PL measurements on the NW samples was attributed to the presence of BSFs. Acknowledgment: This work was supported by EC under the FP7 DOTSENSE project (Grant No. STREP 224212)
12:45 PM - BB12.6
Fabrication of Ordered Silicon Nanotip Arrays by Photoelectrochemical Etching - Novel Ion Sources for IMS Gas Sensing Applications.
Benjamin Gesemann 1 , Ralf Wehrspohn 1 2
1 Department of Physics, Martin-Luther University of Halle-Wittenberg, Halle Germany, 2 , Fraunhofer Institute for Mechanics of Materials, Halle Germany
Show AbstractWe report on fabrication and measurements of large-scale-ordered silicon nanotip arrays. We utilize a photo electrochemical etching process that allows wafer scale etching of micro- & nanowires with a well defined shape and distance to each other. Hence shallow tips as well as high aspect ratio needles or even antenna like modulated wires can be formed in addition to straight nanowires.One possible application is the replacement of common radioactive source for IMS gas ionization. Surface ionization mechanisms on functionalized silicon tip surface can be significantly increased compared to flat structures due to the strong field enhancement at the tips.
BB13: Energy Harvesting I
Session Chairs
Wednesday PM, November 30, 2011
Ballroom A (Hynes)
2:30 PM - **BB13.1
Dislocation-Driven Growth of Nanowires and Nanotubes and Their Applications in Solar Energy Conversion.
Song Jin 1
1 Department of Chemistry, U. of Wisconsin-Madison, Madison, Wisconsin, United States
Show AbstractWe have shown a nanowire formation mechanism that is different from the well-known vapor-liquid-solid (VLS) growth. Axial screw dislocations provide the self-perpetuating steps to enable 1-dimensional (1D) crystal growth, unlike previously understood mechanisms that require metal catalysts. This mechanism was initially found in hierarchical nanowire structures with helically rotating branches resembling “pine trees”. Several more examples have been established to illustrate that dislocation-driven growth is general to many materials grown in vapor or solution phase. Dislocations can further result in the spontaneous formation of nanotubes and other morphologies. The balance between the dislocation strain energy and other factors determines the equilibrium morphology of the materials. We have used classical crystal growth theory to confirm that the anisotropic growth of nanowires and nanotubes is driven by dislocations and to guide the rational design of dislocation-driven nanowire growth. Our discoveries can create a new dimension in the rational design and synthesis of nanomaterials. I will further discuss the rational low-cost synthesis of semiconductor nanowires of several abundant materials and their applications in photovoltaic and photoelectrochemical solar energy conversion.
3:00 PM - BB13.2
Photocatalytic Nanowires for Hydrogen Formation and Water Splitting.
A. Wouter Maijenburg 1 , Eddy J.B. Rodijk 1 , Michiel Maas 1 , Janneke Veerbeek 1 , Roy de Putter 1 , Dave H.A. Blank 1 , Johan ten Elshof 1
1 Inorganic Materials Science, University of Twente, Enschede Netherlands
Show AbstractTemplate-directed electrodeposition is an appropriate technique for the synthesis of photocatalytic nanomaterials in any desired shape. We have created nanowires with an arbitrary composition like ZnO and Ag, and nanotubes of TiO2. Using these nanowires and nanotubes, the synthesis of segmented or coaxial nanowires is very straightforward.We have successfully demonstrated photocatalytic hydrogen formation by using segmented ZnO|Ag nanowires in a solution of methanol and water, which is a model reaction to prove the photocatalytic activity of these nanowires. However, ZnO is chemically not very stable so we started using a different n-type semiconductor, such as TiO2 which forms nanotubes instead of nanowires inside membrane pores with a diameter of 200 nm. We have recently successfully investigated the filling of TiO2 nanotubes with another material, such as Ag, in order to increase its photocatalytic activity by delayed recombination. Future research will focus on the extension of the list of photocatalytic nanowire materials and the improvement of their photocatalytic activity. Herewith we expect to make nanowires that can be used for the energetically more favorable water splitting.
3:15 PM - BB13.3
CuO Nanowire Array Photoelectrodes for High Efficiency Photoelectrochemical Hydrogen Generation.
Alireza Kargar 1 , Yi Jing 1 , Ke Sun 1 , Deli Wang 1
1 Electrical and Computer Engineering, UC San Diego, La Jolla, California, United States
Show AbstractSemiconductor metal oxides have attracted extensive attention in photoelectrochemical (PEC) cells as photoelectrode materials due to their unique properties such as good stability in aqueous electrolytes. Cupric oxide (CuO) is a very interesting p-type semiconductor with band gap of around 1.5eV, and have shown potential applications in various areas such as photovoltaic devices, superconductors, catalysts, gas sensors, lithium-ion batteries, and lithium-copper oxide electrochemical cells. CuO is of specific interests for photoelectrochemical cell and solar cell applications due to the strong visible light absorption and high photoconductivity, in addition to the facts that it is abundant and nontoxic. Herein, we report the synthesis of CuO nanowires (NWs) using simple and cost-effective solution and oxidation methods and utilization as photoelectrodes in PEC devices for direct solar water splitting and hydrogen generation. We report the growth of CuO NWs with different sizes and densities on various substrates (Cu meshes and thin foils). Using a mesh substrate, the PEC hydrogen production efficiency of CuO NWs significantly increased due to the enhanced surface area, which is two times larger compared to the 1% of the thin foil devices. Illuminating both sides of mesh and using multiple meshes together, the PEC efficiency were further enhanced by more than three times compared to that of the optimized CuO NW array on the thin foil substrates. This study reveals the promising potential of CuO nanowire array photoelectrodes and particularly on meshed for high-efficiency and low-cost PEC cells for solar energy harvesting and clean hydrogen generation.
3:30 PM - **BB13.4
Nanogenerators for Self-Powered Nanosystems.
Zhong Wang 1
1 School of Materials Science and Engineering, Georgia Institute of Technolog, Atlanta, Georgia, United States
Show AbstractEver since the wide range applications of laptop computers and cell phones, seeking of power sources for driving portable electronics is becoming increasingly important. The current technology mainly relies on rechargeable batteries. But for the near future, micro/nano-systems will be widely used in health monitoring, infrastructure and environmental monitoring, internet of things and defense technologies; the traditional batteries may not meet or may not be the choice as power sources for the following reasons. First, with the increasingly shrinkage in size, the size of the total micro/nano-systems could be largely dominated by the size of the battery rather than the devices. Second, the number and density of micro/nano-systems to be used for sensor network could be large, thus, replacing batteries for these mobile devices becoming challenging and even impractical. Lastly, the power needed to drive a micro/nano-system is rather small, in the range o f micro- to milli-Watt range. To meet these technological challenges, the author proposed the self-powering nanotechnology in 2005, aiming at harvesting energy from the environment to power the micro/nano-systems based sensor network. This talk will introduce the nanogenerator as a sustainable self-sufficient power source for micro/nano-systems.[1] Z.L. Wang, Scientific American, 298 (2008) 82-87.[2] Z.L. Wang and J.H. Song , Science, 312 (2006) 242-246.[3] X.D. Wang, J.H. Song J. Liu, and Z.L. Wang, Science, 316 (2007) 102-105.[4] Y. Qin, X.D. Wang and Z.L. Wang, Nature, 451 (2008) 809-813.[5] R.S. Yang, Y. Qin, L.M. Dai and Z.L. Wang, Nature Nanotechnology, 4 (2009) 34-39.[6] S. Xu, Y. Qin, C. Xu, Y.G. Wei, R.S. Yang, Z.L. Wang, Nature Nanotechnology, 5 (2010) 366.[7] G. Zhu, R.S. Yang, S.H. Wang, and Z.L. Wang, Nano Letters, 10 (2010) 3151.
BB14: Nanowire Growth IV
Session Chairs
Anna Fontcuberta i Morral
Wednesday PM, November 30, 2011
Ballroom A (Hynes)
4:30 PM - BB14.1
Microhotplates: A Template for Localized Semiconducting Nanowire Growth.
Sven Barth 2 , Roman Jimenez-Diaz 1 , Olga Casals 1 , Juan Daniel Prades 1 , Joaquin Santander 3 , Carlos Calaza 3 , Isabel Gracia 3 , Carles Cane 3 , Francisco Hernandez-Ramirez 4 5 , Albert Romano-Rodriguez 1
2 Institute for Materials Chemistry, Vienna University of Technology, Vienna Austria, 1 MIND-IN2UB-Departament d'Electronica, Universitat de Barcelona (UB), Barcelona Spain, 3 Institut de Microelectrònica de Barcelona (IMB), Centre Nacional de Microelectrònica (CNM), Consejo Superior de Investigaciones Científicas (CSIC), Bellaterra Spain, 4 , IREC, Catalonia Institute for Energy Research, Barcelona Spain, 5 M2E, Departament d’Electrònica, Universitat de Barcelona (UB), Barcelona Spain
Show AbstractIn recent years, one-dimensional semiconductor nanostructures with adjustable morphologies, dimensions, crystallographic phases, and orientations have gained tremendous attention. Huge research efforts to control the formation of these structures are justified due to the potential impact they could have in different fields, like electronics, sensing, energy harvesting, … Several techniques have been successfully employed for the growth of high crystalline quality semiconducting nanowires [1] and various mechanisms are proposed to describe the metal assisted growth mechanism, such as vapor-solid-solid, vapor-liquid-solid, supercritical fluid-liquid-solid and supercritical fluid-solid-solid (SFSS). They are all characterized by the high temperatures required for the process to take place. This is usually achieved in a chamber that is heated externally, which presents some drawbacks, such as high power consumption and long heating and cooling times. For example, recently high quality Ge nanowires, with radii in the range 15-30 nm, have been successfully synthesized by SFSS at temperatures of 370-400 C [2].Micromembranes (closed) and microhotplates (open) with integrated heaters, whose dimensions are in the range between several thousands of square microns to several square millimeters, are a common type of microsystems that can be fabricated using standard microsystem processing technologies. They are employed in pressure sensors, accelerometers, heads of ink-jet printers, gas sensors, … Key features of such systems are extremely fast cooling and heating processes due to their low mass and that they can be heated to several hundreds of degree Kelvin using very low electrical powers, typically in the range of mW.In this work the listed properties of micromembranes and microhotplates will be employed for the localized growth of high quality semiconducting nanowires of different materials, like Ge, SnO2 and In2O3. To the best of our knowledge, this is the first report for the growth of these materials using the technique described above. To date, similar experiments have been reported only on the growth of carbon nanotubes and silicon nanowires [3,4]. The use of few mW will be shown to be sufficient to achieve the required growth conditions. Additional advantages of the here presented approach are the possibility to grow different types of nanowires on the same chip by using multiple micromembranes or microhotplates. We demonstrate the controlled growth by adjustment of the heating conditions. The presented data will demonstrate the enormous potential of such a growth approach.[1] S. Barth, F. Hernandez-Ramirez, J. D. Holmes, A. Romano-Rodriguez, Progress Materials Science 55 (2010) 563.[2] S. Barth, J.J. Boland, J.D. Holmes, Nanoletters 11 (2011) 1550.[3] O. Englander, D. Christensen, L. Lin, Applied Physics Letters 82 (2003) 4797.[4] C.J. Taylor, R.E. Cavicchi, C.B. Montgomery, S. Turner, Nanotechnology 15 (2004) 62.
4:45 PM - BB14.2
Compositional Grading of Axial Heterojunctions in Metal Particle Seeded III-V Semiconductor Nanowires.
Jonas Johansson 1 , B. Mattias Borg 1 , Jessica Bolinsson 1 , Kimberly Dick 1 2
1 Solid State Physics and the Nanometer Structure Consortium, Lund University, Lund Sweden, 2 Polymer & Materials Chemistry, Lund University, Lund Sweden
Show AbstractIII–V semiconductor nanowires are currently being investigated for a wide range of applications in electronics, photonics, and life sciences. Many of these applications require nanowire heterostructures with compositionally well controlled, and often abrupt, heterojunctions.In this presentation we derive a general model for the compositional grading along the heterojunction in metal particle seeded compound semiconductor nanowires. The model is based on equating two different expressions for the transient flux of atoms from the metal particle into the nanowire after switching reactant chemicals. These expressions are: Fick’s first law and the rate of change of precursor atoms in the metal particle. With our model we predict that for abrupt precursor switching, the length of the graded region at the heterojunction is proportional to the axial growth rate and to the square of the nanowire radius. It is inversely proportional to the diffusivity of precursor material through the metal particle and to a factor accounting for the non-ideality of the precursor–metal solution. In addition, sharper heterojunctions can be reached by using lower growth supersaturations. Here the supersaturation is measured as the difference between growth and equilibrium concentrations of precursor atoms in the metal particle.We apply our model to gold particle seeded GaAs-on-InAs nanowire heterostructures grown with metal organic vapor phase epitaxy. This materials combination has a challenging interface, which is difficult to get abrupt. We find good qualitative agreement between the model and the experiments, where we have varied growth temperature and nanowire radius. Due to lack of relevant materials data in the literature it is, however, hard to quantify the model. Moreover, utilizing an idea from our model - the non-ideality of the metal particle alloy - we have tested a precursor pulsing method and by this we increase the sharpness of the heterojunctions by at least a factor of two.In conclusion, we propose a mass transport model for the compositional grading of axial heterojunctions in metal particle seeded semiconductor nanowires. By applying this model, which reveals understanding beyond the “reservoir” effect, it is possible to predict trends in heterojunction abruptness depending on metal particle-nanowire materials system and experimental growth parameters.
5:00 PM - **BB14.3
Kinetics, Statistics and Heterostructure Formation in VLS Growth of III-V Nanowires.
Jean-Christophe Harmand 1 , F. Glas 1 , F. Jabeen 1 , R. Ramdani 1 , D. Lucot 1 , D. Mailly 1 , K. Gauthron 1 , P. Voisin 1 , A. Beveratos 1
1 , LPN/CNRS, Marcoussis France
Show AbstractSome mechanisms involved in the formation of III-V nanowires (NWs) will be described, with a particular focus on the case of Au catalyst-assisted molecular beam epitaxy. Our conditions lead to the well-known vapour-liquid-solid growth mode.A method providing detailed information on the growth kinetics of individual NWs will be presented. The adatom diffusion lengths on the different surfaces and the difference of chemical potentials in adsorbed and liquid phases are extracted. It appears that the vapour flux intercepted by the NW sidewalls is the dominant contribution to their elongation. Using the same method, we also extract the statistics of nucleation at the catalyst drop/NW interface. We find that nucleation events are anti-correlated in time. This beneficial effect (it regulates growth) is due to the rapid depletion of the catalyst droplet in group V atoms upon forming each new NW monolayer.Then, the challenging fabrication of complex and well-controlled heterostructures inside NWs will be discussed. Two experimental examples will be presented: (i) vertical AlGaAs/GaAs core-shell NWs buried into a GaAs matrix, which are very attractive to explore the 1D electronic transport; (ii) InP/InAs(P) axial heterostructures to form quantum dots (QDs) in free-standing photonic wires, a promising system to fabricate single photon sources.
5:30 PM - BB14.4
III-V/Si Heterostructure Nanowires.
Moira Hocevar 1 , Marcel Verheijen 2 3 , George Immink 3 , Leo Kouwenhoven 1 , Erik Bakkers 1 2
1 Kavli Institute of Nanoscience, TU Delft, Delft Netherlands, 2 Photonics and Semiconductor Nanophysics, TU Eindhoven, Eindhoven Netherlands, 3 , Philips Research Laboratories Eindhoven, Eindhoven Netherlands
Show AbstractFabricating heterostructure nanowires based on group IV and III-V materials will potentially bring new functionalities to semiconductor based nanodevices. Here we present Si/III-V nanowire heterostructures fabricated in the vapor liquid solid growth mode from gold catalysts. We combined GaP and Si in a unique nanowire in order to study the growth mechanisms of Si/III-V heterostructures, as these two materials have a small lattice mismatch of 0.3%. We developed a method to control the growth of straight Si sections on GaP nanowires or Si shells around GaP core nanowires. Axial heterostructures were grown by switching between source gases. In opposition, core-shell nanowires, whose composition changes in the radial direction, were fabricated by first growing the core nanowire and then by raising the temperature, in such a way non-catalyzed Si shell growth occurs on the surface of the GaP core.Our results show defect free epitaxial growth of Si on GaP in both radial and axial geometries, which pave the way to the development of novel opto-electronical devices such as single photon detectors or electron spin memories.[1] R.E. Algra, M. Hocevar et al, Nano Lett., 2011, 11 (4)
5:45 PM - BB14.5
Mechanism on Crystal Phase Transition from ZB to WZ in InP Nanowires by Selective-Area MOVPE.
Keitaro Ikejiri 1 2 , Yusuke Kitauchi 1 , Katsuhiro Tomioka 1 2 3 , Junichi Motohisa 1 , Takashi Fukui 1 2
1 Graduate School of Information Science and Technology, Hokkaido University, Sapporo Japan, 2 Research Center for Integrated Quantum Electronics, Hokkaido University, Sapporo Japan, 3 JST-PRESTO, Japan Science and Technology Agency, Kawaguchi Japan
Show AbstractInP-based nanowires are promising for high-speed electron, optelectronic, and photovoltaic devices because of their superior material property and possibility to develop various kinds of heterostructures. One of the key issues for creating such applications is to control the growth structure of nanowires such as shape and crystal structures. We have been reporting on the InP semiconductor nanowires by catalyst-free growth of selective-area MOVPE (SA-MOVPE). We have fabricated zinc-blende (ZB) and wurtzite (WZ) InP nanowires by changing growth parameters including growth temperature, and material supply ratio. This transition of crystal structure strongly affects electronic states in nanowires, because the bandgap energy of InP is different by about 80-90 meV between ZB and WZ. In this paper, we investigated the transition of the crystal structures of InP nanowires under intermediate condition between ZB and WZ formation.As a growth substrate, a 20-nm-thick layer of SiO2 layer was formed on InP(111)A substrate, and the layer was partially removed using electron beam lithography and wet chemical etching to form mask openings as a template for growth. Using these substrates, MOVPE was carried out at intermediate condition between ZB and WZ formation for InP nanowires. We use trimethylindium (TMIn) and tertiallbutylphosphine (TBP) as a source material.From scanning electron microscopy (SEM) analysis of grown structures, we obtained tapered InP nanowires. The cross-sectional shape of the nanowire is changed along the growth direction. There are six sidewalls, which are parallel to [-211] and [-110] at the bottom and top, respectively. These results indicate the nanowire growth mode changes from ZB mode to WZ mode during growth. From a high resolution transmission electron microscope (TEM) analysis, the crystal structure of the nanowires was mixing of WZ and ZB segments. We note that an appearance ratio of WZ segments (PWZ) change from bottom to top part of the nanowires. The PWZ is 52 % and 88 % at the bottom and top part of the nanowire, respectively. The cross-sectional shapes for the nanowire are clearly reflected in the distribution of PWZ along growth direction, because the surface free energies in each facet are varied with the crystal structures of ZB or WZ. Next, we describe a possible model for tapering growth of nanowires. The tapered shape of the nanowire is contributed by inclined {-111} facets (16.8°) at ZB segment. When a few monolayer of ZB segments sandwiched between WZ stacking are existed, {-111} inclined facets are formed in ZB segments. From this growth model, the total amount of diameter reduction of the tapered nanowire is able to estimate from the number of ZB layers. The result of calculation well agrees with SEM observation. Therefore, this growth model describes well the formation and crystal structure of tapered nanowires.
BB15: Poster Session: Nanowires and Nanotubes Based on Inorganic Materials
Session Chairs
Kornelius Nielsch
Hyunjung Shin
Thursday AM, December 01, 2011
Exhibition Hall C (Hynes)
9:00 PM - BB15.1
Selective Attachment of Semiconductor Nanocrystals on Gold-Decorated Substrates.
Stefan Kudera 1 2 , Simon Ullrich 1 2 , Joachim Spatz 1 2
1 Dept. New Materials and Biosystems, Max Planck Institute for Intelligent Systems, Stuttgart Germany, 2 Dept. of Biophysical Chemistry, University of Heidelberg, Heidelberg Germany
Show AbstractSemiconductor nanocrystals are promising candidates for applications in electronic devices. They combine high absorption coefficients with a large surface to volume ratio. This enables them for an efficient generation and separation of charges, which is a desired feature for photovoltaic applications. Also their electronic structure makes them interesting candidates for the setup of logic circuits. In both cases a problem is the difficulty to bring the particles into contact with the substrate and to attach them at defined positions.Here, we would like to present an extension to the nanosoldering approach. This general technique has already been demonstrated for the formation of networks of nanocrystals in solution. (Figuerola et al., Adv. Mat. 21 (2009) p. 550) Here we show its potential use for the controlled deposition and the attachment of nanostructures of bulk substrates. Prefabricated nanorods decorated with gold patches are firmly connected to immobilized gold dots with a high efficiency. As model substrates we use surfaces which are decorated with a quasi-hexagonal pattern of gold dots.
9:00 PM - BB15.10
Optical Behavior of Simple Nanorod and Dumbbell Geometries.
Anthony Stender 1 2 , Gufeng Wang 1 2 , Ashley Erickson 1 2 , Wei Sun 1 2 , Ning Fang 1 2
1 Department of Chemistry, Iowa State University, Ames, Iowa, United States, 2 , Ames Laboratory, U.S. Department of Energy, Ames, Iowa, United States
Show AbstractFunctionalized noble metal nanoparticles are rapidly finding new applications in complex environments. Optical techniques are a powerful means of observing nanoparticles for extensive periods of time in real time, but it is crucial to distinguish single particles from commonly-formed aggregates. Thus, fundamental studies must be conducted that describe the underlying optical behavior of single particles and simple aggregates. In this study, samples of gold nanorods and gold dumbbells were applied to separate substrates and characterized with transmission electron microscopy (TEM). Features of interest were subsequently located and examined with differential interference contrast (DIC) microscopy. Scattering spectra were collected to determine the longitudinal surface plasmon resonance (SPR) wavelength of each feature. Rotational profiles of each feature were also collected at the SPR wavelength. Our findings provide important insight on the behavior of several common nanoparticle geometries, and the results should prove valuable for distinguishing single particles from aggregates in complex environments where TEM cannot be applied.
9:00 PM - BB15.11
Uni- and Biaxially Aligned Networks of Metallic Nanowires Produced by a Liquid Free Approach.
Marcio Dias Lima 1 , Monica Jung de Andrade 1 , Ray Baughman 1
1 , University of Texas at Dallas, Richardson, Texas, United States
Show AbstractMetallic nanowire networks (MNNTs) have applications on transparent, conductive films, sensors, heterogeneous catalysis and others. In this work, MNNs of gold, palladium, and aluminum were produced using aligned multi-walled carbon nanotubes (MWNT) sheets as templates. The metals were directly deposited over the MWNTs coated with a thin buffer layer of titanium. All depositions were done by e-beam PVD. The titanium buffer layer ensures that the final metals will form a continuum layer on the MWNTs. After that, the carbon and the titanium are oxidized using UV generated ozone or O2 plasma. This treatment surprisingly does not oxidize completely the aluminum MNN due to the formation of a passivation Al2O3 layer on the surface of the nanowires (NW). The NWs have diameters down to 20 nm and lengths up to 300 micrometers (L/d ~ 15000). The resulting network of metallic nanowires is mechanically robust enough to be handled as a several centimeters wide, free-standing film and it can be even spun into yarns of pure nanowires. The MNN is also very flexible and optically transparent and can be applied over almost any substrate without assistance of binders. So far, electrical surface resistivities of 20 Ohm/square with 92% of optical transparency at 550 nm were achieved. Due to the absence of liquids during its manufacture, the nanowires are not agglomerated and the material is highly porous, as a nanofoam. By applying a liquid solvent as isopropanol over the metallic nanofoam and let it drying makes the MNN, originally 3-5 micrometers thick, to drastically increases its density and collapse in to a film less than 100 nm thick.
9:00 PM - BB15.12
Growth of Pd&Cu Bimetallic Nanowires with Novel Microstructures and Their Application in High Sensitive Hydrogen Sensor.
Dachi Yang 1 , Jennifer Carpena 1 , Luis Valentin 1 , Luis Fonseca 1
1 , University of Puerto Rico. Río Piedras, San Juan United States
Show AbstractWe report on bimetallic nanowires (NWs) of palladium and copper (Pd&Cu) fabricated inside the nanochannels of porous anodic aluminum oxide (AAO) templates via electrodeposition. The geometric parameters and microstructures of Pd&Cu NWs have been tailored by tuning the parameters of AAO geometry and electrodeposition, respectively. The microstructure of Pd&Cu NWs show novel coherent and semi-coherent interface between Pd and Cu. Sensor prototypes are developed by integrating individual and multiple Pd&Cu NWs with four-point-probe electrodes and inter-digitated electrodes. The measurements indicated that individual NW sensor prototypes are more sensitive but less stable than multiple NWs ones. Our investigations on Pd&Cu NWs provided experimental base for practical applications and future nanotechnology.
9:00 PM - BB15.13
Arrays of Ultralong, Ordered, Piezoelectric Nanotubes.
Mehmet Kanik 1 2 , Mecit Yaman 1 2 , Mehmet Bayindir 1 2 3
1 UNAM- National Nanochnology Research Center, Bilkent Univeristy, Ankara Turkey, 2 Materials Science and Nanotechnology, Institute of Materials Science and Nanotechnology, Ankara Turkey, 3 Department of Physics, Bilkent Univeristy, Ankara Turkey
Show AbstractRecently improved responsive materials such as piezoelectric and ferroelectric stimuli responsive and shape memory materials are promising materials especially in the area of sensors and actuator. Even though piezoelectric materials are highly required in the area of sensors, actuators, MEMs and artificial muscle and skin, there are still some enormous constrains to produce ordered, flexible and high efficiency piezoelectric materials. Contradicting to the traditional piezoelectric materials, Poly(vinylidene floride) (PVDF) is an amazing material with it`s high flexibility and good piezoelectric properties as well as low density.In this study, we introduced a new type of technique to fabricate nanotubes with very high aspect ratio. All of the conventional systems for fabricating nanotubes are bottom up techniques which result with inhomogeneous, disordered and very low aspect ratio products. In contrast with the bottom up systems, we used a novel type of top to bottom fiber drawing approach which suitable to produce less than 25nm diameter PVDF nanotubes. Fabrication process basically covers 3 preparation and 3 drawing steps. We constructed our preform geometry by using Poly Ether Sulfone (PSU) because of it`s low glass transition temperature. PVDF sheets are (75 µm) rolled and inserted in the preform in first step. First tubular structure is observed after first step drawing by a self assemble shrinking in the perform phenomenon. In the second step, micro-tubes are placed in a second perform. This process is also repeated in the third step. Dicloramethan(DCM) is used for the etching process of PSU cladding of tubes.In result, we achieved very fine ordered, kilometer-long piezoelectric PVDF nanotubes which also open new application concepts in nanofluidics such as lab. in a fiber and ion transportation network processing. Even though, smallest diameter of nanotubes that we attained is 20 nm, It can be improved by adding one more drawing step to the process sequence.
9:00 PM - BB15.14
Enabling Electrical Transport Measurement in a 1-D Metallic System: Direct Growth of Single Crystalline Molecular-Scale Au Nanowires on Substrates and between Pre-Defined Contact Pads.
Paromita Kundu 1 , U. Chandni 2 , Arindam Ghosh 2 , N. Ravishankar 1
1 Materials Research Centre, Indian Institute of Science, Bangalore India, 2 Department of Physics, Indian Institute of Science, Bangalore India
Show AbstractSingle crystalline gold nanowires of molecular dimensions (sub-2 nm diameter) are expected to exhibit interesting transport properties (ballistic electron transport, for instance) which make them promising candidates as interconnects in nanoscale electronic devices. Electrical transport studies in such 1-D systems are critical for their effective device integration. Despite considerable theoretical studies and simulations, transport studies in this regime have been very limited. Device fabrication with molecular-scale wires is a challenge owing to their fragile nature which makes the conventional cleaning and lithography inapplicable. Prolonged exposure to electron beam even at low accelerating voltages (~ 2 kV) or mild heating results in fragmentation of the wires. We demonstrate a solution route of directly growing molecular scale, single crystalline Au nanowires on a variety of substrates and bridging pre-fabricated contact pads. The method enables fine tuning of reaction parameters to precisely control the aspect ratio and areal density of the wires on substrate. The growth mechanism of the wires has been studied in detail by transmission electron microscopy (TEM) using electron transparent silicon nitride membrane grids as substrates; this enables observation of the same region of the substrate after different stages of wire growth. We show that the formation of wires proceed by the attachment of Au nanoparticles to pre-nucleated particles on the substrate which acts as anchor points and gives more adherence to the substrate. The wires grown thus are easy to clean; modified lithography and device bonding parameters were employed to obtain four-probe contacts to the wires grown on SiO2/Si substrate. Linear I-V data is obtained at room temperature showed similar resistivity for the lithographically contacted wires and the wires directly grown between contact pads indicating that contact resistance is not an issue for the directly-grown wires. A temperature dependent transport study reveals an unexpected insulating behavior with strikingly non-linear I-V behavior at lower temperatures. The temperature dependence of electrical resistance shows a power-law and scaling behavior reminiscent of a non-Fermi liquid system. Our results provide the first evidence for the existence of a Tomonaga Luttinger liquid (TLL) and remarkably strong electron-electron interactions in a simple metal. The directed growth mechanism thus opens up possibilities and new frontiers in the synthesis and transport measurements of molecular scale nanowires and interconnects.
9:00 PM - BB15.15
The Sensitivity of Amperometric Enzyme Biosensor Using Nanowire Network Electrode.
Sangwook Kim 1 3 , Junhong Na 1 , Seung-Gu Lee 2 , Pilsoo Kang 1 , Junghwan Huh 1 , Dae-Soon Lim 2 , Gyu-Tae Kim 1
1 School of Electrical Engineering, Korea University, Seoul Korea (the Republic of), 3 Semiconductor R&D Center, Samsung Electronics, Hwasung, Gyeonggi-do, Korea (the Republic of), 2 School of Materials Science and Engineering, Korea University, Seoul Korea (the Republic of)
Show AbstractThe enzymatic biosensor reactions follow Michaelis-Menten kinetics coupled with diffusion. The diffusion-reaction processes for amperometric enzyme biosensor have been simulated to characterize all parameters which contribute to the sensitivity defined by current difference with analyte concentration difference (ΔI/ΔC). Simply, the higher current level makes the higher sensitivity for amperometric sensors. Thus, the sensitivity is proportional to the immobilized enzyme concentration on electrode surface, the surface area and the conductance of sensor electrode. Geometrical effects are explored by comparing conventional planar shape electrode with various nanowire related structures. Then, the optimal geometry of nanowire network for amperometric enzyme sensor electrode is proposed to maximize the sensitivity. With this work, the effects of most sensor parameters on the sensitivity are quantified and the optimal nanowire electrode design for amperometric enzyme biosensor is suggested.
9:00 PM - BB15.16
Ultra Thin Wall Platinum Nanotubes Assembly Method, and Its Applications as Fuel Cells Catalyst.
Gustavo Doubek 1 2 , Ryan Sekol 1 , Marcelo Carmo 1 , Andre Taylor 1
1 Chemical and Environmental Engineer, Yale Universtity, New Haven, Connecticut, United States, 2 IPEN, University of São Paulo, São Paulo, SP, Brazil
Show AbstractThe need for highly efficient and low emission energy conversion devices has attracted attention towards fuel cells world over, but high catalyst costs and poor durability prevent this application from being a viable solution for energy conversion. Nano-architectures such as nanotubes and nanowires are promising candidates to address several of the catalyst’s facing issues. Traditional catalysts based on dispersed nanoparticles supported on carbon, suffer from particle agglomeration and support corrosion [1]. Nanotubes in particular, due to small diameters and large surface areas, have the potential to minimize most of the agglomeration mechanisms, and yet achieve high noble metal utilization [2]. In addition, freestanding nanotubes can be used as unsupported catalyst, thus eliminating catalyst’s deactivation by support corrosion.The reported methods to produce noble metal nanotubes have commonly relied on external reducing agents, requiring extra purification steps, or galvanic displacement with the help of additives to improve the homogeneity of the nano-structure, but also leading to thicker walls [2-3]. We present a simple one-pot synthesis method to create platinum nanotubes by galvanic displacement between Ag nanowires and platinum precursor without external additives. The single step self-controlled method creates platinum shells onto the silver nanowires and, at the same time, dissolves the silver core leaving the noble metal nanotubes as the final product. The produced platinum nanotubes show uniform morphology, having diameters on the 20-50nm range and with wall thickness of only 2nm. The overall method has intrinsic scale-up applicability; based on the electrochemical potential’s of the involved reactants thus self-limiting the process and minimizing undesired growth.1.Acharya, C.K., et al., Effect of boron doping in the carbon support on platinum nanoparticles and carbon corrosion. Journal of Power Sources, 2009. 192(2): p. 324-329.2.Chen, Z., et al., Supportless Pt and PtPd Nanotubes as Electrocatalysts for Oxygen-Reduction Reactions. Angewandte Chemie, 2007. 119(22): p. 4138-4141.3.Bi, Y. and G. Lu, Control growth of uniform platinum nanotubes and their catalytic properties for methanol electrooxidation. Electrochemistry Communications, 2009. 11(1): p. 45-49.
9:00 PM - BB15.17
Gold Nanorods – From Short Nanorods to Micron Sized Wires.
Susanne Koeppl 1 , Walter Caseri 2 , Ralph Spolenak 1
1 Department of Materials, Laboratory for Nanometallurgy, ETH Zurich, Zuerich Switzerland, 2 Department of Materials, Polymer Technology, ETH Zurich, Zuerich Switzerland
Show AbstractGold nanorods are well-known for their unique optical properties making them attractive candidates for modern electronic applications, such as data storage devices. Surface plasmons of morphologically anisotropic metallic nanoparticles show two absorption bands. The transverse absorption band appears in the visible spectrum region, whereas the position of the longitudinal mode depends strongly on the aspect ratio of a nanoparticle and shifts towards the near-infrared region with increasing aspect ratio. Due to this effect, gold nanorods can be employed in medical applications (e.g. cancer therapy, contrast agent), and in window coatings to filter certain wavelengths by altering the aspect ratio of the particles. However, the effect is only noticeable if the morphological anisotropy is high enough. The seed-mediated growth method, which was first published by Murphy and co-workers in 2001, is an easily realized routine to produce gold nanoparticles in high quantities. Still, nanorods with a length greater than 600 nm could not be achieved. In this study, we present a modified seed-mediated synthesis route which overcomes the problem associated with reproducibility. The reproducibility was carefully investigated and reported for other synthesis protocols. Moreover, different synthesis parameters were optimized, facilitating high aspect ratio nanorods/ -wires. The results of this study enable the controlled fabrication of nanorods/ -wires with well-defined aspect ratios (>10 or 100, respectively). Nanorods with a length up to 1 µm can be synthesized by simply substituting H2O as solvent by D2O. While excluding a purity or viscosity effect it could be shown that the reduction rate of gold(III) species by ascorbic acid is decreased. Most likely, an O-H (or O-D) bond is involved in the rate determining step which favors an enhanced anisotropic particle growth. Nevertheless, the width of these rods remains more or less the same. Finally, micron sized wires with a length greater than 2 µm were prepared by a replacement of ascorbic acid by a weaker reducing agent.
9:00 PM - BB15.18
Pd Nanotubes Synthesized by Spontaneous Galvanic Displacement Reactions: Effects of Ag Nanowire Template Surface Ligands.
Brian Larsen 1 , Christopher Chang 1 , Svitlana Pylypenko 1 2 , Justin Bult 1 , Jeffrey Blackburn 1 , Bryan Pivovar 1
1 , National Renewable Energy Lab, Golden, Colorado, United States, 2 Department of Metallurgical & Materials Engineering, Colorado School of Mines, Golden, Colorado, United States
Show Abstract In this presentation, we will present our investigation of template surface ligand effects during spontaneous galvanic displacement (SGD) reactions to synthesize Pd nanotubes from Ag nanowire templates. SGD is a powerful method to synthesize shape-controlled inorganic nanomaterials by depositing higher reduction potential metals on lower reduction potential sacrificial template materials. We have systematically studied the effects of several short-chain surface ligands during Pd displacement of Ag nanowire templates and we have observed distinct differences in the resulting morphology and structure. Our observed differences correlate well with our computationally modeled binding energies for each surface ligand on Ag (100) surfaces.
We will present our observations and a proposed mechanism for the surface ligand effects of materials synthesized by SGD reactions. Specifically, we present detailed characterization of our materials, including XRD, TEM, and SEM, and our computational modeling results and methods.
9:00 PM - BB15.19
Vector-Magneto-Optical Generalized Ellipsometry on Ferromagnetic Sculptured Thin Films.
Daniel Schmidt 1 , Chad Briley 1 , Eva Schubert 1 , Mathias Schubert 1
1 Electrical Engineering, University of Nebraska-Lincoln, Lincoln, Nebraska, United States
Show AbstractSculptured thin films are self-organized and self-assembled three-dimensional nanostructures with tunable geometries. These artificial nanostructured thin films exhibit highly anisotropic physical properties, which mainly depend on their specific geometry.Slanted, highly-spatially coherent, columnar nanostructure samples were prepared by glancing angle electron-beam deposition. Glancing angle deposition is a bottom-up fabrication technique that employs a physical vapor deposition process at oblique angles where the trajectory of the incoming particle flux is not parallel to the substrate normal. The technique allows to engineer the columnar film structure and is today amongst the most promising self-organized wafer-scale fabrication processes in micro- and nanotechnology.We present and discuss the novel approach of vector-magneto-optical generalized ellipsometry on ferromagnetic permalloy nanostructured thin films carried out at room temperature. Investigations have shown that the metal alloy thin films are highly transparent, reveal strong form-induced birefringence, and exhibit intriguing magneto-optical anisotropy. Spatial magnetization orientation hysteresis and magnetization magnitude hysteresis properties are studied using a three-dimensional Helmholtz coil arrangement. This particular octupole setup allows for arbitrary magnetic field directions at the sample position with field strengths up to 200 mT while optical access is granted for focused reflection and transmission-type ellipsometry measurements. Analysis of data obtained within this unique vector-magneto-optic setup reveals magnetization anisotropy of the permalloy slanted nanocolumns and gives insight into switching behavior of confined magnetic domains.Understanding magnetic behavior and phenomena in nanostructures is of utmost importance with respect to utilizing magnetic nanowire thin films for next generation three-dimensional data storage devices.
9:00 PM - BB15.2
Electronic and Transport Properties of Atomic Nanowires Deposited on Silicon Surface.
Rodion Belosludov 1 , Amir Farajian 2 , Hiroshi Mizuseki 1 , Kazushi Miki 3 , Yoshiyuki Kawazoe 1
1 Institute for Materials Research, Tohoku University, Sendai, Miyagi, Japan, 2 Department of Mechanical and Materials Engineering, Wright State University, Dayton, Ohio, United States, 3 , National Research Institute for Materials Science, Tsukuba, Ibaraki, Japan
Show AbstractFor applications to electronics, wire is a very important component because it can be used as the power supply line or the ground line, which connects a metal electrode with a single molecular device and creates a complex molecular circuit. The remarkable progress in application of toward atom manipulation and surface modification scanning tunneling microscopy allow one to fabricate various atomic-scale artificial structures. Recently the deposition of bismuth nanowires on silicon surface with 1.5 nm wide and lengths of several hundreds of nanometers without kink or defect has been realized [1]. In order to use such bismuth nanolines in the area of electronics, it is important to measure their conductance characteristics. One of the challenges that can arise with these measurements is to understand surface effects on the value of current flow through these wires and thus to prevent the possible current leakage. The bismuth nanowires deposited on the Si(001) surface have been studied, their electronic structures have been described using the density functional theory and conductance properties has been investigated by the nonequilibrium Green’s function formalism of quantum transport using our original code [2].The results of calculation show that the conductance properties of deposited bismuth wires depend on the morphology of the silicon surface and the existence of dangling bonds on the surface, which may lead to current leakage across these bonds [3]. Thus, in order to use the bismuth lines as atom-wire interconnections for molecular electronics applications it is important to use the hydrogenated Si(001) surface. Despite the fact that Bi nanowires exhibit semiconductor features, the current through these nanowires can be operated within a given voltage region. Moreover Bi nanowires may possibly be used as a nanoline template for other metals. We also investigated the effect of co-dopant (Sb atoms) on electronic and transport properties of Bi nanowires. The 12 configurations of Sb-doped Bi lines (50%) on the hydrogen terminated Si(001) surface were studied. The obtained results demonstrate the formation of Sb2Bi2 clusters that located in parallel with Bi nanolines. The electron density distribution shown the formation Sb-Bi covalent bonds in these clusters. Doping by Sb atoms reduced band gap from 2.64 eV to 2.06 eV. Analysis of the molecular orbitals for Sb-doped Bi-line on the hydrogenated Si surface in energy region between 1.03 eV and 2.3 eV reveals that these orbitals span the length of the whole Bi-line. Therefore the conductance channels in this energy region will correspond to electron transport through the atomic wire. This work has been supported by the Ministry of Education, Culture, Sports, Science and Technology of Japan (Grant No. 21310068).REFERENCES[1] J.H.G. Owen, et al. J. Mat. Sci., 41 (2006) 4568.[2] A.A. Farajian, et al. Thin Solid Films, 499 (2006) 269.[3] R. V. Belosludov et al. Phys. Rev. B, 75 (2007) 113411.
9:00 PM - BB15.20
Mechanically Actuated Gold Nanorod Dimers.
Maneesh Gupta 1 , Swati Naik 1 , Rachel Near 2 , Dhriti Nepal 3 , Rajesh Naik 3 , Richard Vaia 3 , Mostafa El-Sayed 2 , Vladimir Tsukruk 1
1 Materials Science and Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States, 2 Chemistry, Georgia Institute of Technology, Atlanta, Georgia, United States, 3 Materials and Manufacturing Directorate, Air Force Research Laboratory, Wright-Patterson Air Foce Base, Ohio, United States
Show AbstractActive control of coupling in plasmonic nanostructures is of tremendous interest due to the potential to actively tune optical properties and the strong field enhancements observed in gaps between adjacent nanoparticles. In this report, we demonstrate mechanically actuated gold nanorod dimers with active control over longitudinal plasmon coupling in the dimer pair. Porous anodic alumina templates were utilized to fabricate gold nanorod dimers with excellent homogeneity and in large quantity. Dimers were synthesized with gold rod diameter of 50 nm, rod length of 100 nm, and 10 nm of sacrificial nickel spacing layer between the rods. The dimers were then assembled in elastomeric substrates, which were used to actuate and compress the spacing between the dimer pair. The dynamic shift in plasmon coupling was measured by UV-Vis spectroscopy and dark field optical microscopy of single dimer pairs. This demonstration provides a foundation for the development of polymer/nanoparticle hybrid systems with robust and active control of optical properties.
9:00 PM - BB15.21
Investigating Nanowires and Nanotubes Optically with 20nm Resolution via Plasmonic Antenna Based near Field Optical Microscopy.
Alexander Weber-Bargioni 1 , Wei Bao 1 , Francesca Intonti 2 , Vito Materazzo 2 , Francesco Riboli 2 , Diederik Wiersma 2 , Shaul Aloni 1 , Stefano Cabrini 1 , Peter Jim Schuck 1
1 , Lawrence Berkeley National Laboratory, Berkeley, California, United States, 2 , European Laboratory for Non-Linear Spectroscopy, Firenze Italy
Show AbstractThe goal in our group is to understand the physical and chemical properties of nanowire and nanotube materials depending on their size, geometry and environment. While we have a variety of tools to study the morphology of materials down to the atomic scale, many properties such as local chemistry, electronic structure or optoelectronics that determine the materials functionality are limited to optical spectroscopy measurements that traditionally are diffraction limited in terms of spatial resolution. To distinguish among various nano wire/tubes or studying locally varying properties on an individual nano wire/tube it is necessary to beat the diffraction limit. We achieve this by employing the concept of optical antennae fabricated reproducibly on scanning probes to focus light well below the diffraction limit, while enhancing the optical near field several orders of magnitude. Though many possible optical antenna designs exist, by far the most promising for near-field imaging purposes are plasmonically-coupled structures. These types of antennae take advantage of the ultra-enhanced and localized fields created around the nanogaps or crevices between constituent substructures, and are responsible for the largest reported scattering and fluorescence signal enhancements to date (e.g. single-molecule surface-enhanced Raman scattering). These plasmoic antenna scanning probes are used to investigate nano tubes and nano wires optically with a resolution below 20nm. We showed record-breaking Raman signal enhancements on the order of ~1000 using bowtie like antennae on Carbon Nano Tube (CNT) bundles, identifying breathing mode, polarization dependent z-mode, and the D vs G-mode ratio changing based on the tips interaction with the CNTs. Coaxial optical antenna tips enabled the recording of useful Raman spectra in ~50 ms to acquire 256 by 256 pixel images on dielectric substrates with a full spectrum at each pixel. This technique, also referred to as hyperspectral imaging, has lead to full chemical maps of the investigated Carbon Nanotube bundles with a resolution below 20nm. More recently, we developed a novel geometry which are bell tower like “campanile” tips at the end of optical fibers enabling nano-photoluminescence hyperspectral imaging on Indium Phosphide nanowires, demonstrating the locally varying excitation efficiency. The important advancement of this antenna/probe structures is the capability of exciting and collecting through the optical fiber with high efficiency, precluding the need for a transparent substrate. The combination of optical antennae’s efficient nanoscale field confinement/optical resolution and the ultrafast temporal resolution (fs) inherent in optical studies with the capabilities of modern scanning probe techniques is a significant step towards the goal to unlock previously inaccessible physics and dynamics within nanoscale systems.
9:00 PM - BB15.22
Microfabrication and Controlling Shapes of Silver Nanostructure Arrays.
HeeRyoung Cha 1 , Changsoon Choi 1 , Dongyun Lee 1
1 Nano Fusion Technology, Pusan National University, Busan Korea (the Republic of)
Show AbstractA lot of research has been conducted recently on very sensitive optical bio-sensors based on nano-scale particles of Au and Ag. This is achieved by optical phenomenon called LSPR (Localized Surface Plasmon Resonance) effect which improves the sensitivity of signal of optical sensors by the nano-scaled metal particles such as gold, silver and copper. LSPR is well known to be affected by shape and size of nanostructures. To confirm that effect, we fabricated 5 different shapes of uniformly distributed nanostructures, regular arrays of Ag nanostructures based on AAO template by thermal evaporation. Length and pore size of AAO was 200nm, 50nm and 40nm, 80nm, respectively. Silver (99.999% pure, Alfa Aesar) was thermally deposited on AAO. And then AAO was dissolved in NaOH 2M solution at 40°C for 24 hours. The remnant of Ag film was cleaned by ethanol and deionized water. Optical properties of the different shapes of Ag nanostructure arrays were observed by reflectance spectrum of UV-vis spectroscopy and photoluminescence. We observed transverse plasmon band at about 350-400nm. We have found that not only spectrum’s intensity and position but also colors of the films are changed depending on the shape and size of the structures. Other shape factors on optical properties of Ag nanostructures are discussed in detail.
9:00 PM - BB15.23
Fabrication of High-Aspect-Ratio Silica (SiO2) Nanotubes via Capillary Condensation.
Changdeuck Bae 2 , Hyunjun Yoo 1 , Hyunchul Kim 1 , Yoonjeong Yang 1 , Ho-seok Nam 1 , Hyunjung Shin 1
2 Institute of Applied Physics, University of Hamburg, Hamburg Germany, 1 School of Advanced Materials Engineering, Kookmin University, Seoul Korea (the Republic of)
Show AbstractCapillary condensation in nanoscale materials is an inevitable phenomenon with a strong thermodynamic driving force. Although unanticipated capillary condensation can be a problem, we demonstrate that it provides a facile means to synthesis high aspect ratio silica (SiO2) nanotubes. Silica nanotubes have attracted much attention due to their potential applications in the area of catalysis, bioseparation, biosensing, drug delivery, nanoscale electronic devices, and blue light emitters. To date, the template-assisted technique is known to be the most common method to synthesize silica nanotubes. In particular, the synthesis technique with sol-gel process can produce tubular structures with monodisperse diameters and lengths. Still the fabrication of free-standing and high-aspect-ratio with uniform wall thickness silica nanotube is, however, challenging simply because of incomplete filling of the narrow pores in porous anodization aluminum (PAA) templates. In this presentation, we report that the most facile fabrication of high-aspect-ratio silica nanotubes with the uniform wall thickness through capillary condensation of water reacted with the vapor of SiCl4 in PAA. The PAA templates with the different pore diameters (30.7 ± 3.4, 53.1 ± 2.6, and 73.8 ± 4.0 nm) were brought into an environmental chamber at 30, 60, and 90 °C with the relative humidity of 95 ~ 99 % and kept for 2 hrs. to allow the condensation of water for equilibrium. Such a step ensures that water is saturated inside walls of the pores that are highly curved surfaces and formed thin layers of water. Subsequently a small amount of reactant, typically 0.3 ~ 0.9 mL of SiCl4, was then introduced into another dried chamber together with the water saturated PAA templates. Vapor of SiCl4 reacted with the condensed water to form silica layers. PAA templates containing the silica tubes were dissolved in the solution of NaOH for ~ 1hr to liberate the resulting tubes. After the releasing from PAA templates high-aspect-ratio (~ 200) silica nanotubes with the length of 10μm, which is equal to the thickness of the original PAA template. The silica nanotube has the uniform wall thickness along the entire length. Our results are also compared to predictions of the fabricated tube’s wall thickness with the Kelvin equation for the nanoscale capillary condensation. The wall thickness is inversely proportional to the condensing temperatures as well as the diameter of the initial pores as predicted by Kelvin equation. Finally photoluminescent (PL) properties were obtained from the fabricated high-aspect ratio silica nanotubes. Our strategy can be readily extended to other porous materials with potential nanomaterials to be made.
9:00 PM - BB15.24
Room Temperature Gas Sensing with Gold Nanowires.
Nidhi Lal 1 , Basant Chitara 1 , S. Krupanidhi 1 , N. Ravishankar 1
1 Materials Research Centre, Indian Institute of Science, Bangalore India
Show AbstractOne-dimensional nanostructures are of particular interest in nanoelectronics because of the ease with which they can be utilized in fabricating nanodevices where their long axis facilitates contact to the structure, while the short axis preserves the quantized nature of electronic levels. It is therefore desirable to synthesize precisely controlled semiconductor and metal nanowires to study their properties. With much advancement achieved in producing high quality and appreciably controlled semiconductor nanowires, approaches to precisely control the dimensions of metal nanowires still needs to be explored. Here, we have investigated room-temperature sensing properties of these gold nanowires for hydrogen, ethanol, NH3, NO2 and water vapor. The device shows linear I-V characteristics confirming the excellent ohmic contact of the electrodes with the nanowires. The sensitivity and selectivity of the wires for sensing different gases will be presented. The sensing devices were fabricated by drop-casting the nanowire dispersion over pre-patterned electrodes ultimately paving the path for cost effective applications of these nanowires.
9:00 PM - BB15.25
Porous Nanowalled-Tubes of Conducting Polymer Composites for Sensing Application.
Kyu Hwang Won 1 , Jung Ho Je 1
1 materials science and engineering, Pohang University of Science and Technology, Pohang, Kyungbuk, Korea (the Republic of)
Show AbstractConducting polymer composites are, as sensing materials, advantageous to conducting polymers alone by increased surface area and improved electronic contact to the substrate.[1] Nanotube is an ideal structure for sensor but its fabrication has been limited in conducting polymer composites.[2,3] Here we suggest a new strategy to enhance sensitivity of conducting polymer composites sensor. Porous nanowalled-tubes, instead of nanotubes, of conducting polymer composites are fabricated based on using the meniscus guided writing method [4]. Specifically we fabricated porous nanowalled (~ 200nm) tubes (~ 3 μm in diameter) of polypyrrole (Ppy) / poly(methyl methacrylate) (PMMA) composite by pulling a micropipette filled with Ppy / PMMA colloid solution[5]. Furthermore a bridge of the nanowalled tubes was fabricated directly between two electrodes thanks to the site-specific positioning property [4], demonstrating a possibility to directly integrate sensor devices into circuits. By the bridge sensor, we successfully tested the detection of H2S gas (50ppm) in room temperature and atmospheric pressure. We believe that our direct wiring method could provide a good alternative way to integrate gas sensing devices directly into circuits.References[1] David W. Hatchett, Mira Josowicz, Chem. Rev. 108, 746(2008)[2] Y. Dror, W. Salalha, R. Avrahami, E. Zussman, et al. Small, 3, 1604(2007)[3] Hyeonseok Yoon, Jyongsik Jang, Adv. Funct. Mater. 19, 1567(2009)[4] Ji Tae Kim, Jung Ho Je, et al. Adv. Mater. 23, 1968(2011)[5] Jyongsik Jang and Joon Hak Oh, Adv. Funct. Mater. 15, 494(2005)
9:00 PM - BB15.26
Solution Suspendable Hollow Gold Nanostructures.
Colin Bridges 1 , Paul DiCarmine 1 , Dwight Seferos 1
1 Chemistry, University of Toronto, Toronto, Ontario, Canada
Show AbstractPlasmonic metallic nanostructures are important chemical compositions that have applications in sub-wavelength optics, photovoltaics, surface enhanced Raman substrates, and optical sensors. We report a template directed synthesis of solution-suspendable gold nanotubes and study their plasmonic properties as both an aligned array and in solution. In solution, we observe the transverse and longitudinal modes expected for anisotropic gold nanostructures, as well as a quadrupole resonance. We test the viability of these structures as optical reporters by measuring their refractive index sensitivity. The longitudinal plasmon mode exhibits much higher sensitivity to refractive index change compared to the transverse mode. These solution-suspended gold nanotubes exhibit sensitivities of 1568 nm/RIU, which are the most sensitive nanostructures described to date.
9:00 PM - BB15.4
A Novel Synthesis and Surface Characterization of Gold Nanowires.
Min Gyu Park 1 , Se-Bum Choi 1 , Weon-Sik Chae 2 , Hyunung Yu 1
1 , Korea Research Institute of Standards and Science, Daejeon Korea (the Republic of), 2 , Korea Basic Science Institute, Gangneung Korea (the Republic of)
Show AbstractOne-dimensinal Au nanowire with well-ordered structures has been intensively studied for their promising applications in nanoscale sensor. Here, we prepared both porous and nonporous gold wires to compare a surface sensitivity of a large surface-enhanced Raman scattering (SERS). Porous gold nanowires with different diameters are fabricated using templated electrochemical deposition techniques. The technique allows dimension-controlled material for highly-active, stable, tunable substrates for molecular sensors. Also, nonporous gold wires are formed through aurophilic attraction using coordinating ligands. Field-emission scanning electron microscopy image of the resulting nanowire show a highly-ordered nanoporous network. SERS image are taken for a single porous gold fiber for the identification of hot spots.References 1. B. D. Busbee, S. O. Obare, C. J. Murphy Adv. Mater. 15 414 (2003) 2. X. Lu, M. S. Yavuz, H.-Y. Tuan, B. A. Korgel, Y. Xia J. Am. Chem. Soc. 130 8900 (2008)
9:00 PM - BB15.5
Preparation of Al2O3 and AlN Nanotubes by Atomic Layer Deposition.
Cagla Ozgit 1 , Fatma Kayaci 1 , Inci Donmez 1 , Tamer Uyar 1 , Necmi Biyikli 1
1 UNAM - Institute of Materials Science and Nanotechnology, Bilkent University, Ankara Turkey
Show AbstractAtomic layer deposition (ALD) is a special type of low-temperature chemical vapor deposition, in which the substrate is exposed to sequential pulses of two or more precursors separated by purging periods. Unless decomposition of the precursor occurs, each pulse leads to surface reactions that terminate after the adsorption of one monolayer. Film growth mechanism of ALD is therefore self-limiting, which gives rise to unique properties such as high uniformity and conformality, as well as sub-nanometer thickness control. In this study, we have fabricated nanotubes by depositing conformal thin films of Al2O3 and AlN on electrospun polymeric nanofiber templates. Electrospinning of nylon 66 nanofibers having different average fiber diameters (~70 nm, ~300 nm, and ~700 nm) were obtained by varying the solvent type and polymer concentration. The morphology, uniformity and average diameter of the electrospun nylon 66 nanofibers were analyzed by using scanning electron microscopy (SEM). Al2O3 and AlN depositions were carried out at 200°C in Savannah S100 and Fiji F200-LL ALD reactors (Cambridge Nanotech), respectively. Deposition rates of Al2O3 and AlN at this temperature were ~0.86 and 1.05 Å/cycle. 300 cycles of Al2O3 were deposited using trimethlyaluminum (TMA) and H2O as the aluminum and oxygen precursors, respectively. N2 was used as the carrier gas with a flow rate of 20 sccm. After the deposition, nanofibers were calcinated at 500°C for 2 h under atmospheric conditions in order to remove the organic component. 300 and 800 cycles of AlN were deposited via plasma-enhanced ALD (PEALD) using TMA and ammonia (NH3). NH3 flow rate and plasma power were 50 sccm and 300 W, respectively. Ar was used as the carrier gas with a flow rate of 60 sccm. In-situ calcination of nanofibers were performed at 500°C for 2 h. Al2O3 and AlN nanotubes were characterized by using x-ray photoelectron spectroscopy (XPS), x-ray diffraction (XRD), SEM, and transmission electron microscopy (TEM). AlN nanotubes were polycrystalline as determined by high-resolution TEM (HR-TEM). Scanning TEM (STEM) images of all the samples reported in this study showed uniform wall thicknesses.
9:00 PM - BB15.6
Silver Nanowire Networks.
Sahin Coskun 1 , Husnu Unalan 1
1 Department of Metallurgical and Materials Engineering, Middle East Technical University, Ankara Turkey
Show AbstractNanotubes and nanowires exhibit unique electrical, optical and catalytic properties. Discovery of their potential application areas depend only on their large scale synthesis and exploration of economic synthesis methods. Silver, as opposed to gold and copper, has higher electrical and thermal conductivity; thus it would be interesting to synthesize and explore nanoscale properties of silver nanowires. In this study, we have synthesized silver nanowires through a simple solution based polyol process. In this method, silver seeds are formed through the reduction of silver nitrate by ethylene glycol, which is followed by the continuous addition of silver nitrate to form nanowires under the presence of poly(vinyl pyrrolidone). Following purification process, nanowires are suspended in ethanol and spray coated onto glass and polyethylene terephthalate substrates. The electrical and optical properties of the silver nanowire networks are then investigated. The networks are found to be highly transparent (85% at 550 nm) and conducting (35 ohms/square) with values comparable to indium tin oxide. We will first present a detailed analysis of the polyol process parameters governing the synthesis of silver nanowires with desired diameter and length. We will then present a detailed analysis of the network properties.
9:00 PM - BB15.7
Hierarchy Metallic Nanotip Arrays for Surface-Enhanced Raman Scattering of Proteins.
Ariel Nash 1 , Dexian Ye 2
1 Honors College, Virginia Commonwealth University, Richmond, Virginia, United States, 2 Department of Physics, Virginia Commonwealth University, Richmond, Virginia, United States
Show AbstractNickel nanorod arrays were fabricated on a silicon (100) substrate by using the dynamic oblique angle deposition with substrate rotation. The substrate was tilted such that the depositing flux approached the silicon surface at angle of 85○ with respected to the surface normal. Single-crystal nanorods are formed with well-defined pyramidal nanotips geometry due to the formation of facets. A thin layer of silver film (~ 20 nm thick) was further deposited on the surface of the facets. These silver coated nanotips are able to localize and enhance the electromagnetic fields, as demonstrated by the finite-different time domain calculations. Surface-enhanced Raman scattering of insulin and cytochrome-c proteins at picomolar concentrations (10-12 molar/liter) were demonstrated in experiments on the above-mentioned hierarchy nanotip arrays in a confocal Raman microscope. These metallic nanotip arrays can be used as an ultra-sensitive biosensor.
9:00 PM - BB15.8
The Synthesis and Coating of Long, Thin Copper Nanowires to Make Flexible, Transparent Conducting Films on Plastic Substrates.
Aaron Rathmell 1 , Benjamin Wiley 1
1 Chemistry, Duke University, Durham, North Carolina, United States
Show Abstract Copper nanowires (CuNWs) can be coated onto a surface to create low-cost, flexible, transparent conducting films for use in smart windows, organic light emitting diodes, flexible displays, and thin-film solar cells. CuNW-based transparent conductors are potentially less expensive than the industry standard, indium tin oxide (ITO), because CuNWs can be deposited from solution at coating rates up to 1000 times faster than vapor-deposited ITO. Recent work has demonstrated that gram quantities of CuNWs (10 µm long and 90 nm in diameter) can be synthesized by reducing copper nitrate with hydrazine in an aqueous solution containing sodium hydroxide and ethylenediamine [1]. However, films of these copper nanowires did not achieve the high transmittance and low resistance required for practical applications. It was hypothesized that we could improve the performance of the transparent electrode by reducing aggregation, and increasing the aspect ratio of the nanowires [2]. This work shows that a short heating time followed by cooling and addition of polyvinylpyrrolidone (PVP) to the CuNW reaction results in the production of well-dispersed CuNWs that are over 20 µm long and under 60 nm in diameter [3]. These longer, thinner CuNWs were meyer rod coated from solution onto a polyethylene terephthalate (PET) substrate to make CuNW electrodes that are 85% transparent at a sheet resistance of 30 Ω sq-1. This coating was enabled by the formulation of a nanowire ink that contains a film former with a low auto-ignition temperature. To our knowledge, this is the first demonstration of a highly conductive, transparent electrode made from CuNWs on PET. These CuNW films can carry high currents (>500 mA cm-2), are stable in air for over one month, and can be bent 1000 times without any observed degradation in their properties. As the CuNW films now meet the transmittance and conductivity specifications required for practical applications, we expect these nanowires to enable the production of lower-cost flexible displays, organic LEDs, and thin-film photovoltaics.[1] A.R. Rathmell et al., Advanced Materials, 22 (2010) 3558.[2] S. M. Bergin et al., The Effect of Nanowire Length and Width on the Propertiesof Transparent Conducting Films. Submitted.[3] A.R. Rathmell et al., The Synthesis and Coating of Long, Thin Copper Nanowires to make Flexible, Transparent Conducting Films on Plastic Substrates. Submitted.
9:00 PM - BB15.9
Highly Transparent, Conductive Networks of Silver Nanowires over Large Areas by Spray Deposition.
Vittorio Scardaci 1 2 , Richard Coull 1 , David Rickard 3 , Philip Lyons 2 3 , Jonathan Coleman 2 3
1 Inkjet Supplies Ireland, Hewlett-Packard, Leixlip Ireland, 2 Centre for Research on Advanced Nanostructures and Nanodevices (CRANN), Trinity College Dublin, Dublin Ireland, 3 School of Physics, Trinity College Dublin, Dublin Ireland
Show AbstractSilver nanowire (AgNW) networks have demonstrated their great potential as transparent electrodes for Indium Tin Oxide (ITO) replacement in displays[1], although achieving very transparent networks over large areas is still a major challenge. Here, we achieve uniform AgNW networks over 100 cm2 areas by spray deposition on poly ethyleneterephtalate (PET). We demonstrate that to achieve uniform, highly performing, networks it is necessary to reduce the sprayed droplet size to a practical minimum. This is controlled by the spray pressure. We study the percolation behavior of our networks using the model developed by De et al.[2]. We observe that changes in the spray pressure are reflected in changes to the percolation exponent [3]. Our networks show Rs~50Ω/sq at T~90% and Rs~1kΩ/sq at T~94% [3]. [1] S. De, T. Higgins, P. E. Lyons, E. M. Doherty, P. N. Nirmalraj, W. J. Blau, J. J. Boland, J. N. Coleman, ACS Nano 3, 1767 (2009) [2] S. De, P. J. King, P. E. Lyons, U. Khan, J. N. Coleman, ACS Nano 4, 7064 (2010) [3] V. Scardaci, R. Coull, P. E. Lyons, D. Rickard, J. N. Coleman, Small in press
Symposium Organizers
Kornelius Nielsch University of Hamburg
Anna Fontcuberta-Morral EPFL
Heiner Linke Lund University
Hyunjung Shin Kookmin University
Li Shi The University of Texas-Austin
BB20: Poster Session: Semiconductor Nanowires II
Session Chairs
Anna Fontcuberta i Morral
Heiner Linke
Thursday PM, December 01, 2011
Exhibition Hall C (Hynes)
BB16: Optical Properties III
Session Chairs
Thursday PM, December 01, 2011
Ballroom A (Hynes)
9:00 AM - BB16.1
Electrodeposition of Highly Luminescent Si Nanowires and Nanotubes Using Room Temperature Ionic Liquids.
Florie Martineau 1 , Jeremy Mallet 1 , Karine Namur 1 , Michel Troyon 1 , Michael Molinari 1
1 physics , LMEN - université de reims champagne ardenne (URCA), Reims France
Show AbstractSilicon nanowires (NWs) and nanotubes (NTs) were fabricated for the first time by electrochemical template synthesis at room temperature using air- and water-stable ionic liquid 1-butyl-1-methylpyrrolidinium bis(trifluoromethanesulfonyl)imide (P1,4) containing SiCl4 as Si source. By using nanoporous polycarbonate membranes as templates, it is possible to reproducibly grow nanowires with diameters ranging from 15 to 400 nm [1,2]. With an accurate control of the electrodeposition parameters, we show that it is possible to elaborate Silicon nanotubes with a shell varying from a few to 100 nanometers [3]. Structural characterizations were performed by electron microscopies, infrared absorption measurements, energy dispersive X-Ray, and Raman spectrometries. The as-deposited NWs and NTs are composed of pure amorphous silicon. The detailed examination of single NWs gives evidence of their good quality. Thanks to annealing treatments, it is possible to crystallize the nanowires. Photoluminescence (PL) experiments exhibit strong emission in the visible range for the amorphous silicon nanowires and nanotubes. Time-resolved and temperature-dependent PL experiments show that the emission could be explained thanks to a spatial confinement mechanism. This innovative and cheap elaboration process using electrodeposition is very promising and could compete with the more expensive and constraining high vacuum techniques. [1] J. Mallet , M. Molinari , F. Martineau , F. Delavoie, P. Fricoteaux , M. Troyon, Nano Lett. 8, 3468 (2008) [2] R. Al-Salman, J. Mallet, M. Molinari, P. Fricoteaux, F. Martineau, M. Troyon, S.Z. El Abedin, F. Endres, Phys. Chem. Chem. Phys. 10, 6233 (2008)[3] F. Martineau, J. Mallet, K. Namur, M. Troyon, M. Molinari, submitted to Nano Letters
9:15 AM - BB16.2
Tunable Wavelength-Selective Non-Linear Photodetection Device Element within a Single Core-Shell Nanowire.
Guannan Chen 1 , Eric Gallo 1 , Paola Prete 2 , Nico Lovergine 3 , Jonathan Spanier 1
1 Materials Science & Engineering, Drexel University, Philadelphia, Pennsylvania, United States, 2 Istituto per la Microelettronica e Microsistemi (IMM), Consiglio Nazionale delle Ricerche, Lecce Italy, 3 Innovation Engineering, University of Salento, Lecce Italy
Show AbstractSemiconductor nanowires are attractive as materials for high performance photodetectors due to their intrinsically fast carrier collection time and incorporation in devices possessing high signal-to-noise1,2. Despite wavelength-selective photo-detection within individual nanowires based on nanowire diameter3, and photonic crystal waveguide4, tunable wavelength selectivity within a single nanowire remains elusive. Here we present the results of a wavelength-selective and tunable non-linear device scheme with a single GaAs/AlxGa1-xAs core/shell nanowire. The nanowires are grown on (111)B-GaAs via Au nanocluster-catalyzed metal-organic vapor phase epitaxy using trimethyl-gallium (Me3Ga), trimethyl-aluminium (Me3Al),and tBuAsH2. Two- and three-terminal electron and optoelectronic transport measurements were performed in the range of 4 K < T < 300 K using Schottky-contacted and resonantly tunable optical excitation of photocarriers within the GaAs core. The metal-semiconductor-metal (MSM) structure scheme results in a dark current that is within a ~10 fA noise floor, enabling a large light-to-dark ratio and high sensitivity in photodetection. We demonstrate a real space transfer (RST) of photo-excited hot carriers from GaAs core to AlGaAs shell that produces a negative differential resistance (NDR)5. We show that a bias voltage threshold Vth, defined as the voltage onset of NDR, shifts monotonically with incident photon energy, i.e. dVth/dEhν < 0. Incident radiation with larger photon energy generates a broader distribution of electron energies in excess of the bandgap of GaAs, thereby reducing the required electric field for carriers to undergo RST. This, together with the high signal-to-noise ratio, demonstrates the realization of a high performance wavelength-selective photodetection device element within a single core-shell nanowire. Work supported by NSF under DMR-0907381 and by the ARO under W911NF-08-1-0067.1C. Soci, et al., J. Nanosci. & Nanotech. 10, 1 (2010).2E. M. Gallo, et al., Appl. Phys. Lett. 98, 241113 (2011).3L. Cao, et al., Nano. Lett. 10, 1229-1233 (2010).4H. Park, et al., Nature Photonics. 2, 622-626 (2011).5G. Chen, et al., submitted (2011).
9:30 AM - BB16.3
Optoelectronic Properties of High-Quality Core-Shell GaAs-AlGaAs Nanowire Heterostructures for Future Quantum Devices.
Daniel Rudolph 1 , Watcharapong Paosangthong 1 , Stefanie Bolte 1 2 , Markus Doeblinger 2 , Dance Spirkoska 1 , Simon Hertenberger 1 , Max Bichler 1 , Jonathan Finley 1 , Gerhard Abstreiter 1 3 , Gregor Koblmueller 1
1 Walter Schottky Institut and Physik Department, Technische Universität München, Garching Germany, 2 Department of Chemistry, Ludwig-Maximilians-Universität München, München Germany, 3 Institute for Advanced Study, Technische Universität München, München Germany
Show AbstractDespite enormous promises for the defect-free epitaxial integration of III-V compound semiconductor nanowires (NWs) on highly mismatched substrates (e.g. Si), their large surface-to-volume ratio yields an increased sensitivity to surface states and non-radiative recombination centers, limiting their optoelectronic performance in numerous nanoscale photonic devices. Radial NW heterostructures with shells of wider band gap material, as in the GaAs-AlGaAs core-shell (CS) system, offer a successful route to overcome these limitations [1]. However, understanding of the optical properties of individual CS-NWs on Si in dependence of their fabrication and microstructure are still critically needed. In this study, we investigated the effect of fabrication conditions on phase purity [wurtzite (WZ), zincblende (ZB) phases, associated defects] and spatially resolved emission properties of GaAs NW core and GaAs-AlGaAs CS NW heterostructures grown by high-purity molecular beam epitaxy on Si. Modification of the crystal phase and defect density of the GaAs NW core was pri¬mari¬ly achieved via employment of two different growth regimes, i.e., self-catalyzed vapor-liquid-solid (VLS) growth and facet-driven selective area epitaxial growth [2]. The correlation between microstructure and optical emission properties was characterized by micro-photoluminescence (µPL) spectroscopy and transmission electron microscopy (TEM). Based on power- and temperature-dependent µPL, we identified a characteristic emission line at 1.51eV stemming from the free exciton in pure zincblende GaAs whilst emission at lower energies (1.4-1.5eV) is attributed to twinning superlattices and indirect excitons forming at the interface of axial WZ/ZB crystal phase heterostructures [3]. Surprising differences in the inter¬relation between growth parameters (V/III ratio, T) and formation of predominant crystal phases were found by comparing growth on Si (111) and GaAs (111)B substrates. Based on the systematic study of the GaAs NW core, we further investigated GaAs NWs (with identical diameter and crystal phase) that were overgrown with an AlGaAs shell on the six equivalent vertical {110} sidewall facets with a thickness dShell varying between 8 to 100nm. The influence of thickness and quality of the shell on the optical properties of the NW core was studied by µPL measurements of single GaAs-AlGaAs CS NWs. A drastic >1000 enhancement of the PL intensity was observed upon introducing AlGaAs shells, with insignificant dependence on the shell thickness for dShell > 8nm [4]. Such drastically increased emission efficiencies allowed us to study the optical properties of NWs with diameters down to ~40nm that exhibit clear signatures of quantum confinement. [1] J. Noborisaka, et al., Appl. Phys. Lett. 87, 093109 (2005).[2] D. Rudolph, et al., submitted for publication (2011).[3] D. Spirkoska, et al., Phys. Rev. B 80, 245325 (2009). [4] D. Rudolph, et al., in preparation (2011).
9:45 AM - BB16.4
Correlation between Optical and Structural Properties of Single ZnSe Nanowires.
Ankur Saxena 1 2 , Qi Pan 1 , Selva Nair 1 2 , Harry Ruda 1 2
1 Department of Materials Science and Engineering, University of Toronto, TORONTO, Ontario, Canada, 2 Centre for Advanced Nanotechnology, University of Toronto, TORONTO, Ontario, Canada
Show AbstractSemiconductor nanowires have found tremendous interest in research community due to their prospective applications in areas such as electronic devices, photo-voltaics, and biological and chemical sensing. Among them, wide band-gap II-VI compound semiconductor nanowires have been the subject of intense research due to their potential applications in optical and opto-electronic devices in the UV and visible range, and also due to their importance in understanding fundamental material properties. The suitability and usefulness of these nanowires for device applications depend largely on, among other factors, their crystal structure, and type and density of point and planar (structural) defects. Recently, periodic and aperiodic rotational twin boundaries in nanowires have also attracted considerable interest from researchers [1-3]. We are presenting our work on the study of structural defects, and their influence on the photoluminescence (PL) properties of single ZnSe nanowires. It is known that the extended defects, such as stacking faults and twin boundaries affect the PL properties, but the exact correlation between the extended defects and the PL emission band is not known yet, although experimental works have started to appear on this subject on InP [2,3] and GaAs nanowires [4]. We have explored this correlation via high-resolution optical and structural characterization on individual nanowires. We have designed a high-spatial resolution confocal micro-photoluminescence spectroscopy setup for the optical characterization of different regions of the same ZnSe nanowire. We also measured the radiative recombination lifetimes using time-resolved PL spectroscopy on individual nanowires. The same nanowires were also studied using TEM and HR-TEM to study the structural defects. The ZnSe nanowires studied were grown using CVD method, and all of the optical characterization was performed at low temperature in the range of 3-4 K. We have found that depending on the type and density of structural defects in individual nanowires, the PL spectra from them can be considerably different. In contrast to the recent experimental works [2,3] on rotationally twinned nanowires, we have found that twin boundaries do not affect the PL intensity or the linewidths of the PL peaks. Further, we have found that the recombination lifetimes are also not affected by rotational twin defects, cf. [5]. We have observed excitonic linewidths close to 1 meV from single nanowires. This work provides invaluable fundamental knowledge, and important information that would help in fabrication of reliable devices with desired characteristics. [1] Rienk E. Algra et al., Nature 456, 369-372 (2008), [2] Jiming Bao et al., Nano Lett., 8 (3), 836–841 (2008), [3] Robyn L. Woo et al., Nano Lett., 8 (12) 4664–4669 (2008), [4] Martin Heiss et al., Phys. Rev. B 83, 045303 (2011), [5] Leigh M Smith et al., Semiconductor Science and Technology 25(2) 024010 (2010)
10:00 AM - BB16.5
Intense Intra-4f-Emission from Tb Doped Single ZnS Nanowires.
Franziska Riedel 1 , Sebastian Geburt 1 , Christian Borschel 1 , Uwe Kaiser 2 , Wolfram Heimbrodt 2 , Carsten Ronning 1
1 Institute for Solid State Physics, University of Jena, Jena Germany, 2 Department of Physics, University of Marburg, Marburg Germany
Show AbstractRare earth doped ZnS offers outstanding properties for bright electroluminescent devices making use of the efficient energy transfer from the semiconductor host to the optical active impurity. Terbium is known to be an excellent dopand showing bright emissions in the visible range. The doping of ZnS nanowires is the next step for efficient nanoscaled light emitters and optoelectronic components. ZnS nanowires and nanobelts with diameters between 50 and 400 nm and length of several tens of microns have been synthesized using the VLS mechanism. Subsequent doping was achieved by Tb ion implantation with energies between 20-380 keV and ion fluences resulting in Tb concentrations of 2*10^-6 to 2.0 at.%. The optimum annealing conditions for Tb3+ luminescence were found at 600°C in vacuum. Structural and morphological characterisations reveal the recrystallisation of the ZnS host lattice as well as the successful and uniform incorporation of Tb. The emission properties studied by photoluminescence (PL) and cathodoluminescence (CL) show the intense Tb3+ intra-4f-emission even at room temperature. F codoping leads to no further improvement of the excellent Tb emission intensity. CL measurements on single nanowires offer insights in the connection between nanostructure morphology and emission properties. Photoluminescence excitation and time resolved PL measurements will be presented and discussed in terms of Tb excitation and resonant energy transfer mechanisms using the modified Förster model.
10:15 AM - BB16.6
All-Optical Contacting of Single Nanowires by Confocal Photodeposition of Metal.
Phillip Olk 1 , Thomas Haertling 2 , Dong Chul Kim 1 , Helge Weman 1
1 IET, NTNU, Trondheim Norway, 2 IFZP, Fraunhofer, Dresden Germany
Show AbstractA key challenge for future nanoscale electronics in commercial applications is the bridge between nanoscopic devices, such as nanowires, and the macroscopic world, e.g., microcontacts. This issue may seem trivial, but the transition to single wire devices has large implications on the applicable industrial processes:Traditional methods, namely electron beam lithography and mask-based UV lithography, suffer from some drawbacks. For research purposes and prototypes, a time-consuming execution of iterative and costly steps in electron beam lithography may be acceptable, if such a tool is accessible in the first place. On the other hand, UV lithography, a highly developed dedicated mass production technique, is either too unflexible (rigid mask layout), unprecise (positioning relative to individual nanowire), or if the first two issues are addressed properly, costly.Here we present a contacting method that provides electric connections for nanowires in a maskless, all-optical way, by means of photodeposition. The photochemical deposition is a site-selective method that deposits metal at illuminated areas well under the diffraction limit. The nanowire itself serves as "seed" for the photo-induced reduction of metal salt to pure metal (1). By lateral displacement of the substrate, the metal deposition is manipulated into the according direction, and scribing of metal leads is possible.In this contribution, the method is explained in detail. Subsequently, I/V characterizations of single nanowires demonstrate successful contacting of individual, pre-selected nanowires. The presented technique is compared to typical results achieved by electron beam lithography. Contact quality, and reliability are discussed, answering the key question if the presented procedure permits quick and reproducible contacting of nanostructures for the fractions of the costs of an electron microscope.(1) T. Härtling, T. Uhlig, A Seidenstücker, N. C. Bigall, P. Olk, U. Wiedwald, L. Han, A. Eychmüller, A. Plettl, P. Ziemann, L.M. Eng. Fabrication of two-dimensional Au@FePt core-shell nanoparticle arrays by photochemical metal deposition.Applied Physics Letters, 96 (18), art. no. 183111, (2010).
BB17: Nanowire Devices
Session Chairs
Kornelius Nielsch
Hyunjung Shin
Thursday PM, December 01, 2011
Ballroom A (Hynes)
11:00 AM - BB17.1
Controlled Modulation of Morphology and Composition along Individual III-V Nitride Nanowires.
Samuel Crawford 1 , Sung Keun Lim 1 , Georg Haberfehlner 2 , Silvija Gradecak 1
1 Department of Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 2 , CEA-Leti, MINATEC Campus, Grenoble Cedex 9 France
Show AbstractVariations in morphology and composition within individual semiconductor nanowires can be used to design unique nanowire architectures and enhance the performance of optoelectronic devices. In particular, individual nanowires with controlled changes in diameter along the length of the nanowire can generate distinct properties, including enhanced light trapping, field emission, and thermoelectric conversion. Particle-mediated vapor-liquid-solid (VLS) growth has been used to fabricate nanowires with controlled modulations in structure and composition. In III-V materials, which are particularly interesting for optoelectronic applications due to their wide-range bandgap tunability, the simple model of VLS growth has to be modified to account for the fact that the V source is generally insoluble in the seed particle. Consequently, the III and V sources travel different pathways to the site of reaction, offering the potential to independently tune nanowire morphology and composition. Here, using Au-mediated growth of III-V nitride nanowires by chemical vapor deposition, we modulate the geometry and composition within individual nanowires by independently varying the fluxes of III and V precursors during nanowire growth. In binary GaN and InN nanowires, we demonstrate controlled modulations in nanowire diameter along the growth axis by varying the III and V fluxes during growth and discuss the fundamental mechanisms controlling the flow-induced changes in nanowire diameter and growth rate, as well as seed particle volume. Additionally, we fabricate diameter- and composition-controlled InN/InGaN axial nanowire heterostructures grown in a nonpolar orientation by altering the flux of Ga during growth. A combination of electron microscopy techniques, including electron tomography, energy dispersive x-ray spectroscopy, and cathodoluminescence, are used to investigate the 3D morphology and optical properties associated with the structural and chemical variations. Our growth strategy involving flow-induced variations in seed particle volume represents a viable route for achieving controlled modulations in diameter along individual nanowires. Additionally, the demonstration of nonpolar-directional nitride axial nanowire heterostructures is an important achievement for the development of nitride-based optoelectronic devices.
11:15 AM - **BB17.2
Growth and Applications of Nitride Nanowires.
Lutz Geelhaar 1
1 , Paul-Drude-Institut für Festkörperelektronik, Berlin Germany
Show AbstractGaN and the related Al and In containing group-III-nitride alloys are the most important class of materials for the fabrication of light-emitting and laser diodes in the visible spectral range. However, further advances in the crystal quality of epitaxial nitride layers are hampered by the lack of bulk substrates. The growth of these materials in the form of nanowires offers the possibility to overcome the limitations imposed by planar epitaxy because strain induced by lattice mismatch can elastically relax at the nearby free sidewalls. Our research focuses on the growth of GaN nanowires by molecular beam epitaxy and the investigation of properties that are essential for optoelectronic applications. The formation of GaN nanowires can be induced both by Ni collector particles and without using any foreign material under suitable growth conditions. We have studied the processes governing nucleation of both types of nanowires in detail, because crucial parameters like diameter and number density are determined during this phase. In comparison of the material properties of the resulting nanowires, the photoluminescence of the self-induced nanowires is brighter and sharper, and they are virtually free of extended structural defects, while the Ni-induced nanowires contain a high number of stacking faults. Thus, all subsequent efforts are directed towards studying the self-induced nanowires. Due to the high surface-to-volume ratio of nanowires, surface effects play an important role and influence their electrical and optical properties. For device applications, a high level of growth control is mandatory, and this can be achieved by selective-area growth of GaN nanowires. In containing heterostructures are grown in the nanowires as active region for light-emitting diodes.
11:45 AM - BB17.3
Control of Growth Kinetics for Three-Dimensional III-Nitride Nano-Heterostructures towards Nanowire Devices.
Santino Carnevale 1 , Patrick Phillips 1 , Thomas Kent 1 , Jing Yang 1 , Michael Mills 1 , Roberto Myers 1 2
1 Department of Materials Science and Engineering, Ohio State University, Columbus, Ohio, United States, 2 Department of Electrical and Computer Engineering, Ohio State University, Columbus, Ohio, United States
Show AbstractThe geometry of semiconductor nanowires (NWs) allows for both vertical and coaxial heterostructures, while only vertical heterostructures can be formed using planar structures. This is especially important for III-nitride NWs because crystallographic directions in which heterostructures are formed largely determine the magnitude of internal electric fields due to polarization. Here we describe a method to control the relative vertical and coaxial growth rates in catalyst-free GaN/AlN NW heterostructures grown on Si(111) substrates by plasma-assisted molecular beam epitaxy*. A growth phase diagram is established relating NW density to substrate temperature and III/V ratio. This diagram reveals a reduction in effective growth rate and an increase in nucleation time caused by GaN decomposition. Using this information, a two-step method is developed to independently control NW density from NW deposition time. To begin we nucleate NWs until a small but appreciable density is reached. If deposition continues under these conditions, density will increase over time until reaching a saturation point. To suppress this increase, substrate temperature is increased upon completion of the initial nucleation time. NWs already nucleated continue to grow, but there is no new nucleation, thus controlling density. Additionally, the change in conditions alters growth kinetics, leading to purely vertical NW growth, which allows for the formation of NWs with arbitrarily large aspect ratios and small diameters (~20 nm). Kinetics that favor coaxial growth are also achieved. A low density, high aspect ratio NW array is prepared using the method described above, then material is deposited at a lower substrate temperature. The relative coaxial growth rate increases due to lower Ga ad-atom mobility at the lower substrate temperature. Using this dynamic method, we demonstrate multiple period GaN/AlN (2 nm/2 nm) superlattices along either the vertical or coaxial NW axis, which exhibit atomically sharp compositional profiles. A coaxial, AlN/GaN resonant tunneling diode structure is presented. Scanning transmission electron microscopy (STEM) images show accurate control of coaxial layer thicknesses, as well as atomically sharp interfaces. Large areas of nanowires are processed for electrical measurements without removing them from the Si(111) substrate. Preliminary electrical measurements are provided for both room temperature and low temperature conditions. This work is supported by the ONR under grant N00014-09-1-1153.* S.D. Carnevale, J. Yang, P.J. Phillips, M.J. Mills, and R.C. Myers. “Three-Dimensional GaN/AIN Nanowire Heterostructures by Separating Nucleation and Growth Processes”. Nano Letters 11, 2, pp. 866-871, Jan. 2011.
12:00 PM - **BB17.4
Novel Approaches to Large Area Functional Nanowires Arrays.
Judith Driscoll 1 , Sophie Harrington 1 , Ahmed Kursumovic 1 , Quanxi Jia 2 , Haiyan Wang 3 , Zhenxing Bi 3 2 , Thomas Fix 1
1 Materials science, University of Cambridge, Cambridge United Kingdom, 2 CINT, Los Alamos, Los Alamos, New Mexico, United States, 3 Electrical and Computer Engineering, Texas A & M University, College Station, Texas, United States
Show AbstractLarge area nanowire arrays with controlled spacing and dimension are required for a variety of sensing and energy applications. Self assembly processes are desired over top down methods but achieving the necessary control of dimensionality is a challenge. In this talk, we discuss a thin film nanocomposite scaffold approach which is governed by self assembly. We demonstrate the creation of large area, vertical arrays of oxide nanowires (down to 5 nm diameter and >1000 nm length). The structures have strongly enhanced room temperature functionality in the areas of ferroelectrics and magnetoelectrics. Other applications in solar cells and solid oxide fuel cell electrodes are also possible.
BB18: Electronic Transport
Session Chairs
Thursday PM, December 01, 2011
Ballroom A (Hynes)
2:30 PM - BB18.1
Electrical Properties of Tellurium- and Carbon-Doped GaAs Nanowires.
Omid Salehzadeh 1 , Christoph Herrmann 1 , Xin Zhang 2 , Byron Gates 2 , Karen Kavanagh 1 2 , Simon Watkins 1
1 Physics, Simon Fraser University, Burnaby, British Columbia, Canada, 2 Chemistry, Simon Fraser University, Burnaby, British Columbia, Canada
Show AbstractThe future of NW –based device applications relies on the controlled doping of NWs both in the axial and radial directions. Here, we report on the growth aspects and electrical properties of tellurium (Te) and carbon (C) doped GaAs NWs by metalorganic vapor phase epitaxy via the vapor-liquid-solid mechanism. Trimethylgallium or triethylgallium and tertiarybutylarsine (TBAs) were used as the group III and V precursors. Diethyltellurium and CBr4 were used as dopant sources. Gold nanoparticles (NPs) were formed by thermal evaporation of thin Au films (0.5-3 nm) followed by annealing under TBAs vapor at 600°C. Scanning electron microscopy (SEM) and transmission electron microscopy (TEM) were used for structural investigation of the NWs. The electrical measurements were performed in two ways. Electrical measurements of Te-doped GaAs NWs with a large dispersion in their diameters were performed by contacting the Au at the tip of the NWs directly on the growth substrate with a tungsten nanoprobe retrofitted inside the SEM, with an indium contact on the wafer serving as the second electrode. C-doped NWs with a small diameter dispersion were characterized by randomly dispersing the NWs on Si/Si3N4 substrate and defining the Au/Cr contacts over the NWs by e-beam lithography. Electrical measurements on the undoped NWs show ohmic behavior at low bias (V < 0.3 V) followed by a superquadratic behaviour at higher bias which is indicative for space-charge-limited current at the presence of diffusive traps in the band gap. Energy dispersive X-ray measurements (EDS) on the Au NPs of the Te-doped GaAs show a significant amount of Te present which is promising for the incorporation of Te into the GaAs NW. I-V characteristics of the Te-doped GaAs NWs show Schottky diode behaviour. The ideality factor of the NWs increases from 1.15 to 1.30 with decreasing NW diameter from 800 to 100 nm indicating the increasing contribution of other carrier transport mechanisms parallel to thermionic emission. The low forward bias (V < 0.2 V) and reverse bias current densities increase with decreasing NW diameter which is further indication that thermionic emission is not the dominant transport mechanism at low bias. Based on resistivity measurements of the NWs, we estimated the carrier concentration to be about 1017 cm-3. In the case of C-doping of GaAs NWs, based on gate-voltage dependent I-V measurements, we show that carbon acts as a p-type dopant in GaAs NWs. Carbon doping improves the current transport by six orders of magnitude (at 1 V) compared to the undoped NWs which indicates that carbon is an efficient p-type dopant. Since carbon is not soluble in Au, we expect that carbon is incorporating from the vapor phase at the boundary of the Au/semiconductor interface during NW growth. Finally we show preliminary results to incorporate these dopants in an n-core/p-shell prototype structure for PV applications.
2:45 PM - BB18.2
Purely Catalyst-Free MBE Growth and Electrical Properties of InAs Nanowires on Si.
Simon Hertenberger 1 , Emanuel Forster 1 , Dance Spirkoska 1 , Kristijonas Vizbaras 1 , Daniel Rudolph 1 , Stefanie Bolte 1 2 , Markus Doeblinger 2 , Max Bichler 1 , Jonathan Finley 1 , Gerhard Abstreiter 1 3 , Gregor Koblmueller 1
1 Walter Schottky Institut, Technische Universität München, Garching Germany, 2 Department of Chemistry, Ludwig-Maximilians-Universität München, Munich Germany, 3 Institute for Advanced Study, Technische Universität München, Munich Germany
Show AbstractUp to now, nearly all work on free-standing InAs nanowires (NWs) for functional field effect transistor (FET) devices was conducted under catalyst-assisted methods, i.e., by Au or self-catalyzed In droplets. However, these methods are prone to cause electrically active deep level traps in III-V-on-Si systems and limit realization of non-tapered, atomically abrupt axial NW-FET heterostructures and doping profiles due to the underlying vapor-liquid-solid (VLS) growth mode.Here, we report recent results on entirely catalyst–free vertical InAs-NW arrays on Si (111) using ultrapure solid–source molecular beam epitaxy (MBE). Two strategies were investigated: (i) the generation of self–assembled NWs via thin SiOx masks [1], and (ii) high-yield site–selective growth of NWs via lithographically defined SiO2/Si (111) to control position, density and NW size [2]. The NW growth mode was evaluated using systematic in situ reflection high energy electron diffraction and ex situ transmission electron microscopy (TEM), highlighting a specific self–induced non-VLS growth mechanism [3]. To enable homogeneous, high-yield vertical devices with well-defined geometry, we elaborated the interdependence between growth kinetics, related NW size scaling behavior and predefined substrate pattern (pitch, mask-opening size). Importantly, we found the existence of two growth regimes; i.e., a competitive regime for small pitches with narrower and shorter NWs, and a diffusion-limited regime for wider pitches [2]. Based on this and other growth parameters (III/V ratio, T) we give a comprehensive growth diagram, showing purely catalyst-free growth of non-tapered InAs NWs over an extremely wide temperature and III/V-ratio range with vertical growth rates of up to 4 µm/hr [4]. Ultimately, we established low contact resistance (< 1 kΩ) InAs-NW based FETs (as determined by transition line method and 4-point I-V measurements) and characterized their intrinsic electrical conductivity in global back-gate geometries. Specifically, we compared NWs with similar diameters and channel lengths, but different defect densities (wurtzite–zincblende stacking faults, twin boundaries) as achieved via different growth conditions. The results point out the important role of defect-induced electron scattering, and further highlight the merits of the highly pure MBE growth resulting in good state-of-the-art transconductance (µ > 2000 cm2 /Vs at nNW ~ low 1017cm-3) [5]. Alleviating defects at the crucial InAs/Si heterojunction, we further show progress toward strain-compensating InGaAs NW growth. Preliminary growth results indicate a nearly 1:1 incorporation ratio of Ga into InGaAs NWs, which is largely supported by the catalyst-free growth mode.[1] G. Koblmüller et al., Nanotechnology 21, 365602 (2010)[2] S. Hertenberger et al., J. Appl. Phys. 108, 114316 (2010)[3] S. Hertenberger et al., Appl. Phys. Lett. 98, 123114 (2011)[4,5] S. Hertenberger et al., in preparation (2011)
3:00 PM - BB18.3
Integration of InGaAs/InP/InAlAs Core-Multishell Nanowire-Based Surrounding-Gate Transistors on Si Substrate.
Katsuhiro Tomioka 1 2 , Masatoshi Yoshimura 1 , Takashi Fukui 1
1 Graduate School of Information Science and Technology, and Research Center for Integrated Quantum Electronics (RCIQE), Hokkaido Univ., Sapporo Japan, 2 Japan Science and Technology Agency (JST), PRESTO, Kawaguchi Japan
Show AbstractIII-V semiconductor NWs (NWs) directly grown on Si have been attracted much attention as building blocks for next-generation electronics and photonics, such as a fast channel in vertical nanoarchitectures, steep-slope switches, and optical light sources and detectors on Si. For future transistor scaling, there are emerging challenges in integration of III-V transistors on Si retaining high carrier mobility in the III-V channels. Ternary InGaAs-based metal-oxide-semiconductor field-effect transistors (MOSFETs) are a promising transistor for n-type FET with low-power and high speed. Recently, InGaAs-based quantum-well FET (QWFET) [1] and multi-gate FinFET integrated on Si [2] have been reported. Non-planar type, InGaAs surrounding-gate transistors (SGTs), however, has been less investigated because growth technique for aligning vertical InGaAs NWs on Si has been lacked. Here we present an integration of position-controlled and vertically aligned InGaAs NWs on Si(111) substrate by using selective-area MOVPE, and demonstrate a fabrication of highly-conductance InGaAs-InP-InAlAs core-multishell (CMS) NW-based SGT on Si.In experimental, n-type Si(111) substrates were used. 20 nm thick SiO2 was formed using thermal oxidation. Then, openings were formed using lithography and wet etching. Finally, InGaAs NWs were grown on Si by MOVPE with H2 carrier gas. The source materials were TMIn. TMGa, and AsH3. The growth temperature was 690°C with V/III = 80. The fraction of the TMGa/(TMIn+TMGa) was 0.38. We designed InGaAs-InP-InAlAs CMS as similar to typical structure of InGaAs-based high electron-mobility transistor. For InGaAs-InP-InAlAs CMS NW growth, we grew InP and InAlAs shell layers at 580°C to enhance lateral-over growth. After these growths, SGT structure was fabricated as following our previous reported procedures [3]. Fabricated InGaAs-InP-InAlAs CMS NW-based FET contained 10 NWs parallel in the channel. The device showed n-type depletion FET behavior with a threshold voltage of 0.03 V. The performance is summarized as follows; subthreshold slope, S = 130 mV/decade, peak transconductance, Gm,max = 0.50 S/mm and on-off ratio, Ion / Ioff = 109 in average. On-state current was 5.25 A/mm at a drain-source voltage of 1 V. The transconductance and on/off ratio were markedly improved as compared to that of undoped-InGaAs NW-based SGTs on Si. This means the CMS structures act as a passivation layer and formed two electron gas inside core InGaAs NWs.[1] M. Radosavljevic et al., IEDM Tech. Dig. (2009) pp.319.[2] M. Radosavljevic et al., IEDM Tech. Dig. (2010) pp.126.[3] T. Tanaka et al., Appl. Phys. Exp. 3 (2010) 025003.
3:15 PM - BB18.4
Functionalized Carbon Nanotube Transistors with In Situ Field-Tunable Bandgaps.
Kyunghyun Kim 1 , Yong-Sik Ahn 1 , Chia-Ling Chen 1 , Yong Chen 1 2
1 Mechanical and Aerospace Engineering Department, University of California, Los Angeles, Los Angeles, California, United States, 2 California NanoSystem Institute, University of California, Los Angeles, Los Angeles, California, United States
Show AbstractCarbon nanotubes (CNTs) have been intensively explored as a potential candidate for the future nanoscale electronic devices and circuits. One of the limitations in the usage of CNTs devices is their dispersed bandgaps from metallic to semi-conductive. A tunable bandgap of CNTs is highly desirable because it would allow great flexibility in many applications. In this work, we demonstrated the realization of the flexibly tunable bandgaps of CNTs in-situ by applying an electric field in a CNT network transistor. A randomly aligned single-walled CNT network with dispersed metallic and semiconducting characteristics was fabricated as the transistor channel on a 100 nm thick SiO2 layer on a highly doped Si substrate which also works as a back gate. Ti/Au source and drain electrodes were interconnected with the CNT channel. A poly(ethylene glycol) monomethyl ether (PEG) layer, which was cross-linked by e-beam lithography, contacted the central section of the CNT channel. An Al/Ti top gate electrode was fabricated on top of the PEG layer. An electrochemical cell is integrated in the transistor with the PEG polymer layer as an electrolyte, and the Al/Ti and CNTs as electrodes. Protons can be generated and drifted in the PEG polymer with a high mobility under an external electrical field. When a positive gate voltage is applied on the gate electrode with respect to the channel of CNTs, protons drift from PEG polymer toward CNTs. Under this condition, PEG polymer is dehydrogenated, and CNTs are hydrogenated. On the other hand, when a negative gate voltage is applied, PEG polymer is hydrogenated, and CNTs are dehydrogenated. CNTs in the transistor channel can be functionalized by electrochemical hydrogenation driven by gate voltages, which tunes the effective bandgap of a CNT network transistor. The effective bandgap of a CNT network transistor can be tuned continuously and reversibly to nonvolatile values between 0 to 3.2 eV. After the CNT channel was electrochemically configured to a desired state, the back gate electrode switched the device as a conventional transistor. The CNT transistors with the flexibly tunable bandgaps are of both scientific and technical interest in analog memory, field programmable, neuromorphic, photonic, and photovoltaic devices and circuits.
3:30 PM - BB18.5
In-situ Doping Study of InAs Nanowires and Fabrication of InAs Tunnel Diodes.
Hesham Ghoneim 1 , Mikael Bjoerk 1 , Heinz Schmid 1 , Cedric Bessire 1 , Kirsten Moselund 1 , Philipp Mensch 1 , Siegfried Karg 1 , Emanuel Loertscher 1 , Heike Riel 1
1 , IBM Research Zurich, Ruschlikon Switzerland
Show AbstractSemiconducting nanowires based on III-V materials have recently attracted increased interest due to their potential use in tunnel diodes and in low-power electronic devices such as tunnel FETs. Those devices require in particular high doping concentrations as well as abrupt doping profiles to achieve high tunnel currents. Here we report on in-situ doping of InAs nanowires using different precursors during growth. The InAs nanowires were grown in SiOx mask openings on <111> Si substrates using Trimethyl-Indium (TMIn) and Tertiarybutyl-Arsine (TBAs) in a metal-organic vapor phase epitaxy process. For n-type doping, Si2H6, H2S, CBr4, or Diethyl-Telluride (DETe) were added during growth. The effect of the dopants on radial and vertical growth rates, wire morphology and resistivity was investigated. Four-probe resistance measurements and two-point transfer-length measurements were performed to extract the wire resistivity and contact resistance. The non-intentionally doped InAs nanowires showed a resistivity of 20 mΩcm corresponding to a carrier density of 2×e17 cm-3. The high background doping is attributed to Fermi-level pinning close to the conduction band and possibly to carbon incorporation during growth. The highest n-doping was achieved using H2S with a flow ratio of 10-3, which resulted in a resistivity of 0.6 mΩcm. Increasing the H2S flow beyond this ratio increases the nanowire resistivity. We attribute this effect to a compensation of the added sulphur atoms by vacancy formation, which drastically reduces carrier mobility. This effectively limits the obtainable carrier density in our InAs nanowires to roughly 2×e19 cm-3. Finally, we fabricated vertical pn-junctions by growing n-type InAs nanowires on p-type InAs substrates with a doping concentration of 1xe17, which showed current densities of 250 kA/cm2 at 0.3 V reverse bias. In the forward direction, negative differential resistance is obtained below 200 K, which is the hallmark feature of an Esaki diode.
BB19: Energy Conversion and Storage
Session Chairs
Thursday PM, December 01, 2011
Ballroom A (Hynes)
4:30 PM - BB19.2
Tuning the Band-Bending in Core-Shell Nanowires to Optimize the Charge Carrier Transfer in Photoelectrochemical Water Splitting.
Jiandong Fan 1 , Frank Guell 1 , Cristian Fabrega 2 , Alex Carrete 2 , Alexey Shavel 1 , Teresa Andreu 2 , Joan Morante 1 2 , Andreu Cabot 1 2
1 , University of Barcelona, Barcelona Spain, 2 , Catalonia Institute for Energy Research, Barcelona Spain
Show AbstractIn the present work, arrays of vertically aligned ZnO:Cl/ZnS and ZnO:Cl/ZnO core-shell nanowires were grown by a facile two-step process. Firstly, chlorine-doped ZnO (3%) nanowire arrays were prepared using a seed layer free electrochemical deposition. Secondly, ZnO:Cl/ZnS and ZnO:Cl/ZnO core/shell nanowires were obtained by successive ionic layer adsorption and reaction (SILAR) and electrodeposition, respectively. The composition and morphology of the obtained nanostructures were analyzed by XPS, FESEM, HRTEM and UV-vis spectroscopy. The photoelectrochemical (PEC) properties of the obtained core/shell nanowires were characterized in a standard three-electrode cell employing exactly the same sample with successive coating steps, with the aim of demonstrating the evolution of photocurrent density as a function of shell thickness. While no significative variations in the absorption spectra was identified, photoelectrochemical (PEC) properties of the different core-shell nanowires were highly enhanced with respect to bare ZnO:Cl nanowires. We will discuss how the photocurrent variations are associated with the control of the band bending in the core-shell nanowire surface, which modifies the efficiency of charge carrier transfer from the nanowire to the solution species.
4:45 PM - **BB19.3
Energy Storage and Biomolecular Extraction Using Polypyrrole Coated Cellulose Nanofiber Composites.
Maria Stromme 1 , Martin Sjoedin 1 , Gustav Nystroem 1 , Daniel Carlsson 1 , Natalia Ferraz 1 , Henrik Olsson 1 , Aamir Razaq 1 , Albert Mihranyan 1 , Leif Nyholm 2
1 Dep. of Engineering Science, Uppsala University, Uppsala Sweden, 2 Dep of Chemistry, Uppsala University, Uppsala Sweden
Show AbstractWe have recently shown that it is possible to create large surface area conducting composites by coating the individual nanofibers of cellulose from the green algae Cladophora with a 30-50 nm polypyrrole layer [1]. Being produced in an easily up-scalable chemical process, such composites can be utilized as electrodes in all-polymer based energy storage devices [2, 3], electrochemically controlled solid phase ion-exchange materials [4, 5, 6] and possibly also as a new material in medical applications. In our presentation we will describe the ongoing development and exploitation of this nanostructure for a number of applications. We will give details about the synthesis and structural characteristics of the composites, their performance in energy storage devices, DNA extraction processes and as hemodialysis membranes.We will show that the composites exhibit excellent cycling stability when used as the electrodes in an aqueous symmetric supercapacitor, exhibiting a loss of capacitance of only 0.7% over 4000 galvanostatic cycles, and that it is possible to charge such a device up to 1.8 V without significant capacity loss due to an intrinsic self-protective mechanism. The latter prevents oxidative degradation of the polypyrrole electrodes. We also show that the charging times of the supercapacitor device can be as short as 1 s when 8 μm-thick, chopped carbon (graphite) fibers have been included in the composite electrodes, and that current independent cell capacitances of ~60-70 F/g can be obtained with a cell voltage of 0.6 V and current densities up to ~4.8 A cm-2. We also present results from cytotoxicity experiments performed both in vitro and in vivo in order to assess the viability of using the composites for extracorporeal blood treatments, as well as their electrochemical performance in simulated biological fluids. We finally show that it is possible to extract, and subsequently release, DNA oligomers of varying lengths using the composites. The latter opens up new possibilities for the development of inexpensive and efficient electrochemically controlled ion-exchange membranes for batch-wise extraction of biomolecules.Finally, limitations in terms of polymer degradation and device self-discharge will be discussed, as well as possible measures to be employed to overcome these obstacles allowing future industrial exploitation of conducting nanocellulose-based composites.1. A. Mihranyan et al., J Phys Chem B 112 (2008) 12249.2. G. Nyström et al., Nano Lett. 9 (2009) 3635.3. H. Olsson et al., Electrochem. Commun (2011) doi:10.1016/j.elecom.2011.05.024. 4. A. Razaq et al., J. Phys.Chem B 113 (2009) 426.5. K. Gelin et al., Electrochim. Acta 54 (2009) 3394.6. S. Rubino et al., J. Phys Chem B 114 (2010) 13644.
5:15 PM - BB19.4
Biscrolled Carbon Nanotube Composite Yarns for Multifunctional Applications in Energy Conversion and Storage.
Marcio Dias Lima 1 , Ray Baughman 1 , Shaoli Fang 1 , Xavier Lepro 1 , Javier Carretero-Gonzalez 1 , Elizabeth Castillo-Martinez 1 , Chihye Lewis 1 , Raquel Ovalle-Robles 1
1 , University of Texas at Dallas, Richardson, Texas, United States
Show AbstractPowders of particles or nanofibers are well known additives for introducing new and improved functionality into yarns, and the importance of this area motivates new advances [1-3]. Exploited methods use additive dispersion in a fiber matrix or incorporation on fiber surfaces, but problems remain: (1) powder loading levels are typically low, (2) nanoparticle and nanofiber functionality can degrade when processing decreases accessible surface area, and (3) powders on yarn surfaces can lack durability. Powders are rarely spinnable into yarns without changing basic structure. Strong, weavable multifunctional yarns could be seamlessly integrated into intelligent clothing, structural textiles, and woven electrodes that are flexible, durable, and hierarchically engineered in porosity by yarn weaving, thereby providing advantages over conventional multifunctional film and bulk composites. Our goal was to spin porous multifunctional yarns in which a low concentration of strong host enables weavability, flexibility, and durability, while a high guest concentration adds functions like energy storage, harvesting, and conversion. Generically applicable methods were demonstrated for producing continuous yarns comprising up to 95 wt. % of otherwise unspinnable particulate or nanofibers powders that remain highly functional [4]. These methods utilize the strength and electronic connectivity of sometimes minute amounts of carbon nanotube sheets that are helically scrolled in the yarns. Scrolled 50 nm thick nanotube sheets or sheet stacks can confine nanopowders, micropowders, or nanofibers in the corridors of often irregular spiral sacks, whose observed complex topologies are related to twist-dependent extension of Archimedean or Fermat-related spirals or spiral pairs into helices. This technology is used to make yarns of superconductors, Li-ion battery materials, graphene ribbons, catalytic nanofibers for fuel cells, and TiO2 for photocatalysis. The mechanical properties of these yarns enables applications for wearable electronic textiles and for strong woven electrodes of batteries and fuel cells. Using patterned deposition for bilayer stacks, TiO2 guest can be located in the sheath of a biscrolled yarn, thereby optimizing light absorption for such applications as self-cleaning textiles and Graetzel solar cells. Also, it was demonstrated the use of CNT sheets as removable templates for making spinnable sheets of inorganic nanotubes what extends biscrolling to new hosts and provides a route to other types of nanotube yarns.[1]D. Li ,Y. Xia, Adv. Mater. 16, 1151 (2004).[2]H. Ye, H. Lam, N. Titchenal, Y. Gogotsi, F. Ko., Appl. Phys. Lett. 85, 1775 (2004).[3]M. J. Uddin et al., J. Photochem. Photobiol. A :Chem. 199, 64 (2008).[4]M.D. Lima et al., Science, 331, 6013 pp. 51-55 (2011).
5:30 PM - BB19.5
High-Efficient Dye-Sensitized Solar Cells Based on Precisely Controlled TiO2 Nanotube Arrays with Submicrometer-Sized Elongated Grains.
Hyunjun Yoo 1 , Myungjun Kim 1 , Hyunchul Kim 1 , Seonhee Lee 1 , Hyunjung Shin 1
1 School of Advanced Materials Engineering, Kookmin University, Seoul Korea (the Republic of)
Show AbstractWe described the application of precisely dimension-controlled TiO2 nanotube arrays in dye-sensitized solar cells (DSCs). Generally TiO2 nanoparticles are used as photoanode of DSCs. The nanopartulated films provide not only a large surface area but also pathway of electrons. Randomly connected nanoparticle networks disturb efficient diffusion of electrons of photoinduced electrons from dye molecules. Here we report the precisely dimension-controlled TiO2 NT photoanodes for DSCs with quasi-single crystalline grains by template-directed atomic layer deposition (ALD). The anodic aluminium oxide membranes were used as nanoporous templates. As-deposited amourphous TiO2 NTs were annealed to crystallize to anatase. ITO conducting layers were deposited on the top side of arrays by sputtering. The template was etched using the solution of 1M sodium hydroxide for 1hr at 65°C. Large enlongated anatase grains in the NTs could enhance the electron percolation and effectively suppress the recombination with holes in electrolyte. The voltage-decay measurements show that nanotubular photoanode system have much longer lifetimes indicating fewer recombination centers in the photoanodes in comparison to nanopartuculate systems. We measured more than three orders of magnitude enhancement of the electronic conductivity of such TiO2 NT arrays compared with reported that of conventional mesoporous anatase TiO2 particulate films. Absorption of dye molecules on the surfaces of ALD-grown TiO2 NTs is of critically important and investigated with function of different thicknesses and treatments by using the solution of NaOH, HCl, and TiCl4. The effective surface area is measured by the absorption intensity of UV-visible spectrophotometer measurement of collected dye molecules which are desorbed from stained NTs. Transmission electron microscopy (TEM) measurement directly shows that TiCl4 treatment has a significant effect to increase the surface area of TiO2 NTs. And the crystallographic faceting on the surface of NTs after the treatment was found from high magnitude TEM images. The critical point drying technique was used to prevent bundling formation casud by capillary force. The bundling formation cause the breakage of NTs and the reducuction of surface area of NT arrays. As a result, under AM 1.5 illumination, the photoconversion efficiency of ~7 % with the photocurrent of ~15 mA/cm2 were obtained.
5:45 PM - BB19.6
Wafer-Scale High-Throughput Ordered Arrays of Si and Coaxial Si/Si1-xGex Wires: Fabrication, Characterization and Photovoltaic Application.
Caofeng Pan 1 2 , Zhonglin Wang 1 , Jing Zhu 2
1 Materials Science and Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States, 2 Materials Science and Engineering, Tsinghua University, Beijing China
Show AbstractWe have developed a method combining lithography and catalytic etching to fabricate large-area (uniform coverage over an entire 5-inch wafer) arrays of vertically aligned single-crystal Si nanowires with high throughput. Coaxial n-Si/p-SiGe wire arrays are also fabricated by further coating single-crystal epitaxial SiGe layers on the Si wires using ultra-high vacuum chemical vapor deposition (UHVCVD). This method allows precise control over the diameter, length, density, spacing, orientation, shape, pattern and location of the Si and Si/SiGe nanowire arrays, making it possible to fabricate array of devices based on rationally designed nanowire arrays. A proposed fabrication mechanism of the etching process is presented. As inspired by the excellent anti-reflection properties of the Si/SiGe wire arrays, we build solar cells based on the arrays of these wires containing radial junctions, of which an example exhibits an open circuit voltage (Voc) of 650 mV, a short-circuit current density (Jsc) of 8.38 mA/cm2, a fill factor of 0.60, and an energy conversion efficiency (η) of 3.26%. Such a p-n radial structure will have a great potential application for cost-efficient photovoltaic (PV) solar energy conversion.
BB20: Poster Session: Semiconductor Nanowires II
Session Chairs
Anna Fontcuberta i Morral
Heiner Linke
Friday AM, December 02, 2011
Exhibition Hall C (Hynes)
9:00 PM - BB20.10
Wurtzite GaN Nanotubes Grown on Si(001) Substrate by Molecular Beam Epitaxy.
Manoj Kesaria 1 , S. Shivaprasad 1
1 Chemistry and Physics of Materials Unit, Jawaharlal Nehru Centre for Advanced Scientific Research, Bangalore, Karnataka, India
Show AbstractCommercially optoelectronic devices such as light emitting diodes, laser or photodectors etc formed on sapphire or SiC of GaN and its related III-V compounds are available. Furthermore Si (111) substrate is another possible choice for III-Nitride on which devices are realized too. However, Si (001) substrate is preferred from a technological point of view because Si (001) is widely used in silicon mainstream technology. But deposition of GaN on this surface is challenging due to difference in crystallographic surface structures (hexagonal GaN and diamond cubic Si(001). GaN layer grown by molecular beam epitaxy (MBE), metal organic chemical vapour deposition (MOCVD) on Si(001) are reported in literature, but biphasic mixture of cubic and hexagonal GaN forms on Si (001). Monophase growth of hexagonal GaN film is also observed by two-step growth methods, where low temperature buffer layer of crystalline GaN, AlN,α-Al2O3, β-Si3N4 and amorphous Si or SixNy layer is grown prior to high growth of GaN or self nitridation. Also patterned Si (001) wafers offers Si(111) sidewalls and has shown possibility of hexagonal GaN formation. In this work, wurtzite single crystal GaN nanotubes are grown on Si(001)2x1 surface by plasma-assisted molecular beam epitaxy. Nanotubes of diameter in the range 50-100nm are tilted by few degrees to [0001] direction when grown on bare Si(001)2x1 surface, but when grown on nitridied Si(001)2x1 surface nanotubes grow along [0001] direction. Transmission electron microscope measurement shows that nanotubes have no trace of traces of extended defects. Reflection high energy electron diffraction and X-ray diffraction measurement show that the nanotubes are wurtzite, monophase and c-oriented. Photoluminescence measurements in nanotubes evidence a very high crystal quality in terms of intense and narrow excitonic emissions. Raman scattering data show that the nanocolumns are strain-free. These results open the way to an efficient integration of nanorods based light emitting diodes with the complementary metal oxide semiconductor technology.
9:00 PM - BB20.11
Silicon Nanowires Obtained by Low Temperature Plasma-Based Chemical Vapor Deposition.
Rosaria Puglisi 1 , Antonino La Magna 1 , Giovanni Mannino 1 , Vittorio Privitera 1 , Silvia Scalese 1
1 Istituto per la Microelettronica e Microsistemi, Consiglio Nazionale delle Ricerche, Catania Italy
Show AbstractSilicon radial junctions formed in quasi one-dimensional structures such as nanowires (NWs) provide a potential solution to increase the efficiency of Si based solar cells, because their innovative architecture allows to tune independently the photons absorption path from the carriers collection path. For this approach it is very important to control the characteristic size of the NWs, such as their diameter and relative distance in order to properly couple the structure to the visible wavelength while maintaining proper diffusive lengths. One of the most proposed methods to form NWS is based on the vapor liquid solid growth on metallic dots which work as catalysts. In this approach the formation of the NWs is usually obtained at temperatures higher than 500 °C by the decomposition of the Si precursor directly deposited on the metallic seed and also by the lateral diffusion of the Si adatoms deposited on the substrate. We illustrate a method to form nanowires at temperatures lower than 400 °C, by exploiting proper modifications of the main plasma parameters such as the plasma power and gas partial pressure. The growth is obtained by using a plasma based chemical vapor deposition system which allows depositions at low temperatures. The balance between the 2D growth, due to the deposition of the adatoms on the substrate, and the 1D growth due to the direct catalytic decomposition of the Si precursor on the metal are discussed.
9:00 PM - BB20.12
Band Gap Engineering of Zn Based II-VI Semiconductor Nanowires through Uniaxial Strain: DFT and GW Calculations.
Satyesh Yadav 1 , Rampi Ramprasad 1
1 Chemical, Materials and Biomolecular Engineering and Institute of Materials Science, University of Connecticut, Storrs, Connecticut, United States
Show AbstractAlthough Zn based semiconductors ZnX (X = O, S, Se, Te) lend themselves to facile synthesis in nanowire and nonotube form, their large band gap prevent their application in optical devices, such as solar cells and LEDs. We present a way to engineer (lower) the band gap through uniaxial strain. In this ab initio work, we show that ZnX in the wurtzite crystal structure exhibits the following universal behavior: under uniaxial compressive stress along the wurtzite c-axis ([0001] direction), the band gap of the system initially increases, goes through a maximum, and then decreases abruptly, allowing for a wide range of possible uniaxial stress-induced band gaps. We consider ultrathin nanowires with diameters in the 1-3 nm range and bulk ZnX, thus bracketing the experimentally achieved diameters of ~50 nm and hence their properties. Our calculations were performed using standard density functional theory (DFT), hybrid DFT, and quasiparticle GW methods. Although DFT has the well-known deficiency of underestimating band gaps, trends in changes in the band gaps (e.g., with respect to strain), is expected to be well represented. This widely held notion is confirmed by our hybrid DFT and GW calculations. While quantum confinement always increases the nanowire band gap relative to the corresponding bulk values, uniaxial tensile and large compressive strains reduce the band gap relative to the equilibrium situation, similar to the behavior in bulk ZnX [Yadav et. al, Phys. Rev. B, 81, 144120 (2010)]. The band gap reduction under compressive strains is accompanied by the onset of a structural transformation from the wurtzite to a graphite-like coordination environment: each Zn atom becomes coplanar with the 3 X atoms below in one layer; likewise, in the adjacent layer, each X atom becomes coplanar with the underlying Zn atoms. These results have important implications for the engineering of the band gap of ZnX systems through uniaxial strain, achievable in core/shell type nanowires.
9:00 PM - BB20.13
Uni-, Bi- and Triaxilly Oriented Nanofoams of Ultra-High Aspect Ratio TiO2 Nanotubes and Nanowires.
Marcio Dias Lima 1 , Monica Jung de Andrade 1 , Ray Baughman 1
1 , University of Texas at Dallas, Richardson, Texas, United States
Show AbstractTitanium oxide nanotubes and nanowires (TONTs and TONWs) arrays have attracted considerable attention due to its (bio-)chemical, optical and electronic properties. Titania have many applications like photocatalytic removal of organic pollutants [1], water splitting/photolysis [2], sensors [3], sensitized solar cells [4], electrochemical devices for energy storage [5] and medical applications [6]. For each application there is a preferred crystalline phase, as well a micro/nanostructure. For example, anatase is preferred for charge separation devices, while rutile is used predominantly in gas sensors and as dielectric layers.Carbon nanotubes (CNTs) have been produced in a large variety of morphologies and arrangements and their use as a template for synthesis of TiO2 nanostructures can increase the numbers of possible nanoarchitectures/nanoarrangements for TONTs and TONWs as well. Here we report a new approach for production of nanofoams constituted of aligned TONTs and TONWs. They were synthesized using CNTs either as free-standing horizontally aligned sheets, or as vertically aligned arrays, or both simultaneously, as templates. Metallic titanium was deposited over the CNTs by using e-beam PVD and after thermal treatment conformal composite of titanium over CNTs is obtained. By oxidation the CNTs are removed and TONTs or TONWs are obtained. By combining aligned sheets and vertical arrays is possible to obtain orientation of the TONTs or TONWs in all 3 axis. The oxidation temperature determines the phase of titanium oxide produced. The synthesis of NT or NW can be tuned by controlling the amount of titanium deposited. Since the process is completely liquid free the TONTs/TONWs are not agglomerated and the resulting nanofoam is highly porous. Nanowires with diameters as low as 10 nm and aspect ratios around 30 000 were produced, the highest so far reported in the literature. Large free-standing, optically transparent sheets or meshes of the arrays can be produced. By using capillary forces of a drying solvent the nanofoams can be firmly attached to a variety of substrates. The arrays can be easily handled in the free-standing form and the uni-axially oriented arrays can even be twisted into yarns of pure TONTs/TONWs.[1]Quan X. et al., Environmental Science & Technology, 39 (2005) 3770-3775.[2]Paulose M. et al., Journal of Physical Chemistry B, 110 (2006) 16179-16184.[3]Varghese O.K. et al., Sensors and Actuators, B93 (2003) 338–344.[4]Varghese O.K. et al., Nature Nanotechnology, 4 (2009) 592-597.[5]Wang D.-W. et al., Advanced Functional Materials, 18 (2008) 3787-3793.[6]Shrestha N.K. et al., Angew.Chem.Int.Ed., 48 (2009) 969–972.
9:00 PM - BB20.14
Photoluminescence and Raman Scattering Studies of GaN Nanowires Obtained by Top-down and Bottom-up Approaches.
Toma Stoica 1 , Anna Haab 1 , David Griesche 1 , Martin Mikulics 1 , Friederich Limbach 2 1 , Timo Schumann 2 1 , Tobias Gotschke 2 1 , Raffaella Calarco 2 1 , Hilde Hardtdegen 1 , Detlev Gruetzmacher 1
1 Peter Grünberg Institut (PGI-9), Forschungszentrum Jülich GmbH, Jülich Germany, 2 , Paul-Drude-Institut für Festkörperelektronik, Berlin Germany
Show AbstractMethods to fabricate III/nitride based nanowires (NWs) are of great interest for future nano-optoelectronics. In this contribution we have employed scanning electron microscopy, photoluminescence (PL) and Raman spectroscopy to evaluate GaN nanowire properties obtained by two different approaches: bottom-up by NW growth and top-down by etching of layers. The aim is to optimize the formation processes and to disclose the advantages and disadvantages of the respective methods. For practical applications, the NW sizes and composition should be very well controlled and NWs should exhibit uniform high optical quality.Nanowires were produced by self-assembled catalyst-free plasma-assisted molecular beam epitaxy (PAMBE) growth on Si(111) substrates in nitrogen-rich conditions and by reactive ion etching (RIE) of GaN layers deposited by metal-organic vapor phase epitaxy on sapphire substrates. The etching was performed with a Plasmalab 100 Oxford tool, optimizing the power of both capacitively and inductively plasma couplings to obtain either NWs or nanotubes. The catalyst free self-assembled PAMBE NW growth has the advantage of very good crystalline quality and reduced impurity contaminations, however the control of the length and diameter of the NWs is challenging. The length fluctuation increases with the growth temperature especially due to the prolongation of the nucleation incubation time, which has a statistical character. The incubation time can be reduced by increasing the Ga flux or decreasing the growth temperature. Both of these possibilities result in a high probability of NW coalescence with associated defects. In the present paper the PL and Raman spectra are used to investigate the coalescence process of NWs grown on large areas. Coalescence of selectively grown NWs on small areas down to 40nm diameter is also discussed based on µ-Raman measurements.The RIE top-down NW formation has the advantage of a precise control of the NW length and thickness of the segments in NW heterostructures. It has the disadvantage of preserving defects specific for layers deposition, in which the strain is not easily relaxed, but also creating surface damages due to RIE bombardment. However, the photoluminescence studies have shown an increase of the photoluminescence efficiency in RIE nanowires in comparison to the layer counterpart, which can be interpreted as preferential etching of structural defects existing in layers. Indeed, the AFM investigations of GaN layers after different RIE exposure reveal an increased etching rate in the defect regions of pits observed in the layer. The increase of deep level density due to RIE with a specific balance of the blue and yellow luminescence during laser exposure is clearly put into evidence in PL spectra. The structural disorder and strain relaxation are revealed in Raman and PL spectra by broadening and red shift of the peaks, respectively. A post annealing treatment can reduce the RIE induced defects.
9:00 PM - BB20.15
Growth of TiO2 Nanostructure by Surface Reaction-Limited Pulsed Chemical Vapor Deposition.
Jian Shi 1 , Xudong Wang 1
1 Depatment of Materials Science and Engineering, University of Wisconsin-Madison, Madison, Wisconsin, United States
Show AbstractTiO2, due to its excellent solid-state physical-chemical properties, has demonstrated a wide range of applications in hydrogen production, lithium-ion batteries, fuel cells, gas sensors, detoxification, photovoltaics, photocatalysts, and supercapacitors. The one-dimensional (1D) morphology, such as a TiO2 nanowire (NW), is considered as a superior candidate for achieving higher performance in those applications compared to the bulk form. Nonetheless, a well-controlled growth of TiO2 NW is rather challenging due to the existence of multiple polymorphs and the thermodynamically unfavorable crystallography for anisotropic crystal growth, particularly with large aspect ratio. We report a surface reaction-limited pulsed CVD (SPCVD) technique that can grow highly uniform anatase and rutile TiO2 nanorods (NRs) over a large area, even inside highly confined submicrometer-sized spaces. In this technique, we mimic the ALD process using separated exposures of gaseous TiCl4 and H2O precursors at high temperature and extended pulsing and purging times. Critical growth parameters were investigated to study the surface reaction-limited process. We propose a mechanism for the anisotropic growth of anatase TiO2 based on SPCVD process, in which the (001) surface of TiO2 crystal is believed to be essential for the formation of NR morphology. Further control of growth conditions results in band gap reduction of TiO2 for water splitting purpose, in which large amount of Ti3+ is identified by X-ray photoelectron spectroscopy. Understandings established on this surface reaction-limited CVD of TiO2 NRs would eventually allow us to realize a NW-based 3D nanoarchitecture from a variety of functional materials for the applications of sensors, solar cells, catalysts, energy storage systems, and so forth.
9:00 PM - BB20.16
Vapor-Phase Sublimation Growth and Nano-Morphological Control of Te Nanowires.
Christopher Hawley 1 , Guannan Chen 1 , Brian Beatty 1 , Eric Gallo 1 , Jonathan Spanier 1
1 Materials Science & Engineering, Drexel University, Philadelphia, Pennsylvania, United States
Show AbstractElemental Tellurium (Te), a narrow bandgap p-type semiconducting metalloid, has great application promise as a functional material due to its intrinsically photoconductive and piezoresponsive characteristics, in addition to its thermoelectric, catalytic, and non-linear optical properties. Due to the highly anisotropic crystalline structure of Te, the system favors one-dimensional-like growth, making it an excellent subject for the study of nano-morphology. We report a vapor transport method used to synthesize a wide variety of nanostructured Te morphologies, ranging from large tapered nanowires and nanoribbons to aspect-ratio and orientation controlled nanowires. These single crystalline Te nanowires with tailorable dimension are grown by evaporating Te powder in an inert atmosphere. The average nanowire diameter is shown to be reproducibly tuned between 50 nm and 3 μm and has an associated length between 1 and 22 μm. Growth temperature and duration are used to elicit morphological control in this vapor-solid growth regime. The facile growth method represents a practical approach to synthesizing highly pure Te nanowires of various size. Work supported by ARO under W911NF-08-1-0067 and in part by NSF under DMR-0907381.
9:00 PM - BB20.17
Synthesis and Characterization of Silicon Nanowires Using Indium Catalyst.
Rakesh Kumar Rajaboina 1 , Narasimha Rao Kolli 1
1 Department of Intrumentation and Applied Physics, Indian Institute of Science, Banglore, Karnataka, India
Show AbstractOne – dimensional nanostructures such as nanowires (NWs) are promising building blocks for future nanoscale devices. The 1D nanostructure of semiconductors such as Si, Ge, GaAs, GaN have been grown by different PVD and CVD techniques. Among these, a special attention has given to silicon NWs, because of their potential applications in many fields such as nanophotovoltaics, chemical and biological sensors. One of the most common synthesis method used for the fabrication of Si NWs is the Vapour-Liquid-Solid (VLS) method first proposed by Wagner and Ellis. In this a metal catalyst droplet is alloyed and supersaturated with Si resulting in precipitation and an axial growth of nanowire beneath the droplet. The successful growth of Si NWs mainly relies on the use of gold (Au) as a catalyst. But it has several disadvantages namely Au is a deep level impurity in Si that significantly degrades the electronic properties and optical properties of semiconductors. It is for this reason that an alternative metal to gold has been investigated for the synthesis of silicon NWs. In the present work Si nanowires were synthesized using indium catalyst by simple electron beam evaporation technique. Indium catalyst was deposited by thermal evaporation in vacuum. Growth of nanowires carried out under high vacuum and low temperature (300°C). Grown nanowires were characterized by using SEM, TEM, RAMAN, and XPS. SEM studies showed that grown nanowires were randomly oriented with respect the substrate and had an average length of 600 nm. The TEM studies show that the grown nanowires were composed of pure silicon and crystalline in nature. Each nanowire capped with an indium nanoparticle and the growth temperature is well above the eutectic temperature, which confirms the VLS growth of nanowires.
9:00 PM - BB20.18
Structure and Optical Properties of m-Directional GaN Nanowires Grown by MOCVD.
Xiang Zhou 1 , Samuel Crawford 1 , Sung-Keun Lim 1 , Silvija Gradecak 1
1 , MIT, Cambridge, Massachusetts, United States
Show AbstractThanks to their superior structural quality and tunable band gap, gallium nitride (GaN) nanowires are promising candidates for a range of optoelectronic devices such as LEDs and lasers operating at wavelengths from UV to blue-green. Wurtzite GaN nanowires can be grown in both polar (c-) and non-polar (a- and m-) directions. Nanowires grown along a- and m- directions are of particular interest because these orientations would reduce or eliminate the quantum-confined Stark effect (QCSE), which impedes carrier recombination and adversely affects the optical properties. Previous theoretical work indicated a low energy penalty for the formation of stacking faults in GaN thin films and nanowires grown along non-polar directions; however, the effects of growth parameters on structural quality and the formation of stacking faults in GaN nanowires are still unknown. In this study, we investigate the structural and optical properties of m-directional GaN nanowires grown by metal organic vapor deposition (MOCVD), with an emphasis on the effects of metal seed particles(gold and nickel) on the structural and optical properties. We find that GaN nanowires grown from gold seed particles contain a high density of basal plane stacking faults, which propagate along the entire length of the wires. Nanowires grown using nickel, on the other hand, are found to have much lower stacking faults densities, or in most cases, are free from stacking faults at the same growth conditions as the gold-seeded wires. The stacking fault density with respect to seed particle type and nanowire diameter is investigated. Room temperature photoluminescence (PL) of Au-seeded GaN nanowire on the growth substrate shows an absence of defective yellow/green/blue bands frequently observed in thin films, but reveals a complex structure for band-edge emission that evolves with growth time, likely due to differences in stress in the nanowires at different lengths.
9:00 PM - BB20.19
Ge Nanotube Fabrication for High Power Li-Ion Battery Anode via Kirkendall Effect.
Mi-Hee Park 1 , Jaephil Cho 1
1 , UNIST, Ulsan Metropolitan City Korea (the Republic of)
Show AbstractMany studies have been devoted to the development of high capacity lithium alloy anodes using materials such as Si, Ge, Sn, and Sb to replace graphite (which has a reversible capacity of 372 mAh/g). Important requirements for useful alternative materials include not only a large reversible capacity but also a high coulombic efficiency, a low potential such as that offered by graphite, a good rate capability and a stable cycle life. But, they have showed poor cycle life caused by the electrical contact loss of active materials from the current collector due to large volume changes during de/alloying with lithium. To minimize such volume strain during charge and discharge, strategies for versatile morphology control using nanoparticles, nanowires, and nanotubes. Among those morphologies, nanotubes are considered to be attractive due to good electronic conduction along the length of each tube, short lithium ion diffusion distance, and high interfacial contact area with electrolytes.Here, we focused on the high-yield synthetic method of Ge nanotube which is the improved high-rate capabilities and cycle life. Among various approaches to minimize the mechanical stresses induced by volume change, the use of nanotubes (NTs) is proven to be most effective in accommodating the volume changes of electrode materials during cycling. Unfortunately, the approach for nanotubes by using anodic aluminum oxide (AAO) template has very low yield, produces nanotubes with non-uniform wall thickness, and is vulnerable to contamination by impurities involved in the reaction process. We studied a high-yield synthetic method for fabrication of ultra-long Ge-NTs from core-shell Ge-Sb nanowires (NWs) via the Kirkendall effect at 700'C. The Ge-NTs displayed exceptionally high rate capability, while maintaining a reversible capacity with minimal capacity fading when paired with a LiCoO2 cathode in a Li-ion cell.
9:00 PM - BB20.2
Finite Element Simulations of Current-Induced Filamentations in Nanocrystalline Silicon.
Sean Fischer 1 , Nicholas Williams 1 , Christian Osorio 1 , Helena Silva 1 , Ali Gokirmak 1
1 Electrical and Computer Engineering, University of Connecticut, Storrs, Connecticut, United States
Show AbstractCurrent percolation contributes significantly to electrical conduction in micro and nano-structures composed of composite materials or materials with randomly distributed defects. We have experimentally observed current-induced filaments on percolation paths
1 in nanocrystalline silicon microwires
2 which are subjected to micro/nanosecond voltage pulses.
3 These highly conductive filaments are a result of rapid self-heating and melting due to large current densities (~100 MA/cm
2), where the filament forms a completely molten path from contact to contact. In this study, we have used 2-D, finite element simulations in COMSOL multiphysics software to model the current induced filaments in these wires.
In our simulations, we approximate a nanocrystalline silicon wire as an amorphous silicon matrix with circular crystalline silicon (x-Si) regions. The x-Si circular regions are defined as single crystal silicon with 1 x 1019 cm-3 arsenic doping. We have used temperature dependent material parameters for heat capacity, thermal conductivity, and electrical conductivity for both crystalline and amorphous silicon. Phase transition from solid to liquid in amorphous and crystalline silicon is modeled as a ~1000 times increase in the heat capacity between 1687 and 1697 K to account for the latent heat of fusion. Heat diffusion and current density equations are solved self-consistently.
The circular x-Si regions are created using an algorithm that incrementally grows randomly distributed circles with a pre-defined minimum separation distance, and minimum and maximum radii. The fill factor, min/max radius, and separation distance are varied to produce a number of different x-Si distributions. Metal contacts are included at the ends of the wire and a load resistor is placed in series with the entire structure to limit the current during melting. In the simulations, the device is assumed to have a depth of 75 nm.
For each simulation, a 300 V, 0.5 ns voltage pulse is applied across the structure. As the voltage across the wire increases, a current filament forms through the nanocrystalline wire with maximum current densities in the order of ~100 MA/cm2. Temperatures in these regions reach the melting temperature of silicon (1687 K) and a molten filament forms across the device. The speed, width, number, origin, and magnitude of the current filaments and their dependence on wire composition and geometry will be presented.
References
[1] S. Kirkpatrick, "Percolation and conduction," Reviews of Modern Physics, vol. 45, pp. 574-588, 1973.
[2] G. Bakan, A. Cywar, C. Boztug, M. Akbulut, H. Silva and A. Gokirmak, "Annealing of nanocrystalline silicon micro-bridges with electrical stress," in Mater. Res. Soc. Symp. Proc. Fall 2008, 2009, pp. LL03-25.
[3] G. Bakan, A. Cywar, H. Silva and A. Gokirmak, "Melting and crystallization of nanocrystalline silicon microwires through rapid self-heating," Appl. Phys. Lett., vol. 94, pp. 251910, 2009.
9:00 PM - BB20.20
Fabrication of Semiconducting Polymer-Based Nanowires Having p/n Junction by Single Particle Nanofabrication Technique (SPNT).
Yuta Maeyoshi 1 , Atsushi Asano 1 , Hiromi Marui 1 , Masaaki Omichi 1 , Satoshi Tsukuda 2 , Masaki Sugimoto 3 , Akinori Saeki 1 , Shu Seki 1
1 , Department Applied Chemistry, Graduate School of Engineering, Osaka University, Suita, Osaka, Japan, 2 , Institute of Multidisciplinary Research for Advanced Materials, Tohoku University, Sendai, Miyagi, Japan, 3 , Takasaki Advanced Radiation Research Institute, Japan Atomic Energy Agency, Takasaki, Gunma, Japan
Show AbstractHigh energy particles penetrating into polymeric materials give their kinetic energy to a limited nm-sized spatial area along their trajectories, leading to insoluble nanogels (nanowires) via cross-linking of polymers. Nanowires are isolated on the substrate by the subsequent development procedures, and visualized clearly by an atomic force microscope.1 The methodology; Single Particle Nanofabrication Technique (SPNT) is applicable to miniaturization of a variety of polymeric materials. The size (length and radius) and number density of the nanowires can be perfectly controlled by changing mass and energy of the incident particles as well as the molecular weight of the target polymers.In the present paper, we demonstrate the direct formation of nanowires consisting of semiconducting polymers and fullerene derivatives by SPNT. Poly(9,9’-dioctylfluorene) (PFO), regioregular poly(3-hexylthiophene) (rrP3HT), and poly[2-methoxy-5-(2’-ethylhexyloxy)-1,4-phenylenevinylene] (MEH-PPV) caused efficient cross-linking reaction upon particle irradiation, resulting in the successful fabrication of the nanostructures by SPNT. The representatives of n-type small molecules: fullerene and [6, 6]-phenyl C61 butyric acid methyl ester (PCBM) were also found to give rod-like uniform nanowires with extremely small size distribution by SPNT. With an use of a bi-layer film consisting of PFO (upper) and PCBM (lower), nanowires with p/n heterojunctions were successfully produced by SPNT. Moreover, aiming at the improvement of the power conversion efficiency of organic photovoltaic cell (OPVs), we also fabricated bulk heterojunction OPVc based on nanowires of rrP3HT and PCBM as the active layer. Under the illumination of 100 mW/cm2 AM 1.5 G, the devices based on nanowires of rrP3HT and PCBM exhibited the power conversion efficiencies of 0.53 and 0.87%, respectively. Reference[1] Seki, S. et al. Adv. Mater. 2001, 13, 1663-1665.
9:00 PM - BB20.21
Synthesis of Si Nanowires by Electroless Etching Technique and Their Integration into I-III-VI2 Thin Films for Solar Cells.
Hakan Karaagac 1 , Saif Islam 1
1 , University of California, Davis, Davis, California, United States
Show AbstractIn this study, Si NWs were grown using electroless etching (EE) growth technique. Electroless etching was applied to n-type (100) Si wafer with resistivity around 10 Ω.cm. For etching, films were immersed in a solution, which is mixture of 4.6 M HF and 0.002 M AgNO3. The temperature of solution was kept constant at around 40 oC and the growth time was 4 min. The oxidation-reduction reactions took place in solution resulted in growth of Si NWs. After the production of n-Si NWs, AGIS thin films were deposited on n-Si NWs/n-Si Wafer substrate for the production of Si NWs based solar cell.The SEM micrographs have shown clearly that the length of Si NWs is around 1μm and the production of Si NWs with different lengths and radius is possible by changing processing time and solution temperature. The production of Si NWs was followed by deposition of AGIS thin film by electron beam deposition so as to construct the nanowires embedded in a thin film model based solar cell. In order to investigate rectifying behavior of devices, dark I-V measurements were carried out, which exhibited the typical characteristic of a p-n junction with rectification factor around 102 at 1 V. The photovoltaic properties of devices are characterized by a solar simulator with AM 1.5 illumination (100 mW/cm2). From the measurement of partially illuminated area of solar cell consisted of dot contacts with 1mm diameter, Voc, (open-circuit voltage) Jsc, (short-circuit current density) and fill factor (FF) of device were calculated to be 0.26 eV, 27 mA/cm2 and 86 %, respectively, corresponding to conversion efficiency of around 6 %. To our knowledge there is no any study reporting n-Si NWs embedded in a p-AGIS thin film based solar cell. Therefore, it is not possible to compare the obtained solar cell parameters.
9:00 PM - BB20.23
Au/Ag Bilayered Metal Mesh as a Si Etching Catalyst for Controlled Fabrication of Si Nanowires.
Jungkil Kim 1 2 , Young Heon Kim 1 , Woo Lee 1 2
1 , Korea Research Institute of Standards and Science (KRISS), Daejeon Korea (the Republic of), 2 Department of Nano Science, University of Science and Technology (UST), Daejeon Korea (the Republic of)
Show AbstractRecently, metal-assisted chemical etching (MaCE) of silicon substrates utilizing patterned thin metal film has gained a considerable attention as a versatile alternative to conventional vapor-liquid-solid (VLS) growth of silicon nanowires (SiNWs). In this process, the silicon that comes in contact with metal is selectively etched in an aqueous solution containing HF and an oxidant (typically, H2O2) to leave behind ordered arrays of aligned SiNWs, whose diameter and density are predetermined by the size and density of patterned holes in the metal film, respectively. Previously, silver has been popularly employed as a catalyst material because of its superior silicon etching performance over other metal catalysts (e.g., Au, Pt, Cu, or Fe). However, a patterned silver film undergoes dissolution-induced structural failure during silicon etching for an extended period of time or at an elevated etching temperature, which prevents preparation of uniformly aligned SiNWs with controlled diameter and density. With this presentation, we report a straightforward and readily accessible etching method for synthesizing extended arrays of SiNWs with controlled dimensions, overcoming the problems of conventional Ag-based etching processes. Our approach is based on MaCE of silicon wafers by using Au/Ag bilayered metal meshes with arrays of nanoholes that can conveniently be prepared from porous anodic aluminum oxide (AAO) membranes.[1-3] The upper Au layer in our Au/Ag bilayered metal mesh can effectively prevent undesired structural disintegration of the underlying Ag layer, still acting as a catalyst for H2O2 decomposition on its surface. By taking advantage of the unique tailoring capability of the pore size and pore density of metal mesh, we were able to achieve tight control over the diameter and density of SiNWs. We further show that arrays of SiNWs with different axial orientations and morphologies can be prepared from a silicon wafer of a given orientation by changing the etching parameters. In this presentation, the evolution of the morphology and axial crystal orientation of SiNWs during MaCE of silicon will also be discussed in terms of the etching kinetics.References1. J. Kim, H. Han, Y.H. Kim, S.-H. Choi, J.-C. Kim, and W. Lee, ACS Nano 5, 3222 (2011)2. W. Lee, R. Ji, U. Goesele, K. Nielsch, Nature Mater. 5, 741 (2006)3. Z. Huang, X. Zhang, M. Reiche, L. Liu, W. Lee, T. Shimizu, S. Senz, U. Goesele, Nano Lett. 8, 3046 (2008).Presenting author: Jungkil Kim, E-mail:
[email protected] author: Woo Lee, E-mail:
[email protected], Tel: +82-42-868-5397
9:00 PM - BB20.24
Highly Porous Silicon Nanowires for Lithium-Ion Battery Anodes with Excellent Capacity-Retention.
Jung-keun Yoo 1 , Jongsoon Kim 2 , Kisuk Kang 2 , Yeonsik Jung 1
1 MaterialsScience and Engineering, Korea Advanced Instituete of Science and Technology, Daejeon Korea (the Republic of), 2 MaterialsScience and Engineering, Seoul National University, Seoul Korea (the Republic of)
Show AbstractSilicon nanowires (SiNWs) with high porosity as an anode material for lithium rechargeable batteries were obtained by electrospinning process combined with a magnesiothermic reaction. Electrospinning is a relatively low-cost and high-throughput production method for various kinds of one-dimensional materials. Silica nanofibers were prepared by electrospinning the blend solution of polyvinylpyrrolidone (PVP) and tetraethylorthosilicate (TEOS), followed by the selective removal of PVP by air pyrolysis. Continuous silica nanowires with an average diameter of 400 nm were converted into SiNWs by magnesium reduction, retaining its own initial shape. Highly porous SiNWs can be obtained after consecutive washing processes with HCl and HF because pores with an average diameter of 40 nm are generated by the removal of unreacted silica and magnesium silicide. To minimize the formation of solid electrolyte interface (SEI) during the first discharge, we added vinylene carbonate, which are decomposed to carbon layer above 1.0 V in electrolyte so that an artificial protection layer can be formed on the electrodes. The mixture electrode material consisting of 70 wt.% SiNWs, 15 wt.% carbon black, and 15 wt.% polyvinylidene fluoride (PVDF) demonstrated an excellent first-charge capacity of 3450 mA h/g between 1.5 V and 1 mV in coin-type half-cells. Also it shows relatively high charge storage capacity (∼1200 mAh/g, four times of carbon) with ∼90% capacity retention over 30 cycles. This suggests that the one-dimensional porous SiNWs can withstand a significant degree of volume expansion resulting from the alloy formation between inserted Li and SiNWs. Very efficient strain relaxation may take place through the numerous pores in SiNWs. This new methodology offers the generation of hierarchical one-dimensional nanowires, contributing to enhanced electrochemical performances.
9:00 PM - BB20.25
Novel and Simple Process for Preparation of Copper Oxide Nanowires with Controlled Shape.
Min-Kyu Kang 1 2 , Jong-Woong Kim 1 , Min-Gi Kwak 1 , Seong-Jei Hong 1 , Ho Gyu Yoon 2 , Young-Seok Kim 1
1 Display Components and Materials Research Center, Korea Electronics Technology Institute, Seongnam Korea (the Republic of), 2 Department of Materials Science and Engineering, Korea University, Seoul Korea (the Republic of)
Show AbstractNanowires of metallic materials have drawn a lot of research interest because of their unique performances, especially in electrical and magnetic applications. In particular, copper oxide nanowires concerned as p-type semiconductor and anode material for Li ion batteries, because of their narrow band gap (2.0 eV) and high theoretical charge capacity. A number of methods have been used to fabricate the copper oxide nanowires, for example, chemical vapor deposition, laser vaporization, electrochemical techniques, hydrothermal treatment and the exfoliating method.Here we report a novel and simple process for preparation of copper oxide nanowires using nanoparticles. This method can be mass-produced and environment-friendly because it doesn’t generate hazardous wastes. The preparation of the copper oxide nanowires begin with the fabricating of copper nanoparticles using dry physical process such as inert gas condensation (IGC), followed by a storage in methanol with the mixing and ultrasonicating (5 min) for dispersion of individual nanoparticles. Copper oxide nanowires spontaneously grown in suspension as time passed. We found that the growth of the nanowires depends on the ratio of methanol to copper nanoparticles, dispersion time and temperature. The morphology and the composition of the copper oxide nanowires were characterized by a scanning electron microscopy and a transmission electron microscopy equipped with an energy dispersive spectrometer. The crystal structure and the phase analyses were performed with a powder X-ray diffractometer. We demonstrate that the ultralong copper oxide nanowire (15-20 nm in diameter, 2-10μm in length; aspect ratio >133- 500) composed of 2-5nm crystallites, embedded in an amorphous matrix could be successfully grown in a large enough quantity with high yield at ambient temperatures.
9:00 PM - BB20.26
Facile Fabrication of Lateral Nanowire Wrap-Gate Devices with Improved Performance.
Sajal Dhara 1 , Shamashis Sengupta 1 , Hari Solanki 1 , Arvind Maurya 1 , Arvind Pavan R. 1 , M. Gokhale 1 , Arnab Bhattacharya 1 , Mandar Deshmukh 1
1 Condensed Matter Physics & Materials Science, Tata Institute of Fundamental Research, Mumbai, Maharashtra, India
Show AbstractWe describe a very simple method of fabricating lateral wrap-gate nanowire devices, demonstrated using InAs nanowires. This generalized technique can be applied for nanowires of other materials as well. Our process uses e-beam lithography with a single resist-spinning step, and does not require chemical etching. We measure a high capacitive coupling and in the temperature range 1.5-250 K, a subthreshold slope of 5-54 mV/decade with mobility of 2800-2500 cm2 /V.s -- significantly larger than previously reported lateral wrap-gate devices. At depletion, the barrier height due to the gated region is proportional to applied wrap-gate voltage. This simple and general method of fabrication of wrap gate devices will be useful not only for device applications but also will be interesting for low temperature experiments where quantum effects are important. Wrap gated nanowires of materials like InAs which have a very high spin orbit interaction can provide platforms to study spin transport in presence of high tunable electric field that the wrap gate can provide.
9:00 PM - BB20.27
Synthesis of CdSe-ZnTe Coaxial Nanowire for Photovoltaic Application.
Kai Wang 1 , Kun Yao 1 , Baobao Cao 1 , Yanfa Yan 2 , Weilie Zhou 1
1 AMRI/Chemistry, Advanced Materials Research Institute/UNO, New Orleans, Louisiana, United States, 2 , National Energy Renewable Laboratory, Golden, Colorado, United States
Show AbstractThe interfacial quality of the core and shell plays a crucial role in determining the performance of nanoscale optronics based on one-dimensional coaxial nanostructures. Here, we report a synthesis and structural characterizations of vertically aligned lattice-matched CdSe-ZnTe coaxial nanowire array, as well as the photovoltaic effect of device fabricated based on the single nanocable. The coaxial nanowires were synthesized on muscovite mica by a two-step technique combining thermal evaporation and pulsed laser deposition/e-beam evaporation. The morphologies, structures, optical properties and the photovoltaic effect of the coaxial nanowire were systematically investigated through comprehensive techniques. These results demonstrate that the lattice-matched II-VI semiconductor combination with coaxial geometry is promising for achieving high-performance optronics in low dimensionality.
9:00 PM - BB20.28
Rational Growth of Nanowires and Nanotubes Driven by Screw Dislocations.
Fei Meng 1 , Stephen Morin 1 , Song Jin 1
1 Chemistry, University of Wisconsin Madison, Madison, Wisconsin, United States
Show AbstractNanowire (NW) and nanotube (NT) growth can be driven by axial screw dislocations which provide self-perpetuating steps to enable one-dimensional (1D) crystal growth. Only two conditions are required to promote the dislocation-driven growth: the presence of dislocation sources and low precursor supersaturation. Following our initial reports of lead sulfide (PbS) pine tree NWs and solution growth of zinc oxide (ZnO) NWs and NTs, we have generalized the dislocation-driven NW growth to a variety of different materials including iron oxides/hydroxides, cuprous oxide (Cu2O), and copper (Cu). We will discuss the rational design strategies to promote the dislocation-driven growth using chemical equilibria and a continuous flow reactor. Transmission electron microscopy was utilized to confirm the presence of dislocations and to determine the resulting lattice twist using twist contour analysis. Our work shows that with proper experimental design many more useful materials can be grown into 1D morphologies via the dislocation-driven mechanism. Since catalysts are not required and the synthesis can be easily conducted in low temperature aqueous solutions, dislocation-driven NW growth could eventually become a more versatile and inexpensive synthetic approach towards 1D nanomaterials.
9:00 PM - BB20.29
One-Step CVD Synthesis of a Boron Nitride Nanotube-Iron Composite.
Rajen Patel 1 , Zafar Iqbal 1
1 Materials Science, NJIT, Newark, New Jersey, United States
Show AbstractBoron nitride nanotubes (BNNTs) possess a number of advantages in comparison to carbon nanotubes (CNTs). They are more thermally robust in an oxiditive environment, they are nontoxic to human cells, and in some studies are shown to be more mechanically stable than CNTs. Therefore, use of them in applications where one would normally use CNTs could be desirable. For example, a mixture of BNNTs and iron could prove to have highly desirable properties. However, methods of making such a material were prohibitively difficult and expensive until now. A composite of BNNTs and iron has been synthesized using a novel one-step process and characterized by optical, electron microscope and mechanical measurement techniques. The BNNT-iron composite, the first of this type produced to the best of our knowledge, is shown to have up to 24% greater specific yield strengths from stress-strain measurements and HRC levels (hardness measurement) 50% higher relative to a control sample of pure iron. Scanning and transmission electron microscope imaging shows that the composite is comprised of a uniform nanoscale mixture of BNNTs bridging the metal particles.
9:00 PM - BB20.30
Measurement of Thermoelectric Power Factor of (BiSb)2Te3 Single Nanowire.
Seong Gi Jeon 1 , Jae Yong Song 2 3 , Ho Sun Shin 2 , Jinhee Kim 2 3 , Jin Yu 1
1 MS&E, Korea Advanced Institute of Science and Technology, Daejeon Korea (the Republic of), 2 , Korea Research Institute of Standards and Science, Daejeon Korea (the Republic of), 3 Dept. of Nanoscience, University of Science and Technology, Daejeon Korea (the Republic of)
Show AbstractOne dimensional nanowires have attracted much interest in fabricating various nanodevices. In the field of thermoelectrics, nanowires are favorable because the low thermal conductivity is expected by the phonon scattering due to the high surface-to-volume ratio and the thermoelectric power factor would be enhanced by the quantum confinement effects. In this study, we have investigated the thermoelectric power factor of (Bi1-xSbx)2Te3 that were electrochemically deposited into the anodic aluminum oxide templates. The composition of (Bi1-xSbx)2Te3 nanowires were varies by the electrolyte composition. Here, x was varied in the range of 1 to 0.5. The crystal structure and morphologies of (Bi1-xSbx)2Te3 nanowires were analyzed by SEM, XRD, and TEM. The Sb2Te3 nanowires had the rhombohedral crystal structure with the nanosized grains. With the incorporation of Bi atoms, the grain size increased and the crystal structure remained to be rhombohedral one. A single nanowire was placed on the MEMS device which was designed for the thermoelectric measurement. The Seebeck coefficients and electrical properties of the free-standing single nanowire of (Bi1-xSbx)2Te3 were measured in the temperature range of 4K to 298 K. The Seebeck coefficient of a single nanowire was about 160 μV/K at room temperature and the nanowires had p-type carriers. Using four-point probe measurement, the electrical resistivity of a single nanowire was measured to be about 0.03 ohm-cm at room temperature. The resistance-to-temperature curve showed the typical feature of semiconductor that the resistance increased with the temperature decreasing from 298 K to 4 K. The energy bandgap was estimated to be less than 0.1 eV.
9:00 PM - BB20.31
Epitaxial Lateral Overgrowth of GaN Epilayer on GaN Nanowires by MOCVD.
Bora Yeom 1 , Jae-Kwan Sim 1 , Yong-Ho Ra 1 , Ji-Hyeon Park 1 , Navamathavan Rangaswamy 1 , Cheul-Ro Lee 1
1 Semiconductor Materials Processing Laboratory, School of Advanced Materials Engineering, College of Engineering, Research Center for Advanced Materials Development (RCAMD), Chonbuk university, Jeonju Korea (the Republic of)
Show AbstractDue to large lattice mismatch between GaN and Si. They contain large density of extended defects, mainly threading dislocation which contributes to a poor internal quantum efficiency. Previously there have been two cases reported; one is a significant decrease in threading dislocation density in the case of laterally over-grown layer and another about the sample with the voids generated near around the epitaxial GaN/Si interface. Dislocations are known to terminate at a free surface like the void. In other words, higher density of free surfaces, proportionally larger number of voids formed. In this paper, we performed nanowire assisted epitaxial lateral overgrowth (NWELOG) to grow free-standing GaN epilayers by using metal organic chemical vapor deposition (MOCVD). This free standing GaN epilayers were grown by 4 step bridge type NWELOG, which has two main advantages. The first advantage is that the voids which are produced by growth of nanowires can decrease the threading dislocation remarkably, resulting in the conical shape of nanowires tips. Consequently, leading to the formation of bridge-shaped surfaces that facilitates the overgrowth of good coalesced GaN films. The second one is that the applicability to a current mass production through MOCVD. We also suggested the growth mechanism of free-standing GaN epilayers. The length of GaN epilayer was about 1 μm. Uniform and clear surface was formed in 4 step bridge type NWELOG method, compared to the conventional GaN epilayer on Si. The PL emission peak was observed at 366.4 and 362 nm in a free-standing and conventional GaN epilayer on Si(111), respectively. A comparison of the PL spectrum of free standing GaN epilayer with conventional GaN on the Si revealed no yellow-band emission. This result indicates that the defect density of GaN epilayer was significantly reduced for the samples grown by NWELOG compared to that of conventional GaN films.
9:00 PM - BB20.34
Segregation Behaviors and Radial Distribution of Dopant Atoms in Silicon Nanowires.
Naoki Fukata 1 , Shinya Ishida 2 , Shigeki Yokono 2 , Ryo Takiguchi 2 , Jun Chen 1 , Takashi Sekiguchi 1 , Kouichi Murakami 2
1 International Center for Materials Nanoarchitectonics (MANA), National Institute for Materials Sciences, Tsukuba Japan, 2 Institute of Applied Physics, University of Tsukuba, Tsukuba Japan
Show AbstractSilicon nanowires (SiNWs) are of great interest in the fields of both fundamental and application research. In order to realize nanoscale silicon devices using SiNWs, it is important to investigate the impurity doping. Especially, gaining an understanding the dynamic behaviors of dopant atoms in SiNWs is the key to achieving low-power and high-speed transistor devices using SiNWs. SiNWs were synthesized by laser ablation of a Si target with nickel as a metal catalyst and boron (B) and phosphorus (P) as dopants which were placed in a quartz tube heated at 1473 K in a flowing Ar gas. A frequency-doubled NdYAG laser (532 nm, 7ns pulse width, 10 Hz, 150 mJ/pulse) was used to ablate the targets. Micro-Raman scattering measurements were performed at room temperature with a 532-nm excitation light. Electron spin resonance (ESR) measurements were carried out at 4.2 K using an X-band ESR spectrometer to investigate the state of P donors in SiNWs. The segregation of B and P atoms in SiNWs during annealing in oxygen was detected by micro-Raman scattering and ESR. The results of Raman scattering measurements clearly showed a decrease in the B local vibrational peak and Fano broadening of the Si optical phonon peak due to thermal oxidation, indicating that the B atoms in SiNWs were segregated from the crystalline Si core of SiNWs to the surface oxide layer. The results of ESR measurements clearly showed a decrease in the ESR signal from conduction electrons, indicating the segregation of P from the crystalline Si core of SiNWs to the surface oxide layer. This fact was more clearly confirmed by the thermal oxidation behaviors of B and P in codoped SiNWs. These results indicate that B atoms preferentially segregate in the surface oxide layer, whereas P atoms tend to accumulate in the Si region around the interface of SiNWs. The radial distribution of B and P atoms in SiNWs also supported the difference segregation behaviors between of P and B atoms.[1] N. Fukata et al., APL. 89, 203109 (2006). [2] N. Fukata et al., APL. 90, 153117 (2007). [3] N. Fukata et al., APL. 93, 203106 (2008)., [4] N. Fukata, Adv Mater 21, 2829 (2009). [5] N. Fukata et al., Nano Lett 11, 651 (2011).
9:00 PM - BB20.35
Characterization of Bonding Structures and Electrical Activities of Dopant Atoms in Ge Nanowires.
Naoki Fukata 1 , Masanori Mitome 1 , Yoshio Bando 1 , Takashi Sekiguchi 1 , Melanie Kirkham 2 , Jung-il Hong 2 , Zhong Lin Wang 2 , Robert Snyder 2
1 International Center for Materials Nanoarchitectonics (MANA), National Institute for Materials Sciences, Tsukuba Japan, 2 School of Materials Science and Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States
Show AbstractGe nanowires (GeNWs) are considered to be a more desirable material for the next-generation metal-oxide semiconductor field-effect transistors (MOSFETs) since electron and hole mobilities in Ge are higher than those in Si, indicating potential performance gains compared to Si-based devices. Impurity doping is the most important technique to functionalize GeNWs. The crucial point is how the states of impurity atoms can be clarified in GeNWs. In order to realize it, we applied the same methods as the case of SiNWs [1].GeNWs were grown on a Si(111) substrate by chemical vapor deposition (CVD) using 10 sccm of GeH4 (100%). Doping with B and P was performed during the growth. Diborane (1% B2H6 in H2) was used for the p-type dopant and phosphine (1% PH3 in H2) for the n-type dopant. Gold nanocolloid particles were used as seeds for vapor-liquid-solid (VLS) growth of GeNWs. The chemical bonding states and electrical activity of boron (B) and phosphorus (P) atoms in germanium nanowires (GeNWs) are clarified by micro-Raman scattering measurements. The observation of B and P local vibarational peaks and the Fano effect clearly demonstrate that the B and P atoms are doped into the crystalline Ge core of GeNWs and electrically activated in the substitutional sites, resulting in the formation of p-type and n-type GeNWs [2]. This method can be a very useful technique for the characterization of semiconductor nanowire devices. The B-doped GeNWs showed an increasingly tapered structure with increasing B concentration. This is due to the surface doping during the growth. eNWs, resulting in smaller Fano broadening in n-type Ge than in p-type Ge. Position dependent Raman measurements clearly showed the surface doping effect for highly B-doped GeNWs. To avoid tapering and gain a uniform diameter along the growth direction of the GeNWs, a three step process was found to be useful, namely growth of GeNWs followed by B deposition and then annealing. [1] N. Fukata, Adv. Mater. 21, 2829 (2009). [2] N. Fukata et al., Nano Lett. 11, 651 (2011). [3] N. Fukata et al., ACS NANO 4, 3807-3816 (2010).
9:00 PM - BB20.36
Freestanding TiO2 Nanotubes for Dye Sensitized Solar Cells.
Jongmin Choi 1 , Taiho Park 1
1 , Postech, Pohang Korea (the Republic of)
Show AbstractDye-sensitized solar cells (DSSCs) are promising subjects which can substitute for silicon-based photovoltaics due to their low production cost and high power conversion efficiency. Conventional electron collecting layer (anode) of DSSCs is three-dimensional network of TiO2 nanoparticles. This nanoporous film provides a large surface area in which sufficient dye can be adsorbed to yield high light harvesting, while the electrons randomly diffuse through the disordered TiO2 film, undergoing numerous trapping and detrapping events before reaching the TCO layer, and this factor may limit device performance. To minimize random electron diffusion, ordered one-dimensional (1-D) arrays such as tube, rod or wire should be introduced to replace conventional systems as its one-dimensional channel for electron transportation can reduce charge recombination. Among a variety of synthetic strategies of TiO2 nanotubes, highly-ordered vertically-oriented TiO2 nanotube arrays can be fabricated by potentiostatic anodization method. Recently, Grimes et al. reported 6.89% of overall power conversion efficiency using TiO2 nanotubes arrays made by the anodization of a Ti-foil in a backside-illuminated DSSC. In backside illuminated condition, however, incident light is partially absorbed by the counter-electrode and the electrolytes. As a result, the power conversion efficiencies of this system are much lower than those of front-side illuminated condition. To overcome this problem, Grimes reported transparent TiO2 nanotubes on FTO glass made by sputtering method, but thickness of TiO2 film was limited. The most recent suggestion is to use freestanding TiO2 nanotubes (FTNT, detach TiO2 nanotubes which grown on Ti foil) in DSSCs. This method is able to overcome thickness problem, but obtaining FTNT without crack followed by transferring to the substract and optimized device condition remains as a challenge. In this presentation, we report freestanding TiO2 nanotubes membrane by various methods such as acid treatment, sonication and multi step anodization. From these techniques, we can expect higher power conversion efficiency of the device.
9:00 PM - BB20.37
Radial p-n Junction Nanowires for Photovoltaic Applications.
Anna Dalmau Mallorqui 1 , Olivier Demichel 1 , Pierre Blanc 1 , Eleonora Russo-Averchi 1 , Carlo Colombo 1 , Anna Fontcuberta i Morral 1
1 STI-IMX-LMSC, EPFL, Lausanne Switzerland
Show AbstractSemiconductor nanowires are considered good candidates to be integrated as active photovoltaic components thanks to the many advantages that they bring to the devices. By exploiting core-shell radial p-n junction designs carrier extraction can be achieved efficiently thanks to the short collection lengths while simultaneously obtaining high optical absorption. This work reports on nanowire based solar cells at both single nanowire and array level. GaAs p-i-n radial junctions are grown via a catalyst-free process by Molecular Beam Epitaxy (MBE). Advantages of this synthesis technique are to achieve excellent crystalline quality and to avoid the use of gold as catalyst, which can degrade opto-electronic properties introducing deep level traps. In order to investigate their electrical properties, single core-shell nanowires are dispersed on a silicon substrate covered by a silicon dioxide layer. The contact to the p-core is realized by electron beam lithography (EBL) followed by a wet etching step to remove the n-doped shell. While the contact to the n-doped side is done in a following EBL step. Measurements performed under one solar equivalent (1-sun) illumination yielded to photocurrent densities of about 200 up to 340 A/m2 when increasing the intrinsic layer thickness and efficiencies of 6-7%. This is efficiency is translated to about 11-13% when taking into account the coupling of the light with the nanowire. More information about the functioning of these nanowires p-i-n junctions were obtained by spectral response measurements. The intrinsic region contributes to carrier separation, yielding a higher EQE. Finally we present our methodology to contact vertical nanowire arrays. For this, a top-down method based on a combination of optical lithography and reactive ion etching on a Si wafer was conceived. In this way, parasitic effects such as series and parallel resistances due to device fabrication, can be evaluated in detail. Different wire diameters and length and array configurations have been measured, obtaining an efficiency of up to 9.2%. Also, an analysis of the light absorption over a wide range of incidence angles are carried out for several configurations by means of spectral response measurements.
9:00 PM - BB20.38
Low Threshold Room Temperature Lasing of Single CdS Nanowires.
Andreas Thielmann 1 , Sebastian Geburt 1 , Christian Borschel 1 , Julian Kuehnel 1 , Michael Kozlik 1 , Amanda McDonnell 1 , Kristen Sunter 2 , Federico Capasso 2 , Carsten Ronning 1
1 Institute for Solid State Physics, University of Jena, Jena Germany, 2 School of Engineering and Applied Sciences, Harvard University, Cambridge, Massachusetts, United States
Show AbstractSemiconductor nanowires offer key features for future optoelectronic applications, such as localised light generation (LED), wave guiding and light amplification (nanolaser), which are in demand for applications in miniaturised optical sensing, communication and processing. CdS as a direct semiconductor with a band gap of 2.4 eV is a favourable source material for VLS grown nanowires. Straight and long nanowires with diameters between 50-500 nm and lengths of several tens of microns were synthesized with excellent crystal quality as determined by TEM. The morphological properties were correlated to the optical emission by photoluminescence (PL) and cathodoluminescence (CL). MicroPL measurements on single nanowires show a linear increase in the near band edge emission intensity with excitation power followed by a super linear increase, which indicates amplified spontaneous emission. At higher excitation, sharp peaks corresponding to modes of the nanowire cavity emerge in the spectrum, which increase linearly with the excitation power, giving rise to laser action in the nanowire. The low threshold of 10 kW/cm2 at room temperature is more than one order of magnitude lower than the value for comparable ZnO nanolasers. The lasing modes show a very good agreement with the mode spacing of a Fabry-Pérot resonator. The measured optical power versus pump power can be fitted with a model developed for multimode laser resonators. The length and diameter relation necessary for CdS nanowire lasing were evaluated and compared to previous results for ZnO.
9:00 PM - BB20.39
Characterization of Pulsed Electrodeposited Single-Crystalline Bi2Te3 Nanowires.
William Toellner 1 , Nicola Peranio 2 , Eva Leister 1 , Tim Boehnert 1 , Jan Gluschke 1 , Oliver Eibl 2 , Kornelius Nielsch 1
1 Institute of Applied Physics, University of Hamburg, Hamburg Germany, 2 Institute of Applied Physics, Eberhard Karls University Tübingen, Tübingen Germany
Show AbstractThermoelectric Bi2Te3 nanowires with different diameters were synthesized by electrochemical deposition in ordered nanoporous alumina membranes, prepared by two-step anodization in oxalic and phosphoric acid. The fabricated wires exhibited diameters of 50-80 nm and 200-300 nm, respectively. The electrolyte and the deposition parameters (e.g. ion-concentrations, deposition potentials, pulse durations) were optimized for the deposition in oxalic acid membranes to yield single-crystalline and almost stochiometric nanowires with uniform growth fronts within the template. By potential-pulsed electrodeposition, we were able to produce 70 nm wires with lengths of up to 56 µm and length deviations less than 10%. The stochiometric composition was confirmed via high-accuracy, bulk-calibrated energy-dispersive X-ray spectroscopy in a transmission electron microscope (TEM). The wires had an average tellurium content of 62.2 at. %, being about 1 at. % higher for the thicker wires (80 nm) than for the thinner ones (50 nm). Wires were single-crystalline over segments several micrometers long, which was mapped by dark-field imaging. X-ray and electron diffraction, as well as high-resolution TEM proved the c axis being perpendicular to the wire axis and revealed growth along the [110] and [210] directions. This allows for transport along the basal plane. Power-factor measurements were performed by fabricating a micro-setup via laser lithography on individual wires. This setup, comprising a heater and two thermometer stripes, allows us to apply a temperature-gradient along the wire axis and measure the corresponding Seebeck voltage as well as the electrical conductivity.The authors gratefully acknowledge financial support by the priority programme 1386 "Nanostructured Thermoelectric Materials: Theory, Model Systems and Controlled Synthesis" funded by the German Research Society DFG.
9:00 PM - BB20.4
Fabrication of Strontium Titanate Nanofibers for Hydrogen Production.
Lea Macaraig 1 , Surawut Chuangchote 1 , Takashi Sagawa 1 , Susumu Yoshikawa 1
1 Institute of Advanced Energy, Kyoto University, Uji City, Kyoto, Japan
Show AbstractEnergy production through the photocatalysis of water-splitting to form hydrogen is very promising due to its lack of harmful by-products, production of a portable form of energy, and the use of sunlight, a very available energy source. This pseudo-photosynthetic process involves the generation of an electron-hole pair upon the absorption of light by the catalytic materials that would then be used for the reduction-oxidation reaction of water to form hydrogen and oxygen gases. The use of certain nanostructured metal oxide semiconductors is prominent because of their large surface areas that may facilitate the rapid separation of the photogenerated electron-hole pair, their wavelength response, and their photocorrosion stability. Strontium titanate (SrTiO3), is one of these promising metal oxide semiconductors because of its capacity for metal doping that could allow for the increase of its photoconversion activity in the visible light region. In this work, SrTiO3 nanofibers were fabricated by the hydrothermal treatment of amorphous titanium dioxide nanofibers electrospun from the mixture of polyvinylpyrrolidone (PVP), titanium(IV) butoxide, and acetylacetone. This hydrothermal treatment involved the reaction of the amorphous titanium dioxide (TiO2) nanofiber template with strontium hydroxide octahydrated (Sr(OH)2●8H2O) for fifteen hours at 120 °C. The product of this reaction was then calcined to form crystalline SrTiO3 nanofibers which were then characterized and tested for photocatalytic activity through water splitting. The hydrogen production of the fabricated SrTiO3 nanofibers was found to be 6.1 μmol●hr-1●g-1 catalyst, which is twice that of commercial SrTiO3 nanoparticles (3.0 μmol●hr-1●g-1 catalyst). Increases in hydrogen production were found with the loading of various metal co-catalysts. The important characterizations and photocatalytic results will be presented at the meeting.
9:00 PM - BB20.40
Pathway of Porous Silicon Formation inside Si Nanowires Throughout Metal Assisted Etching.
Alexander Tonkikh 1 , Nadine Geyer 1 , Peter Werner 1 , Bodo Fuhrmann 2 , Hartmut Leipner 2
1 Exp.Dept.II, Max Planck Institute of Microstructure Physics, Halle Germany, 2 , Interdisciplinary Center of Materials Science, Martin Luther University, Halle, Sachsen-Anhalt, Germany
Show AbstractSi nanowires are considered as promising structures for microelectronic and thermoelectric applications. The use of “top-down” approach based on different etching techniques allows fabrication of Si nanowire arrays with pre-defined properties. Additional degree of freedom in designing of functional devices based on Si nanowires is expected, if thin insertions of another material are embedded in them. We report on the controlled fabrication of Si/porous Si heterojunctions in Si nanowires by metal-assisted chemical wet etching. Our “top-down” approach uses different methods like colloidal lithography or reactive ion etching through anodized aluminum oxide membranes to cover the substrate with a patterned metal film. With this method, arrays of nanowires with hexagonal symmetry and adjustable diameters in sub micrometer range can be fabricated. In order to fabricate porous layers of different thicknesses, Si substrates, before they immersed to the etching processing, are treated by the molecular beam epitaxy. The goal of this treatment is to get the alternating layers of semiconducting/metallic Si using selective doping by Boron. Finally, throughout the chemical etching, layers of metallic Si will serve as self catalysts for porous silicon formation. The physical reason of the porous silicon formation in this specific case will be discussed. Our conclusions will be supported by different characterization methods. The morphology, the inner structure and the chemical composition of these heterostructures are investigated by scanning electron microscopy, transmission electron microscopy and energy dispersion x-ray spectroscopy. Optical and electrical properties of these Si nanowires containing porous layers will be reported as well.
9:00 PM - BB20.41
Ultra-Long Photoconductive Nanowire Arrays.
Mehmet Bayindir 1 2 , Mecit Yaman 1 , Mehmet Kanik 1 , Erol Ozgur 1 , Tural Khudiyev 1 , Ozan Aktas 1 2
1 UNAM, Bilkent University, Ankara Turkey, 2 Physics, Bilkent University, Ankara Turkey
Show AbstractA novel high-throughput fabrication scheme is demonstrated which is essentially a size reduction technique [1]. Controlled thermal size reduction is repeated iteratively until a macroscopic rod is scaled down to nano sizes radially while elongated axially. In this way a variety of semiconducting, piezoelectric and polymer nanowires and tubes can be produced. Millions of ordered indefinetely long nanowires, nanotubes, and one dimensional core-shell structures are obtained in a protective polymer. The nanostructures are aligned and ready to be connected to large scale systems through the facets of the fiber in order to use their nano features, such as photoconductivity or phase change properties. But the use of the structures is not confined to electronics, thsese nanowires can be used in large area photonics applications as non-pigment colors [2], in next generation solar cells to improve efficiency as effective light concentrators too.[1] M. Yaman, T. Khudiyev, E. Ozgur, M. Kanik, O. Aktas, E. O. Ozgur, H. Deniz, E. Korkut, Mehmet Bayindir, Arrays of indefinitely-long, uniform nanowires and nanotubes, Nature Materials, volume 10, doi:10.1038/nmat3038 (2011).[2] T. Khudiyev, E. Ozgur, M. Yaman, Mehmet Bayindir, Structural Coloring in Large Scale Core-Shell Nanowires, Nano Letters, Submitted (2011).
9:00 PM - BB20.44
ZnO Nanorod Arrays for Enhanced Light Output of LEDs and Antireflection of Solar Cells.
Sung Jin An 1
1 Department of Information & Nano Materials Engineering, Kumoh National Institute of Technology, Gumi Korea (the Republic of)
Show AbstractRecently tremendous efforts have been made to fabricate high efficiency light emitting diodes (LEDs) and solar cell. The internal quantum efficiency of GaN LEDs, affecting GaN LED brightness, typically exceeds 70 %, much higher than those of conventional light sources of light bulbs, incandescent electric lamp, and fluorescent lamp,10-25 %. Nevertheless, the total light output efficiency of the LEDs is reduced down to only a few percent by low light extraction efficiency (LEE) due to total internal reflection of the light generated in the active layers of the LEDs. Accordingly, intense research has focused on increasing the LEE of photons generated in the active layer of LEDs. In particular, several methods including LED dies, flip-chip LEDs, surface texturing, and two-dimensional photonic crystals have been introduced to increase the LEE and in overall the LED efficiency. Also, the reflectivity of crystalline silicon (Si) is enhanced by up to 40–50% at long optical wavelengths compared to the visual range. Hence, to improve the performance of solar cells, reflection losses must be reduced. However, the methods need complex and expensive processes or may lead to surface damage. Here, we report that light output and antireflection performance can be significantly improved by growing vertically aligned semiconductor nanorod arrays on a top layer of GaN LEDs and solar cell.
9:00 PM - BB20.45
Direct Observation of the Microstructural Evolution in Lithiated Silicon Nanowires.
Eric Epstein 1 , Khim Karki 1 , John Cumings 1
1 Materials Science & Engineering, University of Maryland, College Park, College Park, Maryland, United States
Show AbstractSilicon, which possesses the highest theoretical lithium charge capacity of any known material, has recently emerged as an auspicious candidate to replace graphitic electrodes in Li-ion battery cells. Partly due to its extraordinarily high capacity, Si expands up to 300% of its original volume during initial charge. Unfortunately, bulk Si fractures in response to such momentous strains, thereby destroying the Si electrode. Recent experiments have demonstrated that silicon nanowires, as well as other Si nanostructures, may be capable of withstanding the massive strains generated during Li intercalation; nonetheless, Si nanowire electrodes still experience major irreversible capacity losses within just a few operating cycles. The key to achieving a commercially applicable Si electrode is to understand the Li-Si alloying and mechanical deformation processes as they occur at the nanoscale. To do this, we lithiated individual Si nanowires and characterized them in situ inside a transmission electron microscope (TEM). High resolution transmission electron microscopy (HRTEM) reveals that during lithiation, inhomogeneous plastic flow is induced as the Li-Si reaction propagates down the nanowire. This lithium-induced stress accumulation and subsequent relaxation is accompanied by a two-step alloying process that does not adhere to bulk thermodynamic predictions: during lithiation, Si and Li form an amorphous LixSi alloy, which then transforms into a fully lithiated, polycrystalline Li15Si4 phase. Some studies suggest that during room temperature lithiation, Li22Si5 is the fully lithiated phase for nanostructured silicon (max theoretical capacity 4200 mAh/g). In our studies, we confirm by detailed diffraction analysis that the fully lithiated phase in Si nanowires is Li15Si4, corresponding to a maximum theoretical capacity of 3579 mAh/g.
9:00 PM - BB20.46
Pyrite Nanowires for High Performance and Inexpensive Solar Energy Conversion Devices.
Miguel Caban-Acevedo 1 , Matthew Faber 1 , Song Jin 1
1 Chemistry, University of Wisconsin-Madison, Madsion, Wisconsin, United States
Show Abstract The advancement of solar photovoltaic (PV) or photoelectrochemical (PEC) technologies will require developing highly efficient devices, but also dramatically reducing cost. In this regard, pyrite (FeS2), an earth-abundant non-toxic semiconductor (band gap of 0.95 eV) is a promising material for solar-energy conversion. Despite its attractive properties, the conversion efficiency of pyrite-based PV cells has been very low (< 3%) and significant material challenges remain before pyrite can become a useful solar material. Preparing pyrite in one-dimensional nanowires (NWs) morphology could maximize the tolerance to lower quality materials compared to planar geometry and enhance the solar conversion efficiency. Herein, we report the synthesis and characterization of FeS2 single crystalline NWs. A method was discovered to grow FeS2 NWs via thermal sulfidation of iron and low carbon steel and dependence of NW growth on reaction conditions has been extensively studied. The composition of the as-synthesized NWs and the substrates has been studied by X-ray diffraction (XRD) and Raman spectroscopy and the NWs have been characterized by transmission electron microscopy (TEM) and electron diffraction (ED), where evidence for pyrite NWs has been obtained. We will also report the preliminary investigations of photoconductivity and electrical measurements on single NW devices and surface photovoltage measurements on the as-synthesized NW substrates for the feasibility in solar conversion devices.
9:00 PM - BB20.47
A Systematic Study of Metal-Assisted Chemical Etching Parameters for Well-Ordered Silicon Nanowire Array Fabrication.
Arif Alagoz 1 , Tansel Karabacak 1
1 Applied Science, University of Arkansas at Little Rock, Little Rock, Arkansas, United States
Show AbstractMetal-assisted chemical etching is a simple and low-cost silicon nanowire fabrication method which allows control of nanowire diameter, length, shape and orientation. In this work, a systematic study of etching parameters for well-ordered silicon nanowire array fabrication is investigated. Nanosphere lithography is used to fabricate well-ordered honeycomb thin film gold layer and silicon nanowires are obtained pattern transfer of this layer to crystalline silicon wafer by anisotropic etching. Relation between nanowire morphology and starting wafer crystal orientation, etching time, etching solution concentration and temperature are determined. This well-ordered silicon nanowires arrays have the potential applications in many fields but especially next generation energy related applications from solar cells to lithium-ion batteries
9:00 PM - BB20.48
InP Nanowire Light Emitting Diodes.
Satoshi Maeda 1 , Katsuhiro Tomioka 2 3 , Shinjiroh Hara 1 3 , Junichi Motohisa 1
1 Graduate School of Information Science and Technology, Hokkaido University, Sapporo, Hokkaido, Japan, 2 JST-PRESTO, Japan Science and Technology Agency, Kawaguchi, Saitama, Japan, 3 Research Center for Integrated Quantum Electronics, Hokkaido University, Sapporo, Hokkaido, Japan
Show AbstractSemiconductor nanowires are attracting recent interest as a promising candidate for building blocks of nanoelectronics and nanophotonics. Especially, InP-based NWs [1,2] are promising for the application of photonic devices because they have direct band gap in near infrared region. InP NWs containing InAsP quantum dots and their single photon emission [3] have demonstrated, thus they are thought to be a candidate for single photon emitters operating in the optical fiber telecommunication band. Here, we report on the fabrication and characterization of light-emitting-diodes (LEDs) using InP NW arrays formed by selective-area metalorganic vapor phase epitaxy (SA-MOVPE).For fabrication, we first grew InP NWs with p-n junction in the axial direction on a p-type InP (111)A substrate by SA-MOVPE. The growth condition for InP NWs follows Ref. [1]. The NW arrays were formed within 100 μm by 100 μm regions and arrays with different diameter d and pitch a were formed in each regions. After the growth, the space between NWs was filled with polymer-resin (benzocyclobutene, BCB) as a transparent electrical insulator by spin coating. The overlaid excess resin was removed by reactive ion etching. Next, a transparent indium tin oxide (ITO) film electrode was first sputtered onto NWs array and patterned by using photolithography and wet etching by HCl. Finally, the backside electrode on the substrate was formed by alloyed Au-Zn. Photoluminescence (PL) and electroluminescence (EL) measurement was carried out at room temperature. Room temperature PL exhibited peak at 1.43 eV, which is larger than band gap energy of zincblende InP and suggests the formation of wurtzite (WZ) InP NWs. We observed clear rectifying characteristics with negligible forward bias leakage in current-voltage (I-V) characteristics for most of the fabricated device in a single chip, and reasonably uniform EL in 100 μm by 100 μm regions. The turn-on voltage was about 1.4 V, which is consistent with the band gap of WZ-InP. EL peak was located at 857 nm (~1.45eV), and the peak position shows no shift up to I~20mA. Furthermore, current-light output (I-L) is fairly linear. Detailed analysis of I-V characteristics showed ideality factor n of the diode was about 7.3, which is higher than compound III-V semiconductor planar LEDs [4], and a series resistance of about 61Ω. Furthermore, we could observe EL from individual NWs in their array with a=3 μm, which shows a possibility of electrical and optical access to a single NW and feasibility for NW-based single photon emitters.[1] P. Mohan et al, Nanotechnology, 16, 2903 (2005).[2] Y. Kitauchi et al., Nano Lett. 10, 1699 (2010).[3] S. N. Dorenbos et al., Appl. Phys. Lett. 97 171106 (2010).[4] E. F. Schubert, Light-Emitting Diodes, 2nd ed. (Cambridge University Press, Cambridge, UK, 2006).
9:00 PM - BB20.49
Scanning Gate Microcopy of GaN and GaN Alloys 1D Nanowire Quantum Dots.
Gary Harris 1 , Josh Halpern 2 , Robert Westervelt 3 , Remu Scott 2 , Halvar Trodahl 3 , James Griffin 1
1 HNF, Howard University, Washington, District of Columbia, United States, 2 Chemistry, Howard University, Washington, District of Columbia, United States, 3 Physics, Harvard University, Cambridge, Massachusetts, United States
Show AbstractOne-dimensional (1D) nanowires quantum dots provide ideal systems to probe the quantum behavior of electrons. We study long, thin quantum dots (length ∼1000nm, diameter ∼30-60nm) in a GaN and GaN alloys with Mn and Mg nanowires heterostructure. They provide an interesting system -. In most cases nanowires diameter is less than the Bohr radius, making nanowires dots 1D for modest electron numbers. We will use a liquid-He cooled scanning gate microscope system to image the nanoconductance with the tip. The conducting SGM tip creates a movable gate to probe the system. We present conductance images of long dots, which use Coulomb blockade to probe the potential profile of the nanowires system and the effects of the metal/semiconductor contacts of CrAu all on a silicon wafer with a SiO2 oxide of 1000Å.
9:00 PM - BB20.5
Tuning GaAs Nanowire Light Absorption Resonances by Metal Nanoparticles.
Carlo Colombo 1 , Peter Krogstrup 2 , Jesper Nygard 2 , Mark Brongersma 3 , Anna Fontcuberta i Morral 1
1 , Laboratoire des Matériaux Semiconducteurs, Ecole Polytechnique Fédérale de Lausanne (EPFL), Lausanne Switzerland, 2 , Nano-Science Center, Niels Bohr Institute, Copenhagen Denmark, 3 , Geballe Laboratory of Advanced Materials, Stanford University, Stanford, California, United States
Show AbstractThe optical properties of semiconductor nanowires (NWs) are currently attracting strong attention for the potential application in a variety of opto-electronic devices, such as switches, antennas, photo-detectors and solar cells[1]. Fascinating is the capability of efficiently collecting and trapping the light into a sub-wavelength region due to the occurrence of leaky mode resonances[2]. The same confinement ability is manifested by metal nanostructures thanks to the excitation of surface plasmons. Exploiting this effect, several high-performance plasmonic devices have already been successfully demonstrated. Here we present a detailed study of the coupling of these two resonant mechanisms[3]. In particular we show how the leaky modes of Gallium Arsenide radial p-i-n junctions are modified by the presence of metal nanoparticles located on their side facets. Experimentally the resonances are probed measuring the spectral dependence of the short circuit current across the junction. The results are interpreted with finite-difference frequency-domain simulation of the absorption efficiency. The coupling is analyzed as a function of nanowire diameter and exact location of the metal particle. These findings open new degrees of freedom in the nanowire light absorption engineering. [1] C. Colombo, M. Heiβ, M. Graetzel, A. Fontcuberta i Morral, Appl. Phys. Lett. 94, 173108 (2009)[2] L. Cao, J.S. White, J. Park, J.A. Schuller, B. M. Clemens and M.L. Brongersma, Nat. Mat., Vol. 8, (2009)[3] C. Colombo, P. Krogstrup, J. Nygård, M. L. Brongersma and A. Fontcuberta i Morral1, ASAP
9:00 PM - BB20.50
Characteristics of Coaxial InxGa1-xN/GaN Nanowires by Pulsed MOCVD with the Variation of Growth Temperature.
Ji-Hyeon Park 1 , Yong-Ho Ra 1 , Bo-Ra Yeom 1 , Cheul-Ro Lee 1 , Jae-Kwan Sim 1 , Navamathavan Rangaswamy 1
1 Chonbuk National University School of Advanced Materials Engineering Semicon, Chonbuk National University, Jeonju Korea (the Republic of)
Show AbstractWe report on the growth of coaxial InxGa1-xN/GaN nanowires (NWs) on Si(111) substrates using pulsed flow metal organic chemical vapor deposition (MOCVD) technique. To grow coaxial NWs structure, we adapted two step growth procedures which include the growth of core GaN NWs at higher growth temperature followed by outer shell InGaN growth at lower growth temperature. Very smooth surface morphology of InGaN/GaN NWs without any nanoparticles was obtained, the core-shell NWs surface was very clear. Dense and well-oriented coaxial InxGa1-xN/GaN NWs were on Si(111) with an average diameter and length of 300±50 nm and 1.5 – 2.0 μm, respectively. The coaxial NWs were characterized by using field emission scanning electron microscopy (FE-SEM), photoluminescence (PL) and cathodoluminescence (CL) spectroscopy. The coaxial InxGa1-xN/GaN NW morphology was further confirmed by the CL mapping and high-resolution transmission electron microscopy (HR-TEM) analyses. It is proposed that the critical dissociation temperature of InxGa1-xN can lead to clear formation of the outer-shell in the coaxial InxGa1-xN/GaN NWs. These results demonstrated that the coaxial InxGa1-xN/GaN NWs on Si(111) excellent characteristics is most essential in order to apply it for electronic and optoelectronic applications.
9:00 PM - BB20.51
Nanowire Conversion by Low-Temperature, Ionic Diffusion-Driven, Solid-State Reactions.
Zachary Simpson 1 , Matthew Mayer 1 , Dunwei Wang 1
1 Department of Chemistry, Boston College, Chestnut Hill, Massachusetts, United States
Show AbstractUsing vertically-aligned copper sulfide nanowires (Cu2S NWs) as both physical templates and a chemical source, unique heteronanostructures were synthesized by solid-state conversion reactions at low temperatures. At only 105 °C (significantly lower than the 500-2000 °C range typical for solid-state reactions), segmented nanowires and rod-in-a-tube (RIT) structures were produced. Cu2S NWs, grown by a cation-vacancy-diffusion model at room temperature, were initially promising candidates for photovoltaic applications, but the high diffusivity of Cu+ proved to be problematic for the long-term stability of Cu2S/CdS solar cells. This high diffusivity has been exploited to synthesize novel nanostructures by solid-state conversion reactions. By varying the relative diffusion rates between Cu+ and a metal that coats the Cu2S wires, the morphology can be varied. In the case where the outer metal diffusivity is faster or roughly equivalent to that of Cu+, incorporation and subsequent phase segregation occur; in instances where Cu+ diffuses more quickly than the metal coating, the RIT morphology forms via a Kirkendall-like mechanism. These low-temperature, solid-state conversion reactions show promise as a possible route for synthesizing vertically-aligned nanostructures with more complicated compositions, such as Cu2ZnSnS4.
9:00 PM - BB20.53
Tailor-Made MS2 Nanomaterials (M = Nb, W).
Faegheh Hoshyargar 1 , Jugal Kishore Sahoo 1 , Muhammad Nawaz Tahir 1 , Aswani Yella 1 , Robert Branscheid 2 , Ute Kolb 2 , Martin Panthoefer 1 , Wolfgang Tremel 1
1 Institute of Inorganic and Analytical Chemistry, Johannes Gutenberg University Mainz, Mainz Germany, 2 Institute of Physical Chemistry, Johannes Gutenberg University Mainz, Mainz Germany
Show Abstract At the nanoscale, lamellar transition-metal chalcogenides may exhibit diverse morphologies such as fullerenes, nanotubes and even single- or few-layers thick graphene-like sheets. Chalcogenide nanomaterials have demonstrated prospects for future applications such as solid lubricants, rechargeable batteries, shock-resistant materials, electronics or catalysis, and are considered promising materials for 21st century technology. Key to a reproducible synthesis of such a broad spectrum of nanomaterials is the proper choice of starting materials and their morphology. Recently we have developed new synthetic approaches from particular precursors towards new MS2-nanomaterials like MoS2-nanotube bundles [1], inorganic fullerenes and giant bubbles of WS2, WS2 nanorectangulars with 90-degree kinks, high aspect ratio WS2 nanotubes, Nb0.33W0.66S2 nano-coin-rolls [2] and solvated graphene-type sheets exfoliated from these. We will discuss these new MS2-nanomaterials, their properties, their structure and morphology relations according to the precursors and approaches towards their functionalization with noble metals, oxidic semiconductors and magnetic oxides [3,4]. [1] F. Hoshyargar, A. Yella, M. Panthöfer, W. Tremel, Chem. Mater. 2011, submitted.[2] A. Yella, E. Mugnaioli, M. Panthöfer, U. Kolb, W. Tremel, Angew. Chem. Int. Ed. 2010, 49, 3301-3305.[3] M. N. Tahir A. Yella, H. A. Therese, E. Mugnaioli, M. Panthöfer, H. U. Khan, W. Knoll, U.Kolb, W. Tremel, Chem. Mater. 2009, 21, 5382-5387.[4] J. K. Sahoo, M. N. Tahir, A. Yella, T. D. Schladt, E. Mugnaoli, U. Kolb, W. Tremel, Angew. Chem. Int. Ed. 2010, 49, 7578 –7582.
9:00 PM - BB20.54
Characterization of Magnetic Transformation at Curie Temperature in Finemet-Type Microwires by DSC.
Margarita Churyukanova 1 , Sergey Kaloshkin 1 , Victor Tcherdyntsev 1
1 , NUST "MISIS", Moscow Russian Federation
Show AbstractFinemet-type microwires attract attention due to their outstanding soft magnetic properties, combining high permeability with rater high magnetization. Such properties are acquired through partial nano-crystallization of amorphous structure and it is quite important to use fast and precise methods of structural state control of existing phases for this type of materials. This goal can be achieved by DSC measurements: magnetic transformation at the Curie temperature, Tc, corresponds to the heat capacity peak on DSC curve. This peak is very sensitive to relaxation and crystallization processes of amorphous phase. Decomposition of amorphous phase and redistribution of components between amorphous phase and growing nanocrystals affect the shape and position of the DSC peak at Tc.We studied time-temperature dependencies of Curie temperature for an amorphous alloy with Fe73.5Nb3Cu1Si13.5B9 composition after annealing at different temperatures below crystallization temperature. Particularities of ribbon-shaped and microwire samples were investigated. Relaxation of atomic structure of amorphous phase during annealing was accompanied by an increase of Curie temperature. S-shaped time dependencies are characterized at least by two relaxation times. The shift of Tc peak allows studying kinetics of relaxation process and estimating the apparent value of activation energy, which increases with the development of the relaxation process. The study of microwires with glass coating revealed the influence of internal stress on the shape and position of the Curie peak on DSC curve: raise of internal strain tensions leads to suppression of the peak.Thus DSC measurements of the Curie temperature are a very fast and precise method for compositional and structural state control of amorphous Finemet-type microwires.We studied time-temperature dependencies of Curie temperature for an amorphous alloy with Fe73.5Nb3Cu1Si13.5B9 composition after annealing at different temperatures below crystallization temperature. Particularities of ribbon-shaped and microwire samples were investigated. Relaxation of atomic structure of amorphous phase during annealing was accompanied by an increase of Curie temperature. S-shaped time dependencies are characterized at least by two relaxation times. The shift of Tc peak allows studying kinetics of relaxation process and estimating the apparent value of activation energy, which increases with the development of the relaxation process. The study of microwires with glass coating revealed the influence of internal stress on the shape and position of the Curie peak on DSC curve: raise of internal strain tensions leads to suppression of the peak.Thus DSC measurements of the Curie temperature are a very fast and precise method for compositional and structural state control of amorphous Finemet-type microwires.
9:00 PM - BB20.55
Template Assisted Fabrication of Cobalt Ferrite Nanotubes.
Jian Zhang 1 , Liyin Chen 1 , Xylona Williams 1
1 Chemistry, Xavier University of LA, New Orleans, Louisiana, United States
Show AbstractSpinel cobalt ferrite nanotubes with about five micrometers in length were fabricated using porous alumina membranes as templates, whose pore sizes were between 13 nm and 200 nm. Two kinds of sol solutions were employed to produce the gel precursors: one was iron(III) acetylacetonate, cobalt(II) acetate and acetic acid in aqueous solution; the other was iron (III) acetylacetonate and cobalt (II) acetylacetonate in ethanol solution. The formation of nanostructured cobalt ferrite after combustion of the gel precursor at 600 C was confirmed by powder X-ray diffraction (XRD). The morphology of nanostructured cobalt ferrite was characterized by field emission scanning electron microscopy (FE-SEM) after the removal of the alumina template in 6M NaOH solution. The magnetic measurements on the selected samples using a SQUID magnetometer revealed the magnetic interaction along the nanotube's axis was only slightly enhanced. For example, the coercivity was 500 Oe at 300 K for cobalt ferrite nanotubes with diameter of ~20 nm and after the removal of template. The coercivity was 790 Oe with the applied field parallel to the tube axis and 780 Oe with the field normal to the tube axis at 300 K for the nanotubes without removal of the templates.
9:00 PM - BB20.56
Seebeck Measurements on Individual Thermoelectric and Magnetic Nanowires.
Tim Boehnert 1 , Victor Vega 2 , William Toellner 1 , Christian Schumacher 1 , Jan Gluschke 1 , Sonja Heiderich 1 , Kornelius Nielsch 1
1 Institut für Angewandte Physik, University of Hamburg, Hamburg Germany, 2 Depto. Física, Universidad de Oviedo, Oviedo Spain
Show AbstractThermoelectric materials might play an increasing role for the efficient use of energy resources and waste heat recovery in the future. The thermoelectric efficiency of materials is described by the figure of merit ZT = (S2σT)/κ (S Seebeck coefficient, σ electrical conductivity, κ thermal conductivity, and T absolute temperature). We synthesize Bi2Te3, Sb2Te3, and CoxNi1-x nanowires by electrochemical deposition into nanoporous alumina templates. After dissolving the template, hundreds of nanowires having diameters between 30 nm and 300 nm and lengths of ~20 µm are dispersed on a glass substrate. To measure and compare their thermoelectric properties, we apply the measurement technique introduced by E. Shapira et al. [Nanotechnology 18 ( 2007) 485703]. Employing laser lithography we fabricate µm-sized metallic structures on selected individual nanowires. The structure includes a heater that enables us to create a temperature gradient. The temperature difference ΔT and the thermoelectric voltage Vp is measured between two thermometers along the nanowire. Thus we can calculate the Seebeck coefficient S = Vp/ΔT. We measure at temperatures between 50 K and 450 K, magnetic fields up to 30 kOe for thermoelectric measurements on the magnetic nanowires, and temperature gradients up to 20 K. Additionally we use 4-point measurements to determine the electrical conductivity σ of the nanowires revealing the power factor S2σ of a distinct part of the nanowires without changing the measurement setup.The authors gratefully acknowledge financial support via the Cluster of Excellence “Nanospintronics” - funded by the State of Hamburg.
9:00 PM - BB20.57
Fabrication and Characterization of a Spin Transistor Based on an InAs Nanowire.
Zhixin Cui 1 , Keita Konishi 1 , Kanji Yoh 1 , Jean-Christophe Harmand 2
1 , Hokkaido Univ., Sapporo Japan, 2 , CNRS-Laboratoire de Photonique et de Nanostructure, Marcoussis France
Show AbstractWe report the fabrication and the room temperature spin dependent current oscillation observations of spin transistor based on indium arsenide nanowire. Datta-Das type spin FET structure [1] is expected to operate in a condition when Rashba and Dresselhaus effect are matched (PSH state).[2-3] It is also suggested that one dimensional Datta-Das spin FET is more effective in keeping long spin relaxation length.[3] Moreover, it has become possible to fabricate one dimensional structure by the rapid progress in vapor-liquid-solid(VLS) nanowire growth. In order to verify successful spin transistor operation, we have grown the InAs nanowire [111] and investigated the fabrication process of the InAs nanowire spin FET with non-alloyed ferromagnetic metal electrodes grown by Molecular Beam Epitaxy (MBE). Here we show the current oscillations as a function of gate voltage and compared with the simulations based on spin-orbit interaction.The length and diameter are about 8um and 60nm. After nanowires were dispersed on SiO2 /p+-Si substrate, all of the patterns were defined by electron beam (EB) lithography. The length of gate is 1.5um, distance between Fe electrode and gate is 1.0um. And the thickness of Fe electrode is 15nm.The drain current dependence on gate voltage of a nanowire spin FET is measured at room temperature. All the measurements were performed by single shot measurement without any averaging process. When the Fe electrodes were magnetized in parallel to the current, current voltage characteristics were obtained. A clear current oscillation was observed as a function of gate voltage. When the electrodes are magnetized in the ”perpendicular condition”, the current oscillation behavior is greatly reduced. This strongly suggests that these abnormal current modes are caused by Rashba effect, because it is most effective when electrodes are magnetized in the “parallel condition” .We also compared the parallel-magnetization current oscillation with the simulation which is calculated by the formula Δθ=2m* αL/h^2. Where m* is an effective mass of an electron in the channel, α is the Rashba parameter, and L is the electron propagation distance. The black oscillation curve at the bottom of the figure is the simulation results of the spin current oscillation. The current peaks observed in the middle curve agree reasonably well with the simulation. [1] S. Datta and B. Das: Appl. Phys. Lett. 56, 665 (1990).[2] M. Ohno and K. Yoh: Phys. Rev. B 75 , 241308(2007).[3] J. Schliemann, J. C. Egues, and D. Loss: Phys. Rev. Lett. 90, 146801 (2003).
9:00 PM - BB20.58
Self-Assembled GaAs Nanowire Junctions.
Xing Dai 1 , Shadi Dayeh 2 , Nan Meng 3 , Alexandre Larrue 3 , Haibin Su 3 4 , Cesare Soci 1 3 5
1 Physics and Applied Physics, Nanyang Technological University, Singapore Singapore, 2 Center for Integrated Nanotechnologies, Los Alamos Laboratory, Los Alamos, New Mexico, United States, 3 , CINTRA-CNRS/NTU/THALES, UMI, Singapore Singapore, 4 Division of Materials Science, Nanyang Technological University, Singapore Singapore, 5 Division of Microelectronics, Nanyang Technological University, Singapore Singapore
Show AbstractNew insights into understanding and controlling the intriguing phenomenon of spontaneous merging (kissing) and the self-assembly of monolithic Y- and T-junctions in the Metal-Organic Chemical Vapor Deposition growth of GaAs nanowires are presented. Formation of the junctions results from the fine balance between the electrostatic interaction of polar facets of III-V nanowires and the mechanical strength of two merging nanowires during their metal nanoparticle assisted Vapor-Liquid-Solid growth. High resolution transmission electron microscopy is used to determine the nature and periodicity of these facets and the atomic structure of the junction. Electrostatic-mechanical modeling and position-controlled synthesis are used to understand the relationship between spontaneous junction formation and nanowire diameter, length, and inter-distance, and to formulate a universal model that accurately depicts such phenomenon in polar faceted semiconductor nanowires. Transport properties of three-terminal Y-junction devices are also investigated by physics-based device simulations and electrical measurements, showing the potential of controlling the synthesis of monolithic junctions to realize new functional nanowire devices such as nanowire intracellular probes and nanowire quantum junctions.
9:00 PM - BB20.6
Characterization of Bismuth Nanocomposite for Gamma Radiation Detection.
Joan Hoffmann 1 , David Deglau 1 , Ryan Deacon 1 , Andrew Yip 1 2 , Andrew Monica 1 , Stergios Papadakis 1
1 MERC, JHU/APL, Laurel, Maryland, United States, 2 Physics, JHU, Baltimore, Maryland, United States
Show AbstractBismuth is a semimetal in bulk that, due to quantum confinement, becomes a semiconductor at length scales of approximately 60 nm or less. Electrodeposition of bismuth in nanoporous anodic aluminum oxide (AAO) is a highly scalable method for creating a semiconducting nanocomposite material that may be useful for applications including gamma radiation detection. Compared to other nanowire fabrication methods, templated electrodeposition offers a high filling fraction and control over the crystal structure of the nanowires by adjusting deposition parameters. Here, we report on the synthesis of bismuth nanowires with diameters as small as 13 nm by pulsed electrodeposition. Due to the rapid growth of native oxide on the bismuth nanowires, electrical characterization can be difficu< we have developed methods for creating gold-bismuth heterojunctions in the nanowires that serve as ohmic contacts.The structure and electrical properties of the nanowires are characterized singly and in aggregate using a variety of techniques, including x-ray diffraction, infrared spectroscopy, and conducting force microscopy. Using the results of this characterization, we will describe the progress made toward development of a novel nanocomposite material for a gamma radiation detection element.
9:00 PM - BB20.7
Crystallographic Orientation Control in GaN Nanowire Arrays.
Shaul Aloni 1 , Tevye Kuykendall 1 , Virginia Altoe 1
1 The Molecular Foundry, Lawrence Berkeley National Laboratory, Berkeley, California, United States
Show AbstractThe synthesis of ordered nanowire arrays is important both for advanced device geometries and for the controlled growth of uniform hetero-structures. Morphology of catalytically grown 1-D nanostructures is often controlled by the crystallographic orientation of substrate. Like in the case of thin film growth, the wire can be grown epitaxially. When the substrate’s orientation is chosen properly the epitaxial relation will force the wire to grow at a specific angle to the surface normal. In the GaN system often the choice of substrate will also affect specify the growth axis of the wire. The most common growth axis are the [001] [110]and [210]directions. For example, by choosing the LiAlO2 (100) substrate it has been shown that GaN nanowire’s preferably grow in the [210] direction and given the epitaxial relation of GaN(100)||LiAl02(100) the wires will grow perpendicular to the substrate. Here we show for the first time that catalyst choice affects the growth of the GaN nanowires. Changing the catalyst on the LiAlO2 substrate changes growth axis from [210] to the [110] direction as a result the wires are no longer perpendicular to the substrate, but grow at the 30 deg angle to the normal. Similar phenomena are observed on other substrates. It is related to the wire’s nucleation as well as its growth. The interactions of the catalyst with both the GaN and the substrate will be discussed.
9:00 PM - BB20.9
Scalable Synthesis of Vertically Aligned, Catalyst-Free Gallium Arsenide Nanowires – Does Pattern Defects Really Matter?
Anuj Madaria 1 , Maoqing Yao 1 , ChunYung Chi 1 , Rui Juan 1 , Chongwu Zhou 1 , Daniel Dapkus 1
1 , USC-Earth Sciences, Los Angeles, California, United States
Show AbstractVertically aligned, catalyst-free semiconducting nanowires hold great potential for various optoelectronic applications. Here, we report scalable synthesis of vertical gallium arsenide nanowires grown by selected area metal organic chemical vapour deposition (SA-MOCVD) technique. Wafer-scale patterning for nanowire synthesis was done using polystyrene nanosphere template as mask. A comparative study was done between nanowires grown by more conventional electron beam patterned substrate and nanowires grown by pattern made by nanosphere. Reflection of photons by the nanowire array, which is an important parameter in various optoelectronic, has been used as a measure to study the effects of defects present in the patterned structures using nanosphere lithography (NSL) both experimentally and by simulation. Both the studies show similar reflection behaviour by the nanowire arrays prepared by electron beam and NSL. GaAs nanowires as short as 130 nm grown on GaAs (111) B substrates show reflection of <10% over the visible range of solar spectrum. Optimized nanowire configuration to maximize the absorption has also been discussed. Thus, we show that highly ordered nanowire structure in ‘not needed’ to maximize the absorption in vertical nanowire array. Our scalable approach for synthesis of vertical semiconducting nanowire can have application in high throughput and low cost optoelectronic devices including photovoltaic devices.