Symposium Organizers
Pascal Bellon University of Illinois-Urbana-Champaign
Jonah Erlebacher Johns Hopkins University
Stefan G. Mayr Leibniz-Institut fuer Oberflaechenmodifizierung e.V.
University of Leipzig
Vivek Shenoy Brown University
EE1: Self-Assembly I
Session Chairs
Monday PM, November 28, 2011
Room 200 (Hynes)
9:30 AM - **EE1.1
Nanostructures by Molecular Self-Assembly at the Liquid-Solid Interface.
Manfred Buck 1
1 School of Chemistry, University of St Andrews, St Andrews United Kingdom
Show AbstractSelf-assembled monolayers (SAMs) and supramolecular networks (SMNs) represent two categories of molecular organisation at interfaces. In the case of SMNs, molecules adopt a flat lying adsorption geometry and structures are determined by non-covalent intermolecular interactions comprising hydrogen bonding, metal-organic coordination and/or van der Waals interactions. In contrast, SAMs are characterised by a dense molecular packing of upright standing molecules where an enthalpically favoured chemisorption of molecules is the major driving force for a maximisation of coverage. Both types of molecular systems offer unique opportunities for nanoscience including their combination where SMNs serve as atomically precise templates for patterned SAM formation. While, compared to ultrahigh vacuum, a liquid environment adds complexity to an interface, it opens additional possibilities for the kinetic and thermodynamic control of molecular systems. This holds in particular for the electrochemical interface which combines favourably with molecular systems as they can, on the one hand, be conveniently manipulated by the electrochemical potential and, on the other hand, be exploited for the control of electrochemical processes such as electrochemical metal deposition.
10:00 AM - EE1.2
Molecular Zippers.
Hyo Won Kim 1 2 , Jaehoon Jung 2 3 , Mina Han 4 , Seongjoon Lim 1 , Kaoru Tamada 5 , Masahiko Hara 4 , Maki Kawai 3 , Yousoo Kim 2 , Young Kuk 1
1 Department of Physics and Astronomy, Seoul National University, Seoul Korea (the Republic of), 2 , RIKEN Advanced Science Institute , Wako, Saitama, Japan, 3 Department of Advanced Materials Science, The University of Tokyo, Kashiwanoha, Chiba, Japan, 4 Department of Chemistry and Department of Electronic Chemistry, Tokyo Institute of Technology, Yokohama, Kanagawa, Japan, 5 Institute of Materials Chemistry and Engineering, Kyushu University, Fukuoka Japan
Show AbstractNanometer-scale molecular structures fabricated on solid surfaces have attracted much interest in the effort to produce desired nanostructural patterns for various applications. The conformation of a molecular structure on a surface is driven by a subtle balance between molecule-surface and intermolecular interactions, typically hydrogen-bonding and/or van der Waals (vdW) interactions. A molecular zipper (MZ) is one of the interesting molecular structures designed to resemble natural DNA, a polymeric zipper. An MZ can be defined as a molecular structure in which molecules interlock in two directions, along the length of the zip and across it, like a human-made zipper. Thus far MZs have been investigated mainly in solutions or as two-dimensionally grown forms on surfaces. In an effort to control the dimensions of MZ on a surface and study underlying mechanism, we designed an azobenzene derivative. We investigated isolated 1D MZs by combining hydrogen bonding with vdW interaction between adjacent molecules.
10:15 AM - EE1.3
Controlling Surface Functionality on the Microscopic and Nanoscopic Scale:Photopatterned Self-Assembled Monolayers for Biological Applications.
Matthew Hynes 1 , Joshua Maurer 1
1 Chemistry, Washington University in St. Louis, St. Louis, Missouri, United States
Show Abstract Self-assembled monolayers (SAMs) are an efficient method for functionalizing surfaces on the microscopic and nanoscopic scale with spatially defined surface chemistries. We have developed two methods for photopatterning SAMs formed from alkane thiols on gold. Photopatterned protein gradients have been created by starting with glycol terminated SAMs that are protein resistant. Utilizing a commercially available direct write photolithography system operating at 325 nm, a gradient was created by oxidizing the underlying monolayer which produced sites for the proteins to absorb. This pattern was characterized both fluorescently and with surface plasma resonance imaging. Photopatterned substrates have also been produced using the direct write photolithography system to cleave photoprotecting groups that absorb at 325 nm. To accomplish this, a novel nitroveratryl monomer was synthesized. SAMs containing a nitroveratryl protected carboxylic acid, appended to the glycol tail, were site-selectively cleaved on the surface producing a carboxylic acid. Two different amine compounds were coupled to the surface in successive fashion producing a circle in a box pattern which was then characterized by matrix assisted laser desorption ionization (MALDI) imaging. These patterning techniques were more versatile than microcontact printing methods of patterning SAMs because photopatterning allows for multiple rounds of deprotection/coupling allowing for several molecules to be patterned on the same surface as opposed to microcontact printing which only allows for a single pass. In addition, photopatterning allows for gradients to be patterned as opposed to the punctate patterns generated by microcontact printing.
10:30 AM - EE1.4
Molecular Lithography through DNA Mediated Etching and Masking of SiO2.
Sumedh Surwade 1 , Shichao Zhao 1 2 , Haitao Liu 1
1 Chemistry, University of Pittsburgh, Pittsburgh, Pennsylvania, United States, 2 Materials and Environmental Engineering, Hangzhou Dianzi University, Hangzhou China
Show AbstractConventional photolithography also known as top-down lithography faces significant technological and economic challenges in producing features in the sub-10 nanometer regime. Bottom-up approach has been touted as an alternative for nanofabrication. DNA nanostructures show great promise in the bottom-up nanofabrication. In this study, we report the use of DNA nanostructures to directly pattern SiO2 in the sub-10 nm range. We show that DNA catalyzes/inhibits the etching of SiO2 at the single molecule level, resulting in negative/positive tone pattern transfers from DNA to SiO2 substrate.
10:45 AM - EE1.5
Dynamic and Reversible Self-Assembly of Photoelectrochemical Complexes Based on Lipid Bilayer Disks, Photosynthetic Reaction Centers, and Single-Walled Carbon Nanotubes.
Ardemis Boghossian 1 , Jong Hyun Choi 2 , Moon-Ho Ham 1 , Michael Strano 1
1 Chemical Engineering, MIT, Cambridge, Massachusetts, United States, 2 School of Mechanical Engineering, Purdue University, West Lafayette, Indiana, United States
Show AbstractAn aqueous solution containing photosynthetic reaction centers (RCs), membrane scaffold proteins (MSPs), phospholipids, and single-walled carbon nanotubes(SWCNTs) solubilized with the surfactant sodium cholate (SC) reversibly self-assembles into a highly ordered structure upon dialysis of the latter.[1] The resulting structure is photoelectrochemically active and consists of 4-nm-thick lipid bilayer disks (nanodisks, NDs) arranged parallel to the surface of the SWCNT with the RC housed within the bilayer such that its hole injecting site faces the nanotube surface. The structure can be assembled and disassembled autonomously with the addition or removal of surfactant. We model the kinetic and thermodynamic forces that drive the dynamics of this reversible self-assembly process. The assembly is monitored using spectrofluorimetry during dialysis and subsequent surfactant addition and used to fit a kinetic model to determine the forward and reverse rate constants of ND and ND-SWCNT formation. The calculated ND and ND-SWCNT forward rate constants are 79 (mM s)^(-1) and 5.4 × 10^2 (mM s)^(-1), respectively, and the reverse rate constants are negligible over the dialysis time scale. We find that the reaction is not diffusion-controlled since the ND-SWCNT reaction, which consists of entities with smaller diffusion coefficients, has a larger reaction rate constant. Using these rate parameters, we were able to develop a kinetic phase diagram for the formation of ND-SWCNT complexes, which indicates an optimal dialysis rate of approximately 8 × 10^4 s^(-1). We also fit the model to cyclic ND-SWCNT assembly and disassembly experiments and hence mimic the thermodynamic forces used in regeneration processes detailed previously. Such forces may form thebasis of both synthetic and natural photoelectrochemical complexes capable of dynamic component replacement and repair.[2][1]Ham, M.-H.; Choi, J. H.; Boghossian, A. A.; Jeng, E. S.; Graff, R. A.; Heller, D. A.; Chang, A. C.; Mattis, A.; Bayburt, T. H.; Grinkova, Y. V.; Zeiger, A. S.; Van Vliet, K. J.; Hobbie, E. K.; Sligar, S. G.; Wraight, C. A.; Strano, M. S. Nat Chem 2010, 2, 929-936.[2]Boghossian, A.A.; Choi, J.H.; Ham. M.-H; Strano, M.S. Langmuir 2011, 27 (5), 1599–1609.
11:00 AM - EE1: Assembly
BREAK
11:30 AM - **EE1.6
Dynamics of Nanostructures: Diffusion, Self-Assembly, Self-Organization, DNA Dolphins and Nano-Boxes.
Flemming Besenbacher 1
1 Aarhus University, iNANO, Aarhus Denmark
Show AbstractDuring the last decade, fantastic progress has been made in the emerging area of nanoscience and nanotechnology. Materials whose size is reduced to the nanometer scale are found to exhibit new and unique functional properties both interesting from an academic perspective and useful from an industrial and societal perspective. Advances in the field of imaging at the nanoscale have allowed new insight and new mechanistic understanding, and I will show how the unique aspect of our Aarhus STM has allowed us to record time-resolved, high-resolution STM images, visualized in the form of STM movies. I will first demonstrate how we can obtain unique, new insight into diffusion and transport phenomena associated with surface processes and nanostructures. We can extract quantitative information on: i) Surface diffusion of adatoms and molecules ii) Diffusion of vacancies and molecules.The self-assembly of Nucleic Acid (NA) base molecules and DNA molecules are particularly interesting as promising building blocks for the bottom-up fabrication of functional supramolecular nanostructures on surfaces. The DNA origami method allows us to fold long, single-stranded DNA sequences into arbitrary two- and three-dimensional fully addressable nanostructures with wide-reaching application potential within the emerging area of nanoscience.
12:00 PM - EE1.7
DNA Mediated Nanoparticle Clusters: Assembly Behavior and Internal Structure.
Cheng Chi 1 , Oleg Gang 1
1 Center for Functional Nanomaterials, Brookhaven National Lab, UPTON, New York, United States
Show AbstractWe have investigated the structural details of nanoparticle clusters assembled via DNA mediated interactions. The dependence of interparticle distances on a length and flexibility of DNA chains was probed using in-situ Synchrotron based Small-Angle X-ray Scattering (SAXS) and optical methods. We observed that the interparticle separations are shorter than expected for the end-to-end distances of free single stranded DNA chains. The effect is associated with the geometry of DNA linker attachments between curved particle surfaces and the multi-linker entropic effects. For significantly longer DNA linker a self-limiting dimer assembly behavior is observed. The non-monotonic decrease of interparticle distances for longer linkers further suggests the molecular mechanism of cluster formation, and it will be discussed in details.
12:15 PM - EE1.8
DNA-Mediated Self-Assembly of Heterogeneous Gold Nanoparticle-Quantum Dot Nanoclusters and 3D Superlattices.
Peter Sun 1 , Oleg Gang 1
1 Center for Functional Nanomaterials, Brookhaven National Lab, Upton, New York, United States
Show AbstractSelf-assembly methods based on DNA have been successfully demonstrated as a powerful platform for formation of well-defined clusters, arrays and superlattices from nanoparticles with controllable interparticle separations. Here, we report DNA-mediated heterogeneous assembly of nanoparticle clusters and 3D superlattices containing nano-components CdSe/ZnS core-shell QDs and gold nanoparticles (AuNPs) of various sizes. The electron microscopy and in-situ synchrotron-based small angel X-ray scattering methods revealed a spatial arrangement of nanoparticles within the assembly that allowed probing a compositional disorder of heterogeneous superlattice. The optical properties of these assembles will also be discussed.
12:30 PM - EE1.9
Nanoparticle Superlattice Engineering with DNA.
Robert Macfarlane 1 , Byeongdu Lee 2 , Matthew Jones 1 , Nadine Harris 1 , George Schatz 1 , Chad Mirkin 1
1 Chemistry, Northwestern University, Evanston, Illinois, United States, 2 X-Ray Sciences Division, Argonne National Laboratory, Argonne, Illinois, United States
Show AbstractMany researchers are interested in developing methods for assembling nanoparticle building blocks into periodic lattices, since such structures could be used to create designer materials with tailorable physical and chemical properties. In this work, six design rules are presented for using DNA to deliberately synthesize nine distinct colloidal crystal structures, with control over lattice parameters on the 25-150 nm length scale. DNA is an ideal ligand for the development of a predictable nanoparticle assembly strategy, as synthetically controllable variations in nucleotide sequence can easily change the overall hydrodynamic size and coordination environment of the particles without the need to alter the structure of the inorganic nanoparticle core. As a result, this assembly method affords simultaneous and independent control over nanoparticle structure, crystallographic symmetry, and lattice parameters with nanometer scale precision. Additionally, we have developed a complete understanding of the assembly behavior of this class of materials, enabling the development of a phase diagram that predicts the design parameters necessary to achieve a lattice with a given symmetry and lattice parameters a priori. The rules developed in this work present a major advance towards true materials by design, as they effectively separate the identity of a particle core (and thereby its physical properties) from the variables that control its assembly. The understanding gained from utilizing these rules will both inform and enable future assembly efforts, allowing for the construction of new crystallographic arrangements that have emergent properties for use in the fields of plasmonics, photonics, catalysis, and potentially many others.
12:45 PM - EE1.10
Diffusion and Self-Assembling of Zinc Phthalocyanines on the ZnO (10-10) Surface: A Combination of Metadynamics and Metropolis Montecarlo Simulations.
Claudio Melis 1 2 , Luciano Colombo 1 , Alessandro Mattoni 2
1 Physics, Università di Cagliari, Monserrato (CA) Italy, 2 , Istituto Officina dei Materiali del CNR UOS, Sardinian Laboratory for Computational Materials , Monserrato (CA) Italy
Show AbstractPhalocyanines (Pc’s) are a class of molecules commonly used as dyes for photovoltaic applications. Pcs have been recently used in combination with metal oxides (e.g., ZnO, TiO2) showing sizable photocurrents that are affected by many factors including temperature, and the ZnPc’s coverage. The morphology of this molecule/surface system is expected to control the corresponding device performances. In particular it has been recently shown that the Pcs assembling on top of the inorganic surface is dentrimental for the optoelectronic properties. For this reason it is important to identify the temperature and concentations ranges under which th molecule assembling takes place. To this aim we study the diffusion and self-assembling of Zinc-Phthalocyanine (ZnPc) molecules on a ZnO (10-10) surface by combining Metadynamics and Metropolis Montecarlo simulations.. The diffusion at room temperature is studied by Metadynamics. We find that the molecule is able to diffuse along well defined crystallographic directions with a free energy barrier as small as 0:4 eV. By combining the information on the molecule adsorbtion and diffusion we developed a simple coarse grained model that we used to perform Langevin molecular dynamics and Metropolis Montecarlo simulations. The results show that the molecule assembling take place in well defined temperature and coverage ranges. In particular we identify stripes of ZnPc oriented along well defined cristallographyc directions as the most favorite ZnPc clusters.
EE2: Self-Assembly II
Session Chairs
Monday PM, November 28, 2011
Room 200 (Hynes)
2:30 PM - **EE2.1
Formation and Actuation of Patterns in Hydrogels—When Mechanics Meet Chemistry.
Zhigang Suo 1
1 School of Engineering and Applied Sciences, Harvard University, Cambridge, Massachusetts, United States
Show AbstractA hydrogel can swell by imbibing a large quantity of water. Swelling can be induced by diverse stimuli, such as humidity, light, temperature, concentration of ions, and presence of enzymes. Consequently, hydrogels have enormous potential for making adaptive structures in response to changes in environment. These structures are typically miniaturized, alleviating a major limitation of actuation by hydrogels: the speed. Swelling rate of a hydrogel is limited by diffusion of water, so that a small size leads to a fast response. The development of hydrogel-actuated structures has also led to another major consideration. A hydrogel is often integrated with hard materials, leading to a hybrid structure of soft and hard materials. Mechanical constraint in the hybrid structure can markedly affect the swelling behavior of the hydrogel, leading to inhomogeneous and anisotropic deformation. In this paper, I review recent advances in theories that integrate mechanics and chemistry of hydrogels. The theories are illustrated with phenomena arising in recent experimental observations, and focusing on pattern formation due to large deformation and instability.
3:00 PM - EE2.2
Electric Field Control of Structure and Properties in Hierarchically Ordered, Self-Assembled Membranes.
Jason Mantei 1 , Yuri Velichko 1 , Ronit Bitton 4 , Daniel Carvajal 1 , Kenneth Shull 1 , Samuel Stupp 1 2 3
1 Materials Science & Engineering, Northwestern University, Evanston, Illinois, United States, 4 Institute for BioNanotechnology in Medicine, Northwestern University, Chicago, Illinois, United States, 2 Chemistry, Northwestern University, Evanston, Illinois, United States, 3 Medicine, Northwestern University, Evanston, Illinois, United States
Show AbstractWhile the forces that drive the growth of self-assembling systems may be generated as a result of spontaneous interactions among their components, intervention with external forces can significantly alter the final outcome of self-assembly. Superimposing these intrinsic and extrinsic forces provides a greater degree of freedom in controlling the structure and function of self-assembling materials. Profound changes in the structure and properties of the system can be obtained, from changes in the rate of growth to the formation of entirely new morphologies.We recently discovered the formation of a self-assembled membrane at the interface between aqueous solutions of negatively charged polyelectrolytes and positively charged peptide amphiphiles in water that generates a hierarchically ordered membrane. (1) This membrane forms as a result of the electrostatic complexation of the components at the moment of contact and matures dynamically over time due to an osmotic pressure imbalance across the initial diffusion barrier which drives the diffusion of polyelectrolyte chains into the peptide amphiphile compartment. The diffusion of these chains results in the nucleation and growth of fibers perpendicular to the original interface that grow in time. We have studied the maturation kinetics and physical properties of these systems, as well as their potential in biomedical applications. (2,3)In this most recent work, the superposition of an external electric field during the self-assembly process was found to modify the kinetics of membrane growth as well as the final membrane morphology and properties. By altering the strength of the electric field and applying it in various geometries, we observed an increase or decrease of up to 100% in membrane thickness, gradients and patterns in the membrane structure, or morphological changes which led to significant differences in mechanical stiffness. These results suggest the possibility of using external electric fields as a method of controlling and altering structure and properties in self-assembly processes which involve the diffusion of charged molecules.References:(1) R. M. Capito, H. S. Azevedo, Y. S. Velichko, A. Mata, S. I. Stupp, Science 2008, 319, 1812.(2) D. Carvajal, R. Bitton, J. R. Mantei, Y. S. Velichko, S. I. Stupp, K. R. Shull, Soft Matter 2010, 6, 1816.(3) L. W. Chow, R. Bitton, M. Webber, D. Carvajal, K. R. Shull, A. K. Sharma, S. I. Stupp, Biomaterials 2011, 32(6), 1574.
3:15 PM - EE2.3
Template Directed Assembly of Dynamic Micellar Nanoparticles.
Kevin Arpin 1 2 , James Pikul 4 , Honyou Fan 5 6 , William King 4 1 2 , Paul Braun 1 2 3
1 Materials Science and Engineering , University of Illinois at Urbana Champaign, Urbana , Illinois, United States, 2 Frederick Seitz Materials Research Laboratory , University of Illinois at Urbana Champaign, Urbana , Illinois, United States, 4 Mechanical Science and Engineering , University of Illinois at Urbana Champaign, Urbana , Illinois, United States, 5 Chemical and Nuclear Engineering, University of New Mexico, Albuquerque, New Mexico, United States, 6 Advanced Materials Laboratory , Sandia National Laboratories, Albuquerque, New Mexico, United States, 3 Beckman Institute, University of Illinois at Urbana Champaign, Urbana, Illinois, United States
Show AbstractThe ability to pattern functional nanoparticle arrays in multiple dimensions will enable future devices, which exhibit functions that cannot be realized using unstructured nanoparticle arrays. Here we demonstrate the unique assembly properties of dynamic micellar nanoparticles by combining a top-down lithographic nanopatterning technique with solution-based bottom-up self-assembly. The micelles consisted of a hydrophobic core (polystyrene) and a positively charged, hydrophilic shell (polyvinyl pyridine, PVP). In a pH 2.5 solution, the polymeric chains of the PVP shell repel each other, causing the shell to swell and the micelle diameter to reach 325nm. However when dry, or in higher pH solutions, the micelles collapse to a diameter as small as 50nm. These dynamic micelles were directed into arrays of lithographically defined recessed features by dip coating in the micellar solution. Successful assembly was achieved by controlling the electrostatic interaction between the positively charged micelles and the patterned templates. Two soft techniques, nanoimprint lithography and microcontact printing, were used to fabricate the templates with coupled topographical and electrostatic features. The dramatic shrinking of the micelles while remaining in a defined location offers unique opportunities for the self-assembly of multidimensional, nanometer scale arrays not accessible using hard sphere building blocks. Moreover, these dynamic structures represent a bridge between the larger scale of top down lithographic methods and the fine nanometer scale achievable using bottom up self-assembly.
3:30 PM - EE2.4
Beyond the Template: Building Block Structure and Solvent/Electrolyte Control of Template Synthesized Polymer Nanostructure Morphology.
Paul DiCarmine 1 , Ana Fokina 2 1 , David Huesmann 2 1 , Dwight Seferos 1
1 Chemistry, University of Toronto, Toronto, Ontario, Canada, 2 Chemistry, Johannes-Gutenberg Universtät, Mainz, Rheinland-Pfalz, Germany
Show AbstractBeyond the Template: Building Block Structure and Solvent/Electrolyte Control of Template Synthesized Polymer Nanostructure MorphologyI will present our recent work on the synthesis and characterization of linear polythiophene-type nanostructures. We have determined that molecular structure and polymerization conditions influence nanostructure morphology when using hard-templates and electrochemical methods. Hydrophobic side-chains, such as 3-hexyl and 3-(2-ethyl)hexyl, can be used to prepare nanostructures with a geometry that is different from the shape of the template from which they derive. These nanostructures exhibit collapsed, nonlinear, and nonrigid shapes as observed by SEM and TEM. Hydrophilic side-chains such as 3-(2-(2-(2-ethoxy)ethoxy)ethoxy)methyl and 3-(hydroxy)methyl lead to linear nanostructures. We are also able to prepare linear nanostructures composed of the narrow HOMO—LUMO gap heterocycles selenophene and thieno[3,2-b]thiophene.Additionally, the wall thickness of linear polythiophene nanostructures can be changed by the use of different solvent/electrolyte systems. The role of solvent/electrolyte is related to the rate of polymerization. Solvent/electrolyte systems that increase the rate of thiophene polymerization yield solid nanowires, whereas systems that decrease the rate of polymerization yield thin-wall nanotubes. A solvent/electrolyte system that leads to an intermediate polymerization rate yields intermediate structures, namely thick wall nanotubes. Nanostructure characterization is performed using TEM, EDX, and AFM. The observations are explained by the electrochemical polymerization mechanism of thiophene, which requires the loss of a proton, and thus the acidity or basicity of the solvent/electrolyte can be used to control the reaction rate. The results open up many new opportunities for preparing nanostructures with greater complexity, functionality, and distinct optoelectronic properties that are imparted by their building block and morphology.
3:45 PM - EE2.5
Growth-Front-Nucleation Based Bottom-up Approach to Spherulitic Growth of Peptide Nanotubes.
Elad Mentovich 1 2 , Netta Hendler 1 2 , Tamas Pusztai 3 , Laszlo Granasy 3 4 , Shachar Richter 1 2
1 Chemistry, Tel Aviv University, Tel Aviv Israel, 2 Nanoscience and Nanotechnology Institute , Tel Aviv University, Tel Aviv Israel, 3 , Research Institute for Solid State Physics and Optics, Budapest Hungary, 4 Brunel Centre for Advanced Solidification Technology, Brunel University, Uxbridge United Kingdom
Show AbstractUnderstanding and utilization of multi-hierarchy self-assembly for preparing macromaterial using nanomaterials building blocks via the bottom up approach is one of the key issues in nanotechnology. In the specific example of peptide nanotubes (PNT) arrangement, a variety of techniques for multi-hiercy of self assembly has been developed. We have previously shown a multi hierarchy self-assembly process using a simple bottom up methodology resulting in the formation of spherulite films of peptide nanotubesMaterials of substantially different molecular geometry (e.g., oxide glass, uric acid, graphite, insulin, and PNT) lead to very similar spherulites, raising the possibility that a coarse-grained description neglecting the molecular details can be feasible for describing spherulitic solidification. Indeed, recent simulations based on the phase-field theory, which relies on coarse-grained order parameter fields; neglecting thus the molecular details, yield growth morphologies that are very similar to the experimental ones. An essential question is whether these similarities are only superficial or closer connections can be established among these substances. This requires a detailed comparison of the mechanism of polycrystalline growth in experiment and theory. In this work, we compare crystal growth experiments on peptide nanotubes with phase-field simulations. Relying on the observed similarities, we propose the phenomenon of growth front nucleation as a new paradigm for bottom up approach based on multi hierarchy self-assembly of peptide nanotubes. Furthermore, we identify this multi-hierarchy self-assembly system as a new test bed for the polycrystalline growth puzzle.
4:00 PM - EE2: Assembly II
BREAK
EE3: Principles of Self-Organization I
Session Chairs
Monday PM, November 28, 2011
Room 200 (Hynes)
4:30 PM - **EE3.1
Self-Folding Nanostructures.
David Gracias 1
1 Department of Chemical and Biomolecular Engineering, The Johns Hopkins University, Baltimore, Maryland, United States
Show AbstractSelf-folding is a more deterministic self-assembly process wherein planar structures fold up spontaneously, typically when released from a substrate or exposed to specific stimuli. As in other self-assembly methods, the challenge is to realize a small set of outcomes, typically just one desired 3D material or device, from many possibilities. Self-folding is intellectually compelling since it is widely observed in nature. From a technological standpoint, self-folding enables parallel assembly of 3D patterned nanostructures that are challenging to fabricate. I will describe the development of both hinge-less and hinged self-folding methods to organize lithographically patterned thin films into 3D nanostructures. Self-folding of hinge-less structures results in curved or rolled-up structures whereas the introduction of nanoscale hinges results in structures with discrete folds, such as polyhedra. I will describe mechanistic aspects of engineering strain in patterned thin films to drive self-folding, material and pattern versatility, functionality enabled by 3D patterning and the possibility for engineering reconfigurable stimuli-responsive integrated nanostructures.
5:00 PM - EE3.2
Guided Self-Assembly of 3D Catalyst Structures during Metal Assisted Chemical Etching of Silicon.
Konrad Rykaczewski 1 , Owen Hildreth 2 , Ching Wong 2 4 , Andrei Fedorov 3 , John Henry Scott 1
1 Material Measurement Laboratory, National Institute of Standards and Technology, Gaithersburg, Maryland, United States, 2 School of Materials Science and Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States, 4 Faculty of Engineering, The Chinese University of Hong Kong, Hong Konrad Hong Kong, 3 G. W. Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States
Show AbstractComplex 3D nano [1] and microscale [2] objects can controllably self assemble from 2D patterns in a variety of ways. The folding action in these small scale origami techniques can be driven by a range of physical phenomena, such as water surface tension or release of residual stresses [3], and can be triggered mechanically, chemically or thermally [4]. However, in all cases the folding action takes place above the substrate. We introduce a new process in which patterned metal catalyst structures fold into the substrate during Metal-assisted Chemical Etching (MaCE) of silicon. In the MaCE process etching of silicon is confined to a small region surrounding the catalyst nanostructures [5-7], with the etch rate increasing with decreasing characteristic dimension of the metal pattern [8]. We demonstrate that the folding action can be controlled by adjusting the geometrical design carved into the metal film to produce 2D templates divided into regions with mismatched etch rates separated by mechanically weakened hinge points. We explore the dynamics of the folding process of the hinged templates, demonstrating that the folding action combines rotational and translational motion of the catalyst template, which yields topologically complex 3D nanostructures with intimately integrated metal and silicon features.References:[1]J.-H. Cho, D. H. Gracias, Nano Letters 2009, 9, 4049.[2]N. Bassik, et al., Applied Physics Letters 2009, 95, 3.[3]M. Boncheva, G. M. Whitesides, MRS Bull. 2005, 30, 736.[4]J. S. Randhawa, et al., Adv. Mater. 2009, 22, 407.[5]K. Rykaczewski, et al., Advanced Materials 2010, 23, 659; [6]O. J. Hildreth, et al., ACS Nano 2009; [7]K. Rykaczewski, et al., ACS Appl. Mater. Interfaces 2010, 2, 969.[8]K. Rykaczewski, et al., Nano Lett. 2011, 11, 2369.
5:15 PM - EE3.3
Hierarchical Folding.
Pilnam Kim 1 , Manouk Abkarian 2 , Howard A. Stone 1
1 MAE, Princeton University, Princeton, New Jersey, United States, 2 , Université Montpellier 2, Montpellier France
Show AbstractMechanical instabilities that cause periodic wrinkling during compression of layered materials find applications in stretchable electronics and microfabrication. The instabilities also limit applications due to delamination or cracking under loading and surface inhomogeneities during swelling. In particular, finite deformations cause wrinkles to evolve into folds due to stress localization. This wrinkle-to-fold transition has been studied in many different systems, but the underlying principles, and their control remain unresolved for a two-dimensional elastic system. Here, using a two-layer polymeric system under biaxial compressive stress, we show that a repetitive wrinkle-to-fold transition generates a hierarchical network of folds during reorganization of the stress field. The folds delineate individual domains, and each domain subdivides into smaller ones over multiple generations. By modifying the boundary conditions and geometry we demonstrate control over the final network morphology. The ideas introduced here find application in the many situations where stress impacts two-dimensional pattern formation.
5:30 PM - EE3.4
Polymer Micelles with Bicontinuous Internal Structure.
Nico Sommerdijk 1 , Beulah McKenzie 1 2 , Heiner Friedrich 1 , Paul Bomans 1 , Simon Holder 2
1 Chemical Engineering and Chemistry, Eindhoven University of Technology, Eindhoven Netherlands, 2 School of Physical Sciences, University of Kent, Eindhoven United Kingdom
Show AbstractThe self-assembly of amphiphilic block copolymers has been of increasing interest due to the encapsulation and solubilisation properties they possess. In addition to micelles, cylinders and vesicles, more complex structures are being observed, such as toroids, multi-compartment micelles, and nanospheres with internal morphology1.Following initial reports on internally-structured micelles2,3, we recently reported the formation of micelles with thermally-tunable bicontinuous internal structure from semi-crystalline amphiphilic block copolymers4. These aggregates possess a high internal order similar to that of cubosomes- bicontinuous dispersions formed from liquid crystalline lipids5. Moreover, their internal structure totally reorganizes above the melting point of the crystalline block allowing additional functionality. More recently it was found that also the very common pluronics are able to form such miclelles with bicontinuous internal structure.6 Here we will discuss the formation of these novel polymer cubosomes in aqueous solution, giving insight into the necessary requirements for obtaining these intricate structures. The elucidation of their internal structure through two- and three-dimensional cryoTEM and electron tomography studies will be presented, in addition to the possible application of the cubosomes as a novel polymeric template for biomimetic mineralization.References(1)Holder, S. J.; Sommerdijk, N. A. J. M. Polymer Chemistry 2011.(2)Parry, A. L.; Bomans, P. H. H.; Holder, S. J.; Sommerdijk, N. A. J. M.; Biagini, S. C. G. Angewandte Chemie International Edition 2008, 47, 8751.(3)Hales, K.; Chen, Z.; Wooley, K.; Pochan, D. Nano letters 2008, 8, 2023.(4)McKenzie, B. E.; Nudelman, F.; Bomans, P. H. H.; Holder, S. J.; Sommerdijk, N. A. J. M. Journal of the American Chemical Society 2010, 132, 10256.(5)Garg, G.; Saraf, S. Biological & pharmaceutical bulletin 2007, 30, 350.(6) Denkova, A. G.; Bomans, P. H. H.; Coppens, M.-O.; Sommerdijk, N. A. J. M.; Mendes E.; Soft Matter, 2011, Advance Article DOI: 10.1039/C1SM05461A
5:45 PM - EE3.5
Spontaneous Formation of Nanopores and Nanorings in a PS-b-PEO Block Co-Polymer.
Taiwo Alabi 1 , Dajun Yuan 2 , Suman Das 2
1 Material Science and Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States, 2 Mechanical Engineering, Georgia Institute of technology, Atlanta, Georgia, United States
Show AbstractPS-b-PEO amphiphilic block co-polymers have been reported to form cylindrical, lamellar, gyroidic, and supramolecular architectures due to several reasons: some of them being the chemical incompatibility between the lipophilic and lipophobic covalently cross linked blocks resulting to a very high Flory-Huggins interaction parameter, the type of solvent used to disperse the blocks, the solvent used for annealing, and the interfacial boundary conditions between the substrate and the phase separating blocks. We report here for the first time on the spontaneous formation of nanopores and nanorings in PS-b-PEO block co-polymers. The mean size and depth of the pores are about 150nm and 40nm, respectively, while the pores occur randomly and in clusters of about 2-5 pores. The pore clusters leave behind a breath-like architecture replicated by the phase separating block co-polymer. These breath architectures, in the shape of nanorings, are formed during the initial period of phase separation and do not disappear after phase separation has been achieved, leaving behind a PS-enriched circular framework. The pores and breath architectures form during the initial period of phase separation due to the chemical incompatibility between the 2 blocks, and the annealing environment leading to an interfacial boundary preferential to one of the blocks. The diameter of the nanorings is in the 200-700nm range, as measured from AFM phase and height images. This range falls within the reported size of water droplets forming breath structures on polymer films. The resulting nanoarchitectured materials could find potential applications where biocompatibility and water permeability of the PEO block within the nanopores is desirable. In addition, the slightly elevated nanorings could also provide semi-enclosed barriers that can serve as micro/nano-enclosed cell and tissue cultures.
Symposium Organizers
Pascal Bellon University of Illinois-Urbana-Champaign
Jonah Erlebacher Johns Hopkins University
Stefan G. Mayr Leibniz-Institut fuer Oberflaechenmodifizierung e.V.
University of Leipzig
Vivek Shenoy Brown University
EE4: Nanoporosity
Session Chairs
Tuesday AM, November 29, 2011
Room 200 (Hynes)
9:00 AM - **EE4.1
Dealloyed Pt Nanoparticle Electrocatalysts for PEMFC Cathodes: Core-Shell Fine Structure and Size-Dependent Morphology.
Mehtap Oezaslan 1 , Marc Heggen 2 , Peter Strasser 1
1 Department of Chemistry, Chemical Engineering Division, Technical University Berlin, Berlin Germany, 2 , Ernst Ruska-Centre for Microscopy and Spectroscopy with Electrons, Juelich Germany
Show AbstractDe-alloyed Pt nanoparticle electrocatalysts show unique catalytic activity for the electroreduction of oxygen, both in RDE (1-4) and single cell Membrane Electrode Assembly (MEA) (5-7) experiments. MEA tests in scaled-up industrial-size single cells showed that the beginning-of-life (BOL) activity of dealloyed Pt cathode catalysts meets the Department of Energy 2015 activity goals (8). Maintaining Pt mass activity is currently the biggest challenge associated with this catalyst class.Based on recent scanning transmission electron microscopy (STEM) and electron energy loss spectroscopy (EELS) studies, we have investigated the fine structure of the active dealloyed core-shell bimetallic nanoparticle catalysts at the subnanometer level. We find that a two-phase single core shell structure – often proposed as the structurally and catalytically dominant feature – appears to be an oversimplified model for dealloyed particles. We further report on recent studies on how the catalyst particle morphology after dealloying depends on its initial size.(1)Strasser, P.; Koh, S.; Anniyev, T.; Greeley, J.; More, K.; Yu, C.; Liu, Z.; Kaya, S.; Nordlund, D.; Ogasawara, H.; Toney, M. F.; Nilsson, A. Nature Chem. 2010, 2, 454 - 460.(2)Strasser, P. Rev. Chem. Eng. 2009, 25, 255-295.(3)Srivastava, R.; Mani, P.; Hahn, N.; Strasser, P. Angew. Chem. Int. Ed 2007, 46, 8988-8991.(4)Koh, S.; Strasser, P. J. Am. Chem. Soc. 2007, 129, 12624-12625.(5)Mani, P.; Srivastava, R.; Strasser, P. J. Pow. Sourc. 2011, 196, 666-673.(6)Neyerlin, K. C.; Srivastava, R.; Yu, C.; Strasser, P. J. Power Sources 2009, 186, 261-267.(7)Mani, P.; Srivastava, S.; Strasser, P. J. Phys. Chem. C 2008, 112, 2770-2778.(8)http://www.hydrogen.energy.gov/; DOE: Arlington, VA, DOE 2011 annual merit review proceedings of hydrogen program.
9:30 AM - EE4.2
Structural Effects in the De-Alloying of Electrodeposited Au(1-x)Agx Thin Films and Spherical Particles.
Martha Kamundi 1 , Daniel McCurry 1 , Matthew Fayette 1 , Fred Wafula 1 , Nikolay Dimitrov 1
1 Chemistry, SUNY Binghamton, Binghamton, New York, United States
Show AbstractThe less noble metal can be removed by selective dissolution (de-alloying) from binary alloys to create a nanoporous structure. Such structures have many applications in catalysis and sensing due to their high surface area to volume ratio. In a Au(1-x)Agx alloy, the Ag can be stripped from the alloy at potentials positive than the so-called critical potential (Ec), that is strictly dependent upon the amount of Au in the alloy. A higher amount of Au generally resulted in a more positive Ec, but the structure and shape of the alloy layer was also found to affect the critical potential and the length scale of the de-alloyed structure. In this work, de-alloying of electrodeposited Au(1-x)Agx thin films with thickness between 10 and 100 nm on Au and spherical particles with diameters in the same range on glassy carbon were both compared with the de-alloying of bulk Au(1-x)Agx alloy samples with corresponding compositions. The alloy composition in the experiments was determined using EDX and surface area developed was measured using Pb underpotential deposition. The thin films deposited on Au exhibited almost identical de-alloying behavior with the bulk samples, whereas the spherical particles deposited on glassy carbon (diameters ~100 nm) featured consistently lower (by about 100 mV) critical potentials. The trend towards even lower Ec was better seen in the de-alloying of Au(1-x)Agx nanoparticles (diameter 10-30 nm) where Ec values lower by about 300 to 400 mV to the bulk samples were determined. The difference in the alloy stability was attributed mainly to size and curvature effects impacting the alloy surface properties and stability. The implication of this study to the field of fuel-cell catalysis is critically considered in the last part of this talk.
9:45 AM - EE4.3
In Situ Observation of Strain Development and Porosity Evolution in Nanoporous Gold Foils.
Michael Toney 1 , Christian Dotzler 1 2 , Bridget Ingham 2 , Benoit Illy 3 , Kia Wallwork 4 , Mary Ryan 3
1 , Stanford Synchrotron Radiation Lightsource, Menlo Park, California, United States, 2 , Industrial Research Limited, Lower Hutt New Zealand, 3 Department of Materials, Imperial College London, London United Kingdom, 4 , Australian Synchrotron, Clayton, Victoria, Australia
Show AbstractDue to a high surface to volume ratio combined with a bi-continuous morphology, nanoporous metals are an important class of materials with interesting properties for applications as sensors, catalysts and actuators. A simple method of preparation is dealloying - the selective dissolution of a less noble element alloyed with a more noble element. The dealloying mechanism is inherently a non-equilibrium process, and thus, in-situ measurements that monitor the porosity and strain evolution in real time are essential to improve our understanding of the dealloying process.This talk will describe in-situ, real-time investigations of the formation of nanoporous gold by open circuit dealloying of 100 nm AgAu foils in varying nitric acid concentrations. The combined use of synchrotron small angle X-ray scattering (SAXS) and X-ray diffraction (XRD) allows us to follow the time dependence of the both the porosity and strain development. For all concentrations, several characteristic dealloying stages are observed. First, there is a fast initial dissolution stage with an increase in surface area due to pore and mound formation; this leads to strain in the nanoporous gold that results from an increase in capillary pressure. After dissolution is complete, there is rapid coarsening of the quasi-periodic, pore-ligament morphology. During this later stage, we deduce strong strain anisotropies that can be explained by preferred crystallographic orientation of ligaments. This rapid coarsening stage is followed by a slow coarsening stage where the SAXS patterns, and hence the quasi-periodic morphology, is self-similar in time. There is a strong correlation between the morphology evolution and strain development, which can be explained by capillary forces. These results enable a deeper understanding of the kinetics of porosity evolution.
10:00 AM - EE4.4
Topology of Nanoporous Metals.
Jonah Erlebacher 1
1 Materials Science and Engineering, Johns Hopkins University, Baltimore, Maryland, United States
Show AbstractDealloyed nanoporous metals are formed via a pattern forming competition between electrochemical dissolution of one component from certain binary alloys and surface diffusion of the remaining component. To characterize the time evolution of nanoporous metals, there is a need to quantify the geometry of these materials. Here we discuss how, to that end, the topological genus (the number of "handles") is useful, as the genus measures the structural complexity of the porosity, and its time dependence can be correlated to microscopic mechanisms of morphological evolution, including the formation and/or decay of ligaments, bubbles and voids. Methods to measure the genus will be introduced and applied to kinetic Monte Carlo simulations of the formation and coarsening of nanoporous metals.
10:30 AM - **EE4.6
Metal/Oxide Hybrid Materials with Hierarchical Nanoporosity for Energy Storage.
Xingyou Lang 1 , MingWei Chen 1
1 WPI-AIMR, Tohoku University, Sendai Japan
Show AbstractGrowing demands for energy storage devices with outstanding sustainability and environmental friendliness have stimulated intensive research on electrochemical supercapacitors, which store and deliver energy at fast charging/discharging rates for high-power applications. Pseudocapacitive materials, such as metal oxides, offer higher levels of specific capacitance and energy storage via Faradic surface redox reactions, circumventing the key limitation of conventional electrochemical double-layer capacitors with low energy density. However, the limited cycle life and low power density, as the compromise of increased energy density, intrinsically restrict the applications of pseudo-capacitors in practical devices. In this talk, I will introduce hierarchical nanoporous metal/oxide composites, developed by dealloying and electroless plating, as robust electrodes for high-performance supercapacitors. The hybrid materials give rise to ultrahigh energy density and power density along with excellent cycling stability and ultrafast rate capability. The outstanding capacitive performances result from hierarchical nanoporosity in which nanoporous networks of oxide nanoparticles are incorporated into pore channels of dealloyed nanoporous metals. The novel nanoarchitecture provides high mechanical and electrochemical stability and excellent electronic/ionic conductivity for enhanced pseudocapacitance of oxides.
11:30 AM - **EE4.7
Spontaneous Routes to Porous and Composite Hard Materials.
Ram Seshadri 1
1 Materials, UC Santa Barbara, Santa Barbara, California, United States
Show AbstractIn recent years, we and others have developed routes to porous materials that do not involve the use of pre-formed templates or structure directing agents. These routes are usually spontaneous, meaning they are thermodynamically downhill under the given reaction conditions. Slow diffusion of certain species in the solid state, allows metastable morphologies, including porous rather than dense materials to be trapped. The porous structures so display surprisingly well-defined average architectural features, with highly interconnected porosity. The routes are applicable to a broad range of functional inorganic materials and consequently, the porous architectures have uses in energy transduction and storage, in chemical sensing, catalysis, in addition to more mundane uses deriving from the pore structure such as in filtration. In this presentation, some of the methods for the creation of porous materials will be described, including shape conserving routes that lead to hierarchical macro/mesoporous architectures. Attempts to extend the routes to create highly structured biphasic composite materials will be described.
12:00 PM - EE4.8
Porous Materials from Time Dependent Magnetically Assisted Self-Assembly of Nanoparticles.
Marco Furlan 1 , Marco Lattuada 1
1 DCHAB, ETH Zurich, Zurich Switzerland
Show AbstractThere is a big interest in the production of porous materials with a well organized pore structure for applications such as chromatography, membrane separation, catalyst supports, bio-inspired materials and scaffolds for cell growth. In many cases, porous materials can be prepared from colloidal suspensions and have usually a random porous structure. We present a method to create porous ceramic materials with a complex structure. In its simplest realization, the method makes use of magnetic polymer composite nanoparticles, synthesized via free radical miniemulsion, as templates in the formation of silica monolith via the sol gel process. When the nanoparticles are mixed with a silica precursor, a catalyst and a porogen, silica nucleates and condenses on top of the nanoparticles, which are aligned in the direction of the applied magnetic field. After heat treatment the particles are removed and porous anisotropic silica monoliths are obtained. Monoliths with a more complex morphology can be prepared using time-dependent configuration of the magnetic field applied during the sol-gel process. The sol-gel process kinetics has first been investigated by means of rheology, in order to precisely identify the gel time. Then, simple time dependent configurations of magnetic field have been applied. In one case, the sol-gel process was started in the presence of a magnetic field for a time shorter than the gel point, and then and the reaction completed without any magnetic field. In another case, the reaction was started in the absence of a field, which was then turned on at a later time point. An additional variation of the procedure has been explored, in which the reaction is started in the presence of a magnetic field, and the sample is then subject to strong mixing before letting gelation reach completion in the absence of a field. Finally, materials obtained from sol-gel processes carried out in the presence of pulsating magnetic fields with different frequencies have been also prepared. All these procedures aim at controlling the structure through a competition between magnetic dipolar interactions, which align the nanoparticles into strings, and diffusion or shear forces, which disrupt the alignment. All samples have been characterized using SEM microscopy, torque magnetometry, mechanical compression and mercury porosimetry.
12:15 PM - EE4.9
Oxide Surfaces with Hexagonally Packed Nanopores: Fabrication and Pore Fine Tuning.
Andrea Andreozzi 1 , Michele Perego 1 , Gabriele Seguini 1 , Luca Lamagna 1 , Marco Fanciulli 1 3 , Sylvie Schamm-Chardon 2 , Celia Castro 2
1 , MDM-IMM-CNR, Agrate Brianza Italy, 3 Dipartimento di Scienza dei Materiali, Università degli studi Milano-Bicocca, Milano Italy, 2 , CEMES-CNRS/Université de Toulouse-nMat group, Toulouse France
Show AbstractPatterned nanoscale materials with controllable characteristic feature size and periodicity are of considerable interest in a wide range of fields, from nanoelectronic to nanomedicine. A useful tool to fabricate uniform, densely spaced nanometer-scale features over large areas is offered by block copolymers (BC). Asymmetric BC lead to the formation of closed packed cylinder patterns that, after selective removal of one of the two polymeric components, can be used as a soft mask for the patterning of the underlying material. The pores of this soft mask could have dimensions down to 14 nm, depending on the molecular weight of BC. The main limitation in the scaling of the matrix, i.e. the fact that the pore diameter and the center to center distance cannot be varied independently, could be overcome combining BC lithography with atomic layer deposition (ALD).In this work nanoporous SiO2 is fabricated by reactive ion etching (RIE) through the BC template, with characteristic dimensions that resemble the dimensions of the BC soft mask. Then Al2O3 thin films are deposited by ALD onto the nanoporous SiO2 in order to finely tune the dimension of the pores in the oxide substrate. SEM images indicate that the pore diameter can be controlled with nanometer precision from the original size (22 nm) down to the complete filling of the pore. TEM analysis demonstrates the high conformality of the ALD growth, which allows uniform coverage of the walls and the bottom of the nanopores. This approach is not limited to the use of Al2O3 since a large variety of metal oxides or nitrides can be easily grown by ALD choosing appropriate reactants. Therefore, relying on the same lithographic process, it is possible to deliver a broad variety of size controlled nanoporous surfaces with properties accurately designed for specific applications.This research activity has been partially funded by the ERANET PLUS “NanoSci-E+” consortium through the NANO-BLOCK project.
12:30 PM - EE4.10
Periodic Structural and Compositional Nanoscale Patterning in Oxide Perovskites.
Mark Licurse 1 , Peter Davies 1
1 Materials Science and Engineering, University of Pennsylvania, Philadelphia, Pennsylvania, United States
Show AbstractIn recent years, relatively simple solid state synthetic methods have been used to form periodic one- and two-dimensional nanoscale patterns in several oxide perovskites. The first system to garner increased attention was (Nd2/3-xLi3x)TiO3 in which periodic nanoscale phase separation lead to a nano-checkerboard strain pattern as observed in high-resolution transmission electron microscopy (HRTEM) images [1].More recently new examples of periodic structural and compositional nanoscale modulations were observed in Li-free perovskites. The first was the mixed A-site perovskite (NaLa)(MgW)O6, in which the modulation occurs in one dimension along [100] or [010] leading to a striped pattern of light and dark regions in HRTEM images, each 6ap wide. In another system, (NaNd)(MgW)O6, a two-dimensional checkerboard pattern forms consisting of light and dark regions, each 7 x 7ap. Finally, Z-contrast images confirm the presence of a compositional modulation underlying the observed strain patterns in both of these Li-free perovskites.Data is presented for two new systems that show similar periodic patterns. In the first system, (KLa)(CaW)O6, selected area electron diffraction (SAED) patterns along [001] show sharp, well-defined satellites grouped around the primary perovskite reflections indicating the presence of a highly ordered 10 x 10ap superstructure with modulations along the g100 and g010 directions. The SAED pattern is actually identical to that of (NaNd)(MgW)O6 except the superstructure has decreased from 14 x 14ap to 10 x 10ap. Based on the close resemblance, it is expected that this system will also produce a two-dimensional nano-checkerboard pattern, although this is yet to be confirmed. In the second system, (NaLa)(CaW)O6, SAED patterns along [001] show a modulation in one-dimension similar to its chemical analogue, (NaLa)(MgW)O6. However, the periodicity increases from 12ap to ~16ap (~5nm) and the direction of the modulation changes from g100 to g110. HRTEM images confirm the nanostripes in (NaLa)(CaW)O6 are rotated by 45 degrees compared to its (NaLa)(MgW)O6 counterpart.In addition to the periodic nature of the nanoscale patterns, these systems are interesting for several other reasons. Their fabrication is simple, relying on standard solid sate synthetic techniques and since they are formed at temperatures in excess of 1000°C, the modulations are inherently stable at elevated temperatures. Finally, these systems and the observed patterns may all be tunable with nanometer precision. At this point, such control has only been demonstrated in the first Li-based system and remains an important goal in the other systems. With compositional modulations accompanying the structural ones, these systems represent an interesting and novel approach to patterning.[1] This and other references can be found in M. W. Licurse & P.K. Davies, Applied Physics Letters (in press, 2010).
12:45 PM - EE4.11
Hollow Metal Oxide Spheres with Porous Structures Fabricated by Anodization of Small Metal Particles.
Takashi Yanagishita 1 2 , Masaiko Imaizumi 1 , Kazuyuki Nishio 1 2 , Hideki Masuda 1 2
1 Department of Applied Chemistry, Tokyo Metropolitan Univ., Tokyo Japan, 2 , Kanagawa Academy of Science and Technology, Kanagawa Japan
Show AbstractHollow spheres with porous structures on their surface have been growing interest for various applications. There have been large numbers of methods for the preparation of hollow spheres with porous structures so far. However, in most cases, it is difficult to prepare the hollow spheres with controlled geometrical structures. In our previous report, we described that a process for the preparation of hollow spheres with porous structures based on the anodization of metal particles [1]. The hollow spheres were obtained by anodization of close-packed Al particles in an acidic solution and subsequent leaching of residual Al. The small spheres obtained in this process have a unique geometrical structure composed of a porous alumina layer with an inner hollow cavity. One important advantage of this process is that is enables control of the dimensions of the porous structures by simply adjusting anodizing conditions. In the present report, we applied the anodization process of small particles to the fabrication of titania hollow spheres with porous structures. In the experiment, the formation of porous titania layer on Ti particles was achieved by the anodization of closed-packed Ti small particles. The subsequent reaching of residual Ti generated hollow spheres with a porous structure on their surface. The interval and depth of holes could be controlled by adjusting preparation conditions. The hollow spheres prepared by the present process can be used for various applications that require hollow structures with a large surface area. [1] T. Yanagishita, K. Nishio, and H. Masuda, Appl. Phys. Express, 1, 084001 (2008).
EE5: Nanowires and Nanostructures
Session Chairs
Tuesday PM, November 29, 2011
Room 200 (Hynes)
2:30 PM - **EE5.1
Geometrical Frustration and Sidewall Patterning in Nanowire Growth.
Jerry Tersoff 1 , Klaus Schwarz 1
1 , IBM Watson Center, Yorktown Heights, New York, United States
Show AbstractThe classic images of nanowire growth show slender right prisms of Si growing in the <111> direction, each neatly capped by a droplet of the Au-Si catalyst. However, at high resolution, the sidewalls are seen to be patterned with a sawtooth texture. Yet under similar growth conditions, Si nanowires also grow in the <110> and <112> directions with no sawtooth patterning. We present simulations of nanowire growth showing that both the patterning and the competition between qualitatively different morphologies arise naturally from geometrical frustration. Intuitively, a right-prismatic structure is expected becauses it minimizes the nanowire surface energy. However, for Si there are apparently no available facets for sidewalls normal to the (111) liquid-solid interface. Thus the wire must growth in some more complicated morphology consistent with the available facet orientations. In the absence of an obvious simple "best" geometry, two very different geometries are nearly equally favorable, and small changes in the growth conditions can tip the balance between them. One of these geometries exhibits sawtooth patterning, while the other has smooth sidewalls, leading to qualitatively different behaviors. We believe that such geometrical frustration is common in diamond and zincblende structure semiconductor nanowires, because of the dominant role of the {111} facets.
3:00 PM - EE5.2
Shape Control Method for Prismatic Si-NWs by Subeutectic VLS Growth Using Cubic α–NiSi2 as Catalyst.
Wolfgang Molnar 1 , Alois Lugstein 1 , Peter Pongratz 2 , Martin Seyring 4 , Markus Rettenmayr 4 , Christian Borschel 3 , Carsten Ronning 3 , Norbert Auner 6 5 , Christian Bauch 5 , Emmerich Bertagnolli 1
1 Solid State Electronics, TU Vienna, Vienna Austria, 2 Solid State Physics, TU Vienna, Vienna Austria, 4 Institute of Materials Science and Technology, University of Jena, Jena Germany, 3 Solid State Physics, University of Jena, Jena Germany, 6 , Goethe-University Frankfurt a.M., Frankfurt a. M. Germany, 5 , Spawnt Research GmbH, Bitterfeld-Wolfen Germany
Show AbstractControlling morphology, epitaxial growth and crystallographic orientation of nanowires (NWs) is an important aspect for their integration into devices on technologically relevant scales, but this needs an appropriate growth technique to achieve. Although this is subject of several theoretical calculations, which show the possibilities of square shaped, triangular, pentagonal or even octagonal nanowires, hardly ever anything different than hexagonal NWs are achieved by bottom-up approaches in practice. Also those calculations concentrate on very thin wires (<20nm) and pretty much rule out the possibility of non-hexagonal nanowires for larger diameters. So we used the well established VLS-mechanism, the novel precursor octochlorotrisilane (OCTS) and the rather unusual catalyst Ni. With those growth temperatures from 400°C to 900°C as well as different additions of H2 were examined. Despite all the theoretical predictions this work ultimately culminated in self-assembled cubic α-NiSi2 particles, which seeded the growth of prismatic, epitaxial Si-NWs with a diameter between 30 and 200 nm at a temperature of 900°C. This is 66°C below the eutectic point and can therefore be considered as subeutectic. As α-NiSi2 features a cubic crystal structure it seems that it is working as a shaping element and forces its form onto the wire independently of their growth direction. This was already strongly suspected after measuring the angles between wires and the substrate in SEM-images, but was then confirmed by intensive investigations with transmission electron microscopy (TEM) that the wires indeed featured a square shaped habitus independently of their growth orientation and diameter. Thus growth directions of [100], [110] and [111] have been achieved on the very same sample. Concerning defects the NWs were riddled with stacking faults and even showed twin crystals. The twin crystals seem to play an important role in the initial states of the NWs’ growth as they were found in every wire leading from the bottom right under the α-NiSi2 tips. Also a high concentration of H2 in the growth atmosphere plays an important role in this mechanism by modifying the NW’s surface energy. Subsequent electrical characterization via 4-point- and back gate-measurements revealed a specific resistivity of 8.19*103 Ohm*cm and a p-type behavior.
3:15 PM - EE5.3
Low Temperature Growth of Silicon Nanowires: Influence of Nanocatalyst Preparation.
Arthur Roussey 1 2 , Chloe Thieuleux 2 , Vincent Jousseaume 1 , Christophe Coperet 3
1 , CEA-LETI, Grenoble France, 2 C2P2, ESCPE, Lyon France, 3 Department of Chemistry, ETH Zürich, Zürich Switzerland
Show AbstractTo date, the use of silicon nanowires in the microelectronic industry is still limited by the lack of fully compatible CMOS processes for the nanowire growth using metallic catalysts [1]. Recently, it has been shown that nanowire growth was possible using a CMOS compatible metal (Cu) at temperatures below 450°C [2]. However, this procedure requires the use of metal thin film which reduces the control over the nanowire size distribution [3]. Therefore, new methodologies are required in order to design catalysts with a narrow size dispersion at the nanoscale.Here is presented the formation of copper nanoparticles of selected size on silicon wafers through the controlled functionalization of wafer surfaces via a liquid phase depostion technique of organometallics (impregnation). Interestingly, arrays of nanoparticles of tunable sizes (ranging from 3 to 50 nm) and density are obtained using simple but controlled surface pretreatment and/or reaction conditions. This approach was first evaluated on the formation of copper nanoparticles on high surface area silica nanoparticles [4] and then successfully transposed to build arrays of copper nanoparticles (3-5 nm) on a silica coated silicon substrates: similarities between the two substrates could be found, according to several characterization techniques (SEM, TEM, FT-IR, ...). We also demonstrated that the nanoparticles density on the substrate depends on the pretreatment temperature of the support and this was correlated to the density of metal anchoring sites. This approach was thus extended to other substrates, such as silicon nitride, alumina, native silicon oxide, hydrogen passivated silicon or titanium nitride (a metallic thin film usually used as copper diffusion barrier). Finally, these supported nanoparticles were used as catalysts for silicon nanowire growth through chemical vapor deposition and it was showed that nanowires could be obtained at a sub-eutectic temperature above a critical radius. For example, at a growth temperature of 425°C, the minimum nanowire diameters are around 40-50 nm independently of the size of starting nanoparticles.1. Y.W. Wang, V. Schmidt, S. Senz and U. Gosele, Nat. Nanotechnol., 2006, 1, 186-189.2. V.T. Renard, M. Jublot, P. Gergaud, P. Cherns, D. Rouchon, A. Chabli and V. Jousseaume, Nat. Nanotechnol., 2009, 4, 654-657.3. A.I. Hochbaum, R. Fan, R.R. He and P.D. Yang, Nano Lett., 2005, 5, 457-460.4. I.J. Drake, K.L. Fujdala, S. Baxamusa, A.T. Bell and T.D. Tilley, J. Phys. Chem. B, 2004, 108, 18421-18434.
3:30 PM - EE5.4
Scalable Synthesis of Self-Assembled Metallic Nanowire Arrays Based on Selective Etching of Directionally Solidified Rod Eutectics.
Ayse Berkdemir 1 , Humberto Gutierrez 1 , Mehmet Guenduez 2 , Oguz Guelseren 3
1 Department of Physics, The Pennsylvania State University, University Park, Pennsylvania, United States, 2 Department of Physics, Erciyes University, Kayseri Turkey, 3 Department of Physics, Bilkent University, Ankara Turkey
Show AbstractThe formation of patterned structures on the micro/nanoscale is essential for the fabrication of many electronic, optical, and mechanical devices. In this work we present a templateless, non-lithographic and non-catalytic method for synthesis of self assembled Al-Ni nanowire arrays. The synthesis method has two steps: (i) directional solidification of rod eutectic alloy (bottom-up) provides the synthesis of well aligned Al3Ni nanowire arrays embedded in an Al matrix and (ii) partial dissolution of the matrix phase with selective wet chemical etching that exposes the free standing array of Al-Ni nanowires. The directional solidification method enabled to control over several important aspects of nanowire arrays, including the nanowire diameter, spacing, patterning, and orientation via changing the process conditions. It is observed that nanowire spacing and diameter show a narrow distribution and can be controlled by the growth rate. The dependence of nanowire spacing and nanowire diameter on the growth rate are obtained and compared with the Jackson-Hunt theory of rod eutectics. TEM/EDX analysis of the free-standing nanowires (after etching) revealed that wet chemical etching produces a porous structure with variable Al content that decreases along the nanowire with increasing etching time. The nanowire array in the matrix has potential applications in plasmonic and photonic devices, while the porous structure of the free-standing nanowires with enhanced surface area could find applications in gas absorption and catalysis.
3:45 PM - EE5.5
A New Vertical Porous Multistack Structure Fabrication Process for Nanowires/Nanotubes-Based Fully-Surround Gate Field Effect Transistor.
Emmanuel Lefeuvre 1 , Ki-Hwan Kim 1 , Marc Chatelet 1 , Didier Pribat 2 , Costel-Sorin Cojocaru 1
1 Physique, Ecole Polytechnique, Palaiseau France, 2 Energy science, Sungkyunkwan University, Suwon Korea (the Republic of)
Show AbstractA novel vertical nano-porous structure is reported as a starting point for the fabrication of a fully-surround gate field effect transistor (FET) based on well-ordered nanowires array. The proposed porous stack is perfectly suited both for the collective organization of nanostructures like nanowires (NWs) or nanotubes (NTs), as high density (up to 10^11.cm-2) arrays with calibrated diameters (during growth), as well as for easing the Source, Gate, and Drain electrodes connections for individual or groups of nanostructures. Moreover the unique fully-surround gate architecture enables a quasi-ideal coupling between the gate and the channel, theoretically leading to improved devices performance and reducing the global power consumption. In this paper we describe the main steps for this versatile and lithography-free technique to fabricate a multi-layer porous template down to the nanometer scale, as well as the first nanostructures (Carbon NTs) growth attempts inside such functional template. We highlight the fact that the proposed porous structure acts as a passive template for the growth as well as an active component for the future device.A Porous Anodic Alumina (PAA) layer is used as a hard mask to transfer its nano-porous pattern through two underlying layers (namely aluminium and silicon oxide) via anisotropic etchings, thus leading to the formation of a tri-layer stack with self-aligned and straight vertical pores, on top of the underlying substrate (i.e. p-doped Si). Subsequent electrodeposition of metal catalyst at the bottom of the pores and chemical vapor deposition (CVD) growth have been performed in order to assert the feasibility to fabricate the complete fully-surround gate FET structure. Thanks to this configuration, one can simultaneously achieve high-density integration, good control over the location of the NWs/NTs and a quasi-ideal gate coupling while also enabling new 3D architectures. The proposed approach is in line with bottom-up fabrication approach to provide smaller devices, and is fully-compatible with classical processes used in the silicon industry.
4:30 PM - EE5.6
Directed Assembly of Gold Nanorods Using Aligned Polymer Nanofibers.
Chang Lee 1 , Limei Tian 1 , Abdennour Abbas 1 , Ramesh Kattumenu 1 , Srikanth Singamaneni 1
1 Mechanical Engineering and Materials Science, Washington University in St.Louis, St.Louis, Missouri, United States
Show AbstractNon-spherical metal nanoparticles are very attractive plasmonic nanostructures for the fabrication of highly efficient surface enhanced Raman scattering (SERS) substrates owing to the facile tunability of the plasmonic properties and presence of sharp corners and edges, which act as electromagnetic hot spots for SERS. However, such anisotropic nanostructures exhibit strong polarization dependence in their plasmonic properties and SERS effect, exhibiting significantly higher SERS intensity with certain orientations. We demonstrate a massive directed assembly and organization of gold nanorods using highly aligned electrospun polymer nanofibers. The massive alignment of the gold nanorods is due to the hydrodynamic drag force that arises as a result of the micro- and nanochannels formed between the nanofibers. Apart from exhibiting nearly 50 times higher SERS intensity compared to a planar substrate with randomly oriented nanorods, our results further highlight the importance of orientation of anisotropic nanostructures. Finite difference time domain (FDTD) simulations employed to understand the electromagnetic field distribution around an aligned nanorod array showed an excellent agreement with the experimental observations.
4:45 PM - EE5.7
Structural and Magnetic Properties of Planar Nanowire Arrays of Fe Grown on Oxidized Vicinal Silicon (111) Templates.
Sunil Arora 1 , Brendan O'Dowd 1 , Paul McElligott 1 , P. Thakur 2 , N. Brookes 2 , B. Ballesteros 3 , Pietro Gambardella 3 4 , Igor Shvets 1
1 Centre for Adaptive Nanostructures and Nanodevices (CRANN), School of Physics , Trinity College Dublin, Dublin, Dublin, Ireland, 2 , European Synchrotron Radiation Facility, Grenoble France, 3 , Catalan Institute of Nanotechnology (ICN-CIN2), Barcelona Spain, 4 , ICREA and Universitat Autonoma de Barcelona, Barcelona Spain
Show AbstractRealization of planar nanowire(NW) arrays that are ferromagnetic at room temperature is of great technological importance and has attracted significant attention due to their promising application potential in spin electronic devices and fundamental perspective.[1,2] Formation of such structures could be either process-directed or self-assembly based. Self-assembly based methods used to realize the planar NW arrays (step flow growth and step decoration) have stringent restrictions of wire thickness, and, are suitable only for a limited number of material-substrate combinations.[2] Here, we demonstrate fabrication of planar arrays of Fe-nanowires using the atomic terrace low angle shadowing (ATLAS) technique[2,3] on self-assembled oxidized step-bunched templates of vicinal Si(111). Self assembled templates with a wide range of periodicities (terrace width + step-bunch width) between 30-3000 nm are formed via a dc-current annealing of Si(111) that are miscut along (11-2) direction by 2.5o. Nanowire arrays on step-bunched templates are formed by directing Fe- flux at a shallow angle towards the descending step direction under UHV conditions. Subsequent to Fe-growth, a cap layer of MgO (5 nm thick) was deposited to prevent oxidation of the Fe. Shadowing caused by the step bunches leads to a partial coverage of terraces. By selecting an appropriate combination of template and deposition angle, we are able to grow NW arrays of varying NW width (15-1500 nm) and separation (20-80% of step-terrace periodicity). In contrast to step flow growth mode, the ATLAS method allows one to grow much thicker wires (2-5 nm thickness) than the fractional ML thick planer NW arrays obtained using the step-flow growth mechanism. Magnetization behaviour of the magnetic NW arrays has been studied in the 10K -300 K range. Fe nanowire arrays with wire widths down to 15 nm possess ferromagnetic behaviour at room temperature with a preference of easy axis along the length of wires. The NWs possess island type morphology which is related to the 3D growth mode of Fe on oxidized silicon. In the initial stages of growth, discontinuous chains of aligned Fe islands form on the terraces. With increasing thickness, the density of the islands increases, eventually leading to the formation of a nanowire of coalesced islands. Further studies, on these planar NW arrays using element specific x-ray magnetic circular dichroism measured for Fe L3,2 edge in total electron yield (TEY) mode confirmed the ferromagnetic nature of wires at 300 K. Presence of oxidized interfaces formed between the Fe-NWs and cap-layer of MgO, and between Fe NWs and substrate (SiO2) owing to oxygen 2p-Fe 3d hybridization was also noticed.References:1.C. Teichert, Appl. Phys. A 76, 653 (2003) 2.S.K. Arora et al., J. Appl. Phys. 109, 07B106 (20111)3.F. Cuccureddu et al., Rev. Scientific Instruments 79, 053907 (2008)
5:00 PM - EE5.8
Synthesis and Characterization of Millimeter Length 2D Nanosheets of NaxCoO2.
Mahmut Aksit 1 , David Toledo 1 , Richard Robinson 1
1 MSE, Cornell University, Ithaca, New York, United States
Show AbstractA scalable nanomanufacturing technique is reported for batch fabrication of electrically-conducting 2D complex metal-oxide nanosheets of Na0.7CoO2. We report a sol-gel based, high temperature bottom-up synthesis which is a cost-effective route capable of producing tens of thousands of nanosheet layers self-organized into a macro-scale pellet. The synthetic procedure consists of sol-gel coordination of metal ions, auto-combustion, pressurized pellet formation, kinetic demixing, and calcination. The nanosheets are uniform in length and shape with highly anisotropic dimensions of nanometer sheet thickness and millimeter lateral lengths (10-5:1:1). Through a themal shock-based exfoliation method they are readily delaminated into free-standing nanosheets. Results from in-plane x-ray studies performed by a 6-angle diffractometer at Cornell High Energy Synchrotron Source (CHESS), the nanosheets stack on each other in a turbostratic arrangement, with the nanosheets misaligned rotationally with respect to stacking axis. The exfoliated nanosheets are as large as 350 microns, which is ~10 times larger compared to typical values reported in the literature. Selected area electron diffraction (SAED) studies and dark field imaging performed via transmission electron microscopy (TEM) indicated that the material does not decompose during exfoliation and the exfoliated nanosheets are single crystalline.
5:15 PM - EE5.9
A Novel Wet Processing-Based Method for Fabricating Self-Assembling Nanofibers Arrays out of Organic or Inorganic Materials.
Alessandro Fraleoni Morgera 1
1 , Sincrotrone Trieste SCpA, Basovizza (TS) Italy
Show AbstractWhen fabricating high aspect ratio nanostructures on planar substrates, wet-processing techniques are preferable to vacuum-based ones, because of lower costs and higher versatility. In particular, nanofibers’ patterns can be obtained by self-assembling,[1-3] contact printing [4,5] or electrospinning, [6] but these methods do not allow to achieve features homogeneity over areas as large as thousands of um2 in reasonable times and at acceptable costs. Here, examples of a novel, fast, low-cost and versatile wet-processing method for the creation of large area, ordered arrays of filamentary nanostructures are presented. The technique, named Auxiliary Solvent-Based Sublimation-Aided NanoStructuring (ASB-SANS), exploits an organic crystal able to sublimate (SS) as a templating matrix for the material to be structured/patterned (Target Material, TM) [7]. Both materials are dissolved in an appropriate auxiliary solvent (AS), enabling the wet-processing of the ternary mixture at room temperature and ambient pressure, and over large areas. This procedure allows an extreme versatility in terms of patternable TMs. In particular, the proper choice of the AS and SS allows to address both organic and inorganic materials. Proof-of-concept of these principles is demonstrated presenting patterns fabricated within minutes out of organic polymers (Fig. 1a), carbon nanotubes (Fig. 1b) and inorganic nanoparticles (Fig. 1c).The so-developed patterns cover several hundreds, or even thousands, of um2 of the substrate with a notable homogeneity, and the size of the obtained features can be controlled varying the growth conditions, delivering lateral dimensions spanning from a few tens of nm to a couple of um. Further applicative versatility of the method is achieved varying the SS/TM ratio in the starting solution, which allows to tune the topology of the fabricated patterns, switching from connected to isolated fibers arrays. Several examples of this approach, carried out with different materials, will be presented and commented. The applicative possibilities of the technique in different fields will be also discussed. Overall, the reported results, achieved with no particular attention to the fabrication conditions (i.e., no use of clean rooms or of sophisticated deposition techniques), suggest that ASB-SANS may become a widely diffused nanostructuring technique.References[1] J. Sharma, R. Chabra, Y. Liu, Y. Ke, H. Yan, Angew. Chem. – Int. Ed., 45, 730-735, 2006[2] Block Copolymers in Nanoscience (Eds.: M. Lazzari, G. Liu, S. Lecommandoux), Wiley-VCH, Weinheim, 2006[3] S. Kinge, M. Crego-Calama, D. N. Reinhoudt, ChemPhysChem, 9, 20-42, 2008[4] B. D. Gates, Chem. Rev. 105, 1171-1196, 2005[5] W. T. S. Huck, Angew. Chem. – Int. Ed. 46, 2754-2757, 2007[6] Z.-M.Huang, Y.-Z. Zhang, M. Kotakic, S. Ramakrishna, Compos. Sci. Technol. 63, 2223–2253, 2003[7] A. Fraleoni-Morgera, Small, 7, 321-325, 2011
5:30 PM - EE5.10
Large Area Nanofabrication by Self-Assembly and Metal Assisted Etching.
Luca Boarino 1 , Paola Tiberto 1 , Natascia De Leo 1 , Federica Celegato 1 , Gabriele Barrera 1 , Dario Imbraguglio 1 , Guido Panzarasa 2 , Katia Sparnacci 2 , Michele Laus 2
1 Electromagnetism, INRIM, Turin Italy, 2 Lifescience and Environment, Università del Piemonte orientale A. Avogadro, Alessandria Italy
Show AbstractSupramolecular self-assembly is a promising approach for large area nanostructuration of surfaces, thin films and bulk materials. By using different types on silica or polymeric nanospheres with diameter ranging from 800 nm to 100 nm, regular and semi-ordered nanostructures like nanowires, nanopillars, mesopores or nanostructured thin films have been obtained. Self-assembly of polystyrene nanospheres in a hexagonal close packed structure is achieved by floating technique, then the 2D crystal is lifted on a silicon substrate. Nanospheres can be reduced in diameter by Reactive Ion Etching (RIE) in O2 atmosphere, then thin films deposition is performed. In this way a typical “antidot” structure is formed. To obtain the complementary “dot” structure, a thin continuous film is deposited before nanospheres self-assembly, then few minutes of sputter-etching treatment in Ar+ removes the thin film in the zones not masked by the nanospheres.The same procedure is applied to a bulk semiconductor like silicon, using the polystyrene nanospheres packing as a soft mask, patterning noble metals like Silver and Gold and etching silicon by Metal Assisted Etching (MAE). In this way, different families of nanostructures like nanopillars, nanowires and porous silicon have been achieved, with good sizes reproducibility and on large areas.
5:45 PM - EE5.11
Fabrication of Micro-Nano Hierarchically Structured Films with Hexagonal Non-Close-Packed Arrangement Using Physical Deposition Process.
Naoto Koshizaki 1 , Shuyan Gao 1 , Yue Li 1 , Yoshie Ishikawa 2
1 , AIST, Tsukuba Japan, 2 , Kagawa University, Takamatsu Japan
Show AbstractNanostructured ordered arrays have recently attracted much interest due to their various applications. Although the conventional methods for obtaining nanostructured arrays are lithographic techniques, the problems of high cost and low throughput remain unsolved. A monolayer colloidal crystal template technique has proved to be a successful and promising technique as a novel technique based on self-assembling routes, different from lithographic techniques. By using this strategy and taking the monolayer colloidal crystal as a mask or template, nanoparticle arrays, nanopore arrays, nanoring arrays, etc. could be prepared. Although this technique is well developed, there is still an interest in devising novel nanostructured arrays by combining the colloidal monolayer with other techniques, such as a plasma process (e.g., pulsed laser deposition, sputtering, etc). Moreover, many investigations have recently demonstrated that hierarchical structures could improve the properties of materials in optoelectronic devices, biomedical science, field emission, bionic superhydrophobic surfaces, and therefore, these have attracted much attention. Here, we present a new approach for fabricating micro-nano hierarchically structured films with hexagonal non-close-packed arrangement (hncp) by physical deposition process, such as laser ablation or sputtering, using a polystyrene (PS) colloidal monolayer as a template. Fabrication process of micro-nano hierarchically structured oxide films by combining colloidal monolayer template film and pulsed laser ablation or sputtering technique is as follows. First, a hexagonal close-packed arrangement of mono-layered PS colloidal particles is formed in a self-organized manner using homogenously sized particles several hundreds of nanometers to several micrometers in diameter. Subsequently, an oxide material with nano-porous structure is deposited by laser ablation process under appropriate pressure condition, reflecting the template structure in micrometer scale. Thus the oxide micro-nano hierarchically structured film with hexagonal close-packed arrangement (hcp) arrangement was obtained. The hncp structure is subsequently obtained by thermal shrinkage of the nano-porous hcp structure. The hncp structure is also simply obtained by sputter etching/deposition process onto hcp arrangement of mono-layered PS colloidal particles. In this process, etching of PS particles and deposition by sputtering concurrently proceeded. Their detailed fabrication processes and interesting applications, such as wetting, field emission, catalytic and sensing properties, are also presented.
EE6: Poster Session I
Session Chairs
Jonah Erlebacher
Stefan Mayr
Wednesday AM, November 30, 2011
Exhibition Hall C (Hynes)
9:00 PM - EE6.1
Sub-10 nm Dual Phase Pattern Formation from a Block Copolymer Film Using Electron-Beam Irradiation and Solvent Annealing.
Jeong Gon Son 1 , Jae-Byum Chang 1 , Karl Berggren 2 , Caroline Ross 1
1 Dept. Materials Science and Engineering, MIT, Cambridge, Massachusetts, United States, 2 EECS, MIT, Cambridge, Massachusetts, United States
Show AbstractBlock copolymers spontaneously microphase-separate to form periodic features with a length scale of ~ 10 nm and above. Thin films of these materials can be used as masks for nanolithography and for device fabrication. However, block copolymer self-assembly is generally limited to forming simple patterns such as arrays of dots or lines, determined by the volume fraction of the blocks and the processing conditions. In this work, complex patterns consisting of coexisting sub-10 nm dots and lines have been created using a combination of serial solvent anneal processes combined with electron-beam irradiation of selected areas of a film of poly(styrene-block-dimethylsiloxane) (PS-b-PDMS) copolymer. By using solvent vapors of different selectivity between the two blocks, the film morphology was varied between cylindrical and spherical, and the patterns were stabilized within specific regions of the film using the electron-beam to cross-link the polymer. The micro-phase separation can be templated by sidewalls or post arrays, leading to dual-morphology patterns such as a single line surrounded by close-packed dots. This process is demonstrated using 16 kg/mol PS-b-PDMS block copolymer (f_PDMS = 0.33) which was self-assembled by annealing in acetone solvent vapor at room temperature to form a monolayer of in-plane cylinders of PDMS in a PS matrix, or with dimethylformamide (DMF) to form a monolayer of spheres. Then electron beam irradiation was performed in specific areas with an areal dose from 0.01 mC cm-2 to 1 mC cm-2 or a linear dose from 200 pC cm-1 to 5000 pC cm-1 in order to cross-link and immobilize the block copolymer chains. Then a second solvent annealing step was executed using a different solvent vapor to change the domain morphology in the unirradiated area. The self-assembled pattern is revealed by etching the film in an oxygen reactive ion etch which removes the PS and oxidizes the PDMS. The annealing can form dual phase complex patterns with sub-10 nm dimensions in a single block copolymer film. For example, a single 9 nm wide line surrounded by close-packed dots of 22 nm center-to-center spacing was formed. Low energy electron beam irradiation is also effective in modifying the period of the patterns by partly cross-linking the polymer. The structures can be templated using topographical posts or removable sidewall templates, offering the possibility of forming a wide range of aperiodic pattern geometries including single lines, rows of line segments 9nm wide and 100 nm long, or arbitrary patterns consisting of parallel lines, dot arrays and blank regions. These techniques extend the capabilities of block copolymer lithography, enabling complex aperiodic nanoscale patterns to be formed in a single block copolymer thin film. This work significantly extends the ability of block copolymer lithography to produce patterns essential for nanoscale device fabrication.
9:00 PM - EE6.10
Fabrication of Self-Assembled Cylindrical Structures within Free-Standing Block Copolymer Ultrathin Membranes.
Shinichi Warisawa 1 , Hiroyuki Mino 1 , Reo Kometani 1 , Sunao Ishihara 1
1 Department of Mechanical Engineering, The University of Tokyo, Tokyo Japan
Show AbstractThin membranes with periodical nano/micro structures are of importance to applications such as sensing devices, optical devices and filtering devices. In this paper, we demonstrated fabrication of self-assembled cylindrical morphology within free-standing PS-b-PMMA ultrathin membranes. We used suspended parallel guide structures of diamond-like carbon (DLC) by gallium focused-ion-beam chemical vapor deposition (FIB-CVD) and wet-etching processes. The structures were dip-coated to form PS-b-PMMA free-standing membranes and thermally annealed to form self-assembled cylindrical morphology. We investigated fabrication conditions of free-standing membranes of block copolymers, observed self-assembled structures, and studied the relationship between the pitches of the parallel guide and the alignment of the self-assembled cylindrical morphology.In experiments, suspended parallel guide structures of 60 ~ 348 nm pitches were patterned on silicon (Si) substrates by FIB-CVD with phenanthrene as a gas source. The DLC guide structures were released from Si substrates by tetramethyl-ammonium hydroxide (TMAH) wet etching. Au was deposited 100 nm in thickness on the DLC guide structures by sputtering to control the surface affinity with the block copolymer. 1 wt-% PS-b-PMMA solution in toluene was dip-coated on the guide structures to form free-standing membranes. The block copolymer used in our experiments was PS-b-PMMA (PS:PMMA = 50kg/mol:21kg/mol) to form vertical cylinders of PMMA in a matrix of PS. Finally, the membranes were annealed at 210 deg. for 5 min. The natural period L0 of the block copolymer was ∼35 nm, which means vertical cylindrical structures are aligned hexagonally in 2 ~ 12 rows between the suspended parallel guide structures.Free-standing block copolymer membranes were successfully fabricated between suspended parallel guide structures. Self-assembled vertical morphologies formed well in a hexagonal alignment within the free-standing membranes. The period between the vertical cylinders ranged 35.7 to 43.3 nm, which were longer than the period of 28.2 to 38.1 nm in the case of self-assembled structures standing on Si substrates. The diameters of the vertical cylinders were found larger around the central region of the membranes than those near the suspended guides. Obviously, the thickness of the membranes became thinner from boundaries to center. Furthermore, mobility of the block copolymer during thermal annealing would be different. Another factor inducing the findings will be a gravity effect on the membranes. These are being under investigation and will be presented.
9:00 PM - EE6.11
Enhancing the Self-Assembly of Block Copolymer Systems Using Solvents for Nanoscale Pattern Formation: A Self-Consistent Field Theory Study.
Adam Hannon 1 , Kevin Gotrik 1 , Jeong Son 1 , Alfredo Alexander-Katz 1 , Caroline Ross 1
1 Materials Science and Engineering, MIT, Cambridge, Massachusetts, United States
Show AbstractThe ability for thin film block copolymers to self-assemble into a wide array of nanoscale patterns with sub-10 nm feature resolution has been of great interest in patterned media and nanofabrication applications in recent years. A major obstacle in using block copolymers to create desired nanostructures comes from the lack of long range order in these systems under normal thermal annealing conditions. Recent success in rectifying this behavior has been demonstrated both experimentally and in simulation by topographical templating with features such as posts and trenches as well as chemical patterning. In addition to these approaches, experimental methods using solvent annealing to get better long range order have been performed both separately and now in combination with templating [Adv. Mater. 23 634 2011].In this presentation, we used self-consistent field theory (SCFT) simulations that model various templating boundary conditions in combination with solvent incorporation to better understand the ability of the solvents to anneal out defects and change equilibrium morphologies in block copolymer systems. Previous SCFT studies of systems using field boundary conditions to model topographical post features have been successful in accurately predicting the morphologies observed in experiment [Macromolecules 43 8290 2010]. Building off of these simulations and with the inspiration of the recent success of solvent annealing in having better control over the bulk morphology of the system, SCFT simulations were performed that introduce solvent into the systems using a monatomic fluid single molecule partition function for a given solvent. The simulation parameters were set to the high Flory-Huggins χ value of 0.224 at room temperature of the experimental PDMS-PS system with volume fractions over a wide range to capture different morphologies including spheres, cylinders, perforated lamellae, and regular lamellae. The experimental solvents used were heptane and toluene which preferentially anneals PDMS and PS respectively. These solvents were modeled in the simulation as two orthogonal solvents that preferentially interact with the enthalpically similar block. To examine solvent annealing, systems were initially evolved with just copolymer and the resulting equilibrium field structures have varying amounts of solvent volume added to the system while keeping the total original copolymer volume fraction conserved until a desired swelling ratio was reached. Systems were simulated in three-dimensions to capture the swelling behavior of the copolymer internal structure not easily observed experimentally. An example result showed that adding pure heptane to a PDMS-PS film with f_PDMS=0.32 and χN=14 to achieve a swelling ratio of 1.5 changed the pure block copolymer morphology from spheres to cylinders. The results of the study will be used to enhance experimental solvent annealing methods for long range ordered nanoscale pattern formation.
9:00 PM - EE6.12
Cosolvent Annealing Effects on Block Copolymer Morphology.
Kevin Gotrik 1 , Adam Hannon 1 , Jeong Gon Son 1 , Caroline Ross 1
1 MS&E, MIT, Cambridge, Massachusetts, United States
Show AbstractBlock copolymers (BCP) are of interest for lithographic applications due to their ability to generate patterns with period ranging from 10 - 100nm. Looking forward, there are still many obstacles such as reproducibility and morphology control to be overcome if this technology is to be used on an industrial scale. In bulk, the self-assembled block copolymer morphology (lamellae, cylinders, etc.) is determined by the volume fraction of the blocks, but for lithographic applications it is advantageous to be able to control the morphology, for example to form several patterns in the same block copolymer film, because it allows for a reduction in the complexity of the pattern formation process flow. Solvent annealing is of increasing interest in accomplishing this. We report a wide range of control and reproducibility with a custom built solvent annealing system in which multiple solvent vapors generated by liquid solvent bubblers are controlled via mass flow controllers (0-10 sccm), and flowed into an annealing chamber (quartz, 80cm^3) where the polymer films are analyzed in situ using spectral reflectometry. We compare experimental results with those of self-consistent field theory modeling where solvent is added through a monatomic fluid partition function and we model swelling evolution through addition of solvent volume to the simulation space while keeping the overall copolymer fraction constant.Thin films (30-70 nm) of poly(styrene-b-dimethylsiloxane) (PS-PDMS, segmental Flory-Huggins interaction parameter=0.26, f_PDMS = 0.32) with a molecular weight of 45 kg/mol can form in-plane cylinders (with line-widths and periodicities ranging from 12-28 nm and 41-48 nm respectively), out of plane vertical cylinders, perforated lamellae (with either PS or PDMS as the ‘majority’ block, 40 nm period), in-plane lamellae, bicontinuous phases, and metastable phases consisting of neighboring morphologies when exposed to different vapor conditions of toluene and heptane. We map the phase behavior by tracking the in situ swelling that the block copolymer films and the homopolymer films undergo by using spectral reflectometry (270-1500 nm) followed by selectively etching the PS with an oxygen plasma (50 W O2) to reveal the PDMS morphology. By changing the flow rates of nitrogen through the toluene, heptane, and mixed solvent chambers and using previously obtained knowledge of the vapor pressure behavior of this cosolvent system [J. Chem&Engr. Data 24 195 1979] we can explore the entire parameter space that is available under standard operating conditions (1 atm, 298.15 K). The wide range of possible morphologies obtained from a single block copolymer film allows complex nanoscale patterns to be generated for lithographic and other applications. These results are also applied to lower molecular weight system (16 kg/mol) of PS-PDMS and we show that similar control can be achieved on smaller length scales (15-20 nm period).
9:00 PM - EE6.15
Monodisperse Block Copolymer Nanoparticles by Capillary Force Lithography.
Su Yeon Lee 1 2 , Shin-Hyun Kim 3 , Taegyun Kwon 2 , Bumjoon Kim 2 , Seung-Man Yang 1 2
1 National Creative Research Initiative Center for Integrated Optofluidic Systems , KAIST, Daejeon Korea (the Republic of), 2 Department of Chemical and Biomolecular Engineering, KAIST, Daejeon Korea (the Republic of), 3 School of Engineering and Applied Sciences, Harvard University, Cambridge, Massachusetts, United States
Show AbstractRecently, the microphase separation of block copolymers has been developed in geometrical confinement such as emulsion droplets and cylindrical pores. Depending on the size of the confining geometry relative to the characteristic length of the microphase, the distinctive and various morphologies have been observed in both experimental and theoretical studies. We report a simple and versatile method for the preparation of block copolymer nanoparticles with narrow size distribution through a combination of block copolymer self-assembly and capillary force lithography (CFL) techniques. A bottom-up approach, using block copolymer, induces the microphase separation structures in confined geometry, and a top-down lithography approach determines the overall shape of the particles. During CFL, block copolymer confined in two-dimensional geometries self-assemble into ordered phase-separated domains. The internal morphology of the nanoparticles could be modulated by controlling the weight fractions of two chemical compounds. Lamellae, perforated lamellae, cylindrical and spherical phases were formed in the nanoparticles depending on the weight fraction of PS in the block copolymer. Moreover, monodisperse nanoparticles with hierarchical porous structures were produced after selective etching of one block in block copolymer nanoparticles.
9:00 PM - EE6.16
Template-Free Electrochemical-Controlled Syntheses of Micro/Nanostructured and Nanotextured Conducting Polymer Polypyrrole.
Sanju Gupta 1
1 Chemistry & Biophysics, University of Pennsylvania, Philadelphia, Pennsylvania, United States
Show AbstractPolypyrrole (PPy) in the family of pi-conjugated polymers is a potential candidate for building microscopic actuators, electrochromic devices, and now drug delivery systems. Hereby, our focus is on synthesizing novel conducting polypyrrole structures from micron to nanoscale and their structural and electrochemical characterizations. We have used electrochemical technique to polymerize pyrrole onto stainless steel substrates from electrolytes (organic solvent, supporting electrolyte, and monomer) in a single compartment three-electrode cell. The stainless steel (Grade: SS321) substrates were hand polished and cleaned which act as working and counter/auxiliary electrodes. The reference electrode was a standard Ag/AgCl (saturated calomel electrode). Three different solutions were utilized: i) 0.25 M pyrrole and 0.4 M NaClO3 (sodium chlorate, 99% min ii) 0.25 M pyrrole and 0.4 M NaClO4 (sodium perchlorate, 99% min and iii) 0.25 M pyrrole and 0.4 M beta-NSA (2-Naphthalenesulfonic acid, sodium salt 95%). While NaClO3 and NaClO4 act as an electrolyte, the b-NSA functions both as an electrolyte and a surfactant. Experimental results show that surfactant-mediated growth under controlled electrochemical biasing led to synthesis of micro/nanostructures. We propose that the electro-generated hydrogen bubbles along with the dopant micelles in conjunction with the pyrrole/dopant clusters act as template, which are coated with conducting polypyrrole as the suitable electrochemical (potential window, number of cycles, and scan rate) conditions were applied enabling the formation of micostructures, the shapes of which were tubular or bowl-like revealed using scanning electron microscopy. Their diameters and room temperature conductivities were ranged 50-2000 nm and 1-10 S cm-1, respectively. These films were also characterized using XRD, vis resonance Raman spectroscopy, TEM, X-ray photoelectron spectroscopy and electrochemical impedance to establish property-structure correlations [1, 2]. These films are proposed for drug delivery in the realm of bio-nanotechnology. [1] S. Gupta, APL (2006) [Selected for Virtual Journal of Nanoscale Science & Technology June Issue (2006)]; [2] S. Gupta, JRS (2009).
9:00 PM - EE6.17
Peptide and Polymer Induced Gold Nanoparticle Superstructure.
Tingling Rao 1 , Xuehui Dong 1 , Stephen. Z. D. Cheng 1 , Matthew Becker 1
1 Polymer Science, University of Akron, Akron, Ohio, United States
Show AbstractGold nanoparticles are of great interest due to their unique optical, electrical and catalytic properties when at least one dimension is reduced to nanometer length scale. When gold nanoparticles are interacted with light with certain wavelength, strong absorption or scattering will occur. And those properties are predicted to depend on particle size, shape, environment as well as the way they assemble with respect to each other. The individual and ensemble properties of nanoparticles collectively render them as promising candidates as building blocks for advanced functional materials which can be particularly applied to bio-imaging, bio-sensors and drug delivery vehicles. Therefore, in order to adjust the ensemble properties, methods to precisely control nanoparticle assembly need to be developed. Substantial effort has been devoted to arrange gold nanoparticles into one, two or three dimensions. Some typical methods incorporate using polymers, proteins and DNA as molecular template. However, it is still a great challenge to assembly nanoparticles into well-defined superstructure in order for practical use. Here we propose a more biocompatible and environmentally benign method based on polymer and peptide to address the problem.A3 peptide, with the specific amino acid sequence (AYSSGAPPMPPF), is able to help form and stabilize spherical gold nanoparticles in the presence of inorganic salts and reducing agents, which makes it particularly useful molecule in fabricating new materials [1,2]. One of our strategies is to combine A3 peptide and diblock copolymers as templates to control gold nanoparticles arrangement. Via modulating key parameters which dominate gold nanoparticle growth, we aim to control both quantity and location of gold nanoparticles. From the intention of our studies in this area, we target creation of well-defined nanostructures possessing surface available bio-image agents, which has potential practical applications in drug delivery. [1] RR. Naik, SJ. Stringer, G. Agarwal, SE. Jones, MO. Stone*, “Biomimetic synthesis and patterning of silver nanoparticles” Nat. Mater., 2002, 1, 169-172[2] SK Stanley*, ML Becker*, EK Lin, WL Wu "Mechanisms in Peptide-Mediated Nanocrystal Nucleation, Growth, and Stabilization" Langmuir, 2009, 25(18), 10886-10892.
9:00 PM - EE6.18
Fabrication of Hierarchical Polymer Nano-Needle Assemblies for Super-Hydrophobic Applications.
Jiseok Kim 1 , Brian Lew 1 , Woo Soo Kim 1
1 Engineering Science, Simon Fraser University, Surrey, British Columbia, Canada
Show AbstractLarge-area films of super-hydrophobic polymer needle assemblies with radius of curvature less than 10 nm were fabricated by simply taping off the top part of honeycomb structures which were obtained using the controlled mixture of polystyrene and the diblock copolymer polystyrene-b-poly(2-vinylpyridihne) (PS-b-P2VP) by breath figure method in closed high humidity chamber. The fabricated honeycomb structures had pores, sized from a few hundred nm to a few μm depending on concentration of the polymers at a given high relative humidity. Lateral distances between needles and needle’s heights varied according to the pore sizes. As a final step, monolayer deposition of perfuoro-octyltriethoxysilane (F-silane) was treated on the surface of the array of nano-needles for obtaining super-hydrophobicity on the film with the F-silane treated array of nano-needles. In addition, nano- and micro-porous hierarchical patterns were simply fabricated by gentle pressing of the honeycomb structures with flexible polyurethane and PDMS stamps at ambient temperature. In this study, the facile methods without involving any chemical reaction or special patterning technique were presented to generate super-hydrophobic films and micro- or nano-porous hierarchical patterns. The fabricated hierarchical structures with feasibility of hydrophobic and hydrophilic switching properties according to hierarchies could be useful for directing anisotropic growth of cells.
9:00 PM - EE6.19
Modeling the Effects of Inter-Rod Interactions on the Self-Assembly of Nanorod-Filled Binary Blends.
Egor Maresov 1 , Li-Tang Yan 1 , Ryan Hayward 2 , Todd Emrick 2 , Thomas Russell 2 , Anna Balazs 1
1 Department of Chemical and Petroleum Engineering, University of Pittsburgh, Pittsburgh, Pennsylvania, United States, 2 Department of Polymer Science and Engineering, University of Massachusetts Amherst, Amherst, Massachusetts, United States
Show AbstractWe develop a computer simulation to determine the effects of rod-rod interactions on the self-assembly of nanorods in phase-separating AB binary blends where the nanorods have a preferential affinity for the A phase. The structure formation and evolution of these systems are simulated by employing a mesoscopic, coarse-grained description of the blend with a discrete model for the rods. The interaction potential describing the rod-rod interactions contains both a repulsive and attractive term. We vary the attractive contribution to investigate the dynamics of the domain growth and the morphology of the system. The parameters leading to bicontinuous structures were found. The results of the study can facilitate the creation of nanocomposites that exhibit useful mechanical and photovoltaic properties.
9:00 PM - EE6.20
Preparation of Hierarchical Structures by Anodization of Patterned Metal Microparticles.
Yuehua Cui 1 , Adam Paxson 1 , Rajeev Dhiman 1 , Kripa Varanasi 1
1 , MIT, Cambridge, Massachusetts, United States
Show AbstractAnodization of metals, especially Al, has inspired a significant body of research due to the resulting well-ordered nanostructures and their applications in photonic structures, superhydrophobic/philic surfaces, catalysis, and fuel cells. Most studies focus on the formation of textured surfaces with a single length scale, for example hexagonal arrays of nanopores on a flat surface. Although a few anodization studies have been performed on of microstructured substrates, the fabrication of hierarchical structures by anodization has not been extensively studied. Here we develop a new low-cost process for producing hierarchical structures across large areas. A monolayer of metal microparticles is coated on a metal substrate using a polymer adhesive layer, and then anodized to produce nanopores. The dependence of the nanopore dimensions and spacing on electrolyte composition, anodization voltage, and substrate geometry was systematically studied, and we develop a design space for obtaining desired hierarchical structures. We further investigate the wetting properties of these surfaces in such scenarios as drop impact and shedding, condensation, and boiling. We find that the addition of a microscale roughness to the conventional single length scale nanostructures results in superior droplet impact and shedding properties.
9:00 PM - EE6.21
In Situ Formation of Nanoscale Porous PMMA Network Structures on the Surface of Immiscible Polymer Blends by Solvent Extraction and Redeposition.
Giorgiana Giancola 1 , Richard Lehman 1
1 Materials Science and Engineering, Rutgers University, Piscataway, New Jersey, United States
Show AbstractAn interesting and potentially useful phenomenon in PMMA/HDPE immiscible blends has been identified in which a nano-scale (50 – 150 nm) co-continuous network of PMMA domains is formed in-situ through a solvent extraction/redeposition process.Binary blends of PMMA/HDPE were formulated in a range of compositions using two methods, traditional extrusion with standard commercial pellets and a novel powder processing technique that employs fine micrometer sized particles that are physically homogenized as powders prior to melt processing. In both processing techniques a nearly co-continuous, fine micrometer-scale (domains <5 micrometers) morphology was observed. During SEM image analysis of the blends, we sought to enhance domain boundary definition by etching the specimens briefly (~30 - 300 s) with dimethyformamide (DMF), an intermediate solubility parameter solvent that has been used in other studies in our laboratory to remove the PMMA domains from a PLLA/PMMA composite. The etched surface of the specimens could not be observed since they were obscured by a co-continuous mesh of fine (~50 - 150 nm diameter) polymer domains. FTIR and DSC analysis showed that this matrix network is comprised of PMMA. The fineness of the domains and the co-continuity of the network immediately attracted our attention and we initiated a study to determine the cause. Presently, only a limited number of solvents have been investigated with regard to their efficacy in forming such a network, but the solubility parameter is a critical variable. An intermediate level of solubility is essential in providing a dissolution/redeposition process that generates the desired morphology. The proposed mechanism of this nanoscale self assembly process is presented.The ability of these fine PMMA domains to self-assemble on the surface of the binary PMMA/HDPE composites and the network nature of the structure has suggested multiple areas of application.
9:00 PM - EE6.22
Nanostructured ZnO Films Grown by D.C Magnetron Sputtering on Porous Anodic Alumina.
Miriam Yuste 1 , Ignacio Minguez-Bracho 1 , Oscar Martinez 2 , Ramon Escobar Galindo 1 , Olga Sanchez 1 , Manuel Hernandez Velez 1 3
1 , Instituto De Ciencia de Materiales de Madrid (CSIC) , Madrid Spain, 2 GdS-Optronlab, Dpto. Física Materia Condensada, Universidad de Valladolid, Valladolid Spain, 3 Applied Physics, Universidad Autónoma de Madrid, Madrid Spain
Show AbstractLarge efforts have been done on the synthesis, characterization and device designs based on ZnO nanostructures due to the remarkable physical and chemical properties of this oxide both in bulk and low dimensional form. In this work, we report the successful growth of ZnO nanostructured films by using DC reactive magnetron sputtering. ZnO has been deposited on Porous Anodic Alumina films (PAAF), which consists in highly-ordered uniform columnar pores with interpore distances and lengths varying within a wide range and high aspect ratio. In this way, the growth of ZnO results in the formation of vertically aligned and spatially ordered ZnO nanoholes replicating the underlying PAAF templates. We have studied the growth mechanisms and properties of samples grown on substrates with pore diameters and interpore distances varying in the range from 15.5 to 65 nm and 45 to 105 nm, respectively. The crystalline structure and in-depth chemical composition of the obtained nanomaterials were determined by grazing incidence X-ray diffraction (GIXRD), Raman spectroscopy and Rutherford Backscattering Spectrometry (RBS). The surface morphology of the samples was explored by Field Emission Gun Scanning Electron Microscopy (FEGSEM). In addition, the optical properties were also studied by Reflectance, Photoluminescence and Cathode-luminescence measurements in the UV-visible range. The ZnO structure evolution, sample composition and optical properties are also discussed and correlated to the PAAF physical properties.
9:00 PM - EE6.23
Porous Anodic Alumina Films from Stressed Aluminium.
Alice Orsi 1 , Jason Riley 1
1 Department of Materials, Imperial College London, London United Kingdom
Show AbstractPorous Anodic Aluminium Oxide (AAO) substrates are widely used as template for electrodeposition of conductive materials. An electropolished aluminium substrate can develop pores distributed regularly on its surface when anodized under defined temperature, electrolyte and voltage. Many authors [1-2] include in the pre-anodizing procedure the annealing of the aluminium substrate in order to enhance the grain size [3], to obtain homogeneous condition for pore growth over large areas [4] or to remove mechanical stress [5-6]. This study investigated the influence of mechanical stress on the anodization. The aluminium substrate was stressed until its plastic regime before being anodized in oxalic acid. Fluctuation of the current during the process and porous structure were compared with fluctuation of the current and porous structure of an annealed sample. SEM images of the top surface showed a regular distribution of pores in both cases. The current detected was higher during the anodization of the stressed sample. However, for the same amount of electric charges involved in the electrochemical reactions the two porous layer thicknesses were different, in that the stressed sample showed a thinner porous layer. [1] G.A. Gelves, Z.T.M. Murakami, M.J. Krantz, J.A. Haber, Journal of materials chemistry, 16 (2006) 3075-3083.[2] L. Ba, W.S. Li, Journal of Physics D: Applied Physics, 33 (2000) 2527-2531.[3] Y. Zhao, M. Chen, T. Xu, W. Liu, Colloid and Surfaces A, 257-258 (2005) 363-368.[4] M. Curioni, E.V. Koroleva, P. Skeldon, G.E. Thompson, Electrochimica Acta, 55 (2010) 7044-7049.[5] W.J. Zheng, G.T. Fei, B. Wang, L.D. Zhang, Nanoscale Research Letters, 4 (2009) 665-667.[6] C.Z. Chu, K. Wada, S. Inoue, M. Isogai, A. Yasumori, Advanced Materials, 17 (2005) 2115-2119.
9:00 PM - EE6.24
Anodized Nanoporous Zirconia Membranes: Enhanced Thermal Stability by Selective Chemical Treatment.
Tanushree Choudhury 1 , Srinivasan Raghavan 1
1 Materials Research Centre, Indian Institute of Science, Bangalore India
Show AbstractTailorable self-organized nanoporous oxide structures can be synthesized by electrochemical anodization. The anodization parameters like the voltage and the electrolyte composition determine the kind of nanostructure obtained. Zirconium anodization in the non-aqueous medium results in nanoporous morphology, the feature size being determined by the applied voltage. These nanoporous structures are potential candidates for sensing, catalysis and templates for other nanostructure fabrication. The utility of these membranes is, however, limited by the thermal stability of the structure synthesized. In this work, the thermal stability of the nanoporous membranes was investigated. Nanoporous membranes were synthesized by anodization in a glycerol electrolyte. The thermal stability of the as synthesized membranes was then studied as a function of temperature. Chemical treatment of the membranes was carried out in different media to ascertain the effect on the thermal stability. As anodized nanoporous membrane retains the original morphology even at 800°C. Exposure to higher temperature was found to distort the membrane walls. The as anodized membrane is amorphous, but on heating, crystallization sets in which followed by thermal grooving gives a completely distorted membrane at higher temperatures. Ammoniacal treatment was found to be most beneficial as the thermal stability of the membranes was enhanced to 1000°C.
9:00 PM - EE6.25
Nanostructures and Ion-Conducting Properties in Perovskite Oxides, (Nd,Li)TiO3.
Takahiro Ichinose 1 , Tsukasa Koyama 1 , Yoshihiko Togawa 1 , Shigeo Mori 1
1 engineering department, Osaka Prefecture University, Osaka Japan
Show AbstractRecently well-organized nanostructures in some spinel oxides such as ZnMnxGa1-xO4 and Mn-doped CoFe2O4 have been fabricated by the psudo-spinodal decomposition, which are characterized as ordered array of two types of rectangular nanorods characterized as the nanoscale chessboard-type (CB-type) domain structures [1,2]. Furthermore, it has been revealed that the spontaneous phase separation occurs in the Li-ion conducting oxides, (Nd2/3-xLi3x)TiO3, and two distinct nanoscale CB-type and diamond-type domain structures are formed [3]. In this work, we examined structural features and evolution processes of the nanoscale CB-type and diamond-type domain structures by controlling some thermodynamic parameters such as heat treatment temperatures, chemical compositions and cooling rates in (Nd2/3-xLi3x)TiO3(0.08≦x≦0.14). The cooling rates from the sintered temperature of 1523K have strong influences on the nanostructures and ion-conducting properties in (Nd0.53Li0.42)TiO3. In the sample cooled in air from 1523K, no characteristic nanostructures can be observed in the real space images obtained by a transmission electron microscopy (TEM). On the other hand, in the samples cooled at a rate of 50 Kh-1 and 20 Kh-1, we clearly observed the nanoscale CB-type and diamond-type domain structures with the ~10 nm size. In addition, stripe-shaped twin structures with the ~10 nm width and dislocation-type defects of the diamond-type domains can be also observed. Our STEM-HAADF images show that the diamond-type nanodomain regions are characterized as the Li-poor antiphase domain boundaries. To clarify evolution processes of the nanoscale CB-type and diamond-type domain structures, in-situ TEM observation in the temperature window between 298 K and 873 K is now in progress. In the presentation, we will also report the correlation between the nanostructures and ion-conducting properties in (Nd2/3-xLi3x)TiO3(0.08≦x≦0.14)
[1]S. Yeo et al., Appl. Phys. Lett., 2006, 89, 233120. [2]C. L. Zhang et al., Appl. Phys. Lett., 2007, 91, 233110. [3]B. S. Guiton and P. Davies, Nat. Mater. 2007, 6, 586.
This work was partially supported by a Grant-in-Aid for Scientific Research on Priority Areas “Novel States of Matter Induced by Frustration” (No.19052002) from the MEXT in Japan.
9:00 PM - EE6.26
Nanostructured Gold Architectures Formed through High Pressure-Driven Sintering of Spherical Nanoparticle Arrays.
Huimeng Wu 1 , Jianyu Huang 1 , Hongyou Fan 1 2
1 , Sandia National Laboratories, Albuquerque, New Mexico, United States, 2 , University of New Mexico, Albuquerque, New Mexico, United States
Show AbstractNanostructured metal architectures exhibit important capabilities for catalytic, sensor, and fuel cell technologies. The ability to control an interconnected metal framework over the nanometer scale is essential for these applications. “Dealloying” is one of several effective methods to fabricate 3D continuous metal nanostructures. Through chemical reaction or thermal melting processes, the most electrochemically active elements are selectively removed leaving nanostructured metal architectures with disordered porosity. This method generally requires lengthy, aggressive chemical reactions; high temperatures; and toxic, corrosive processing. A mild “etching” process was developed using silver nanocubes as sacrificial templates to synthesize nanostructured hollow gold architectures. Other methods include block copolymer-templated growth and physical deposition on a patterned substrate. In this presentation, I will discuss a pressure-directed self-assembly (PDSA) method we have recently developed to fabricate 3D ordered, interconnected, porous gold nanostructures through high pressure-induced sintering of spherical gold nanoparticle arrays at room temperature. The fabrication process is simple and clean without requiring complicated chemical reactions, thermal processing, or purification to remove reaction byproduct. Through in-situ high pressure small angle x-ray scattering and high resolution TEM studies, we show that under a hydrostatic pressure field, the unit cell dimension of a 3D ordered nanoparticle array can be reversibly manipulated allowing fine-tuning of the interparticle separation distance. 3D nanostructured gold architectures can be formed when external pressure is greater than 9 GPa. The resulting architectures have a nanoporous skeleton with a pore size of ~ 5 nm. High resolution TEM imaging shows that twinning faults within an individual branched gold framework (or skeleton) are formed during sintering of spherical nanoparticles, which confirms that the gold networks are formed by the multidirectional sintering of spherical gold nanoparticles. This work opens a new pathway for engineering and fabrication of a new class of chemically and mechanically stable metal nanostructured architectures.
9:00 PM - EE6.27
Nano-Milling of Polymer Films by Plasmonic Heating of Single Gold Nanoparticles Using Optical Force.
Michael Fedoruk 1 , Andrey Lutich 1 , Jochen Feldmann 1
1 Photonics and Optoelectronics Group, Ludwig-Maximilians-Universität Munich, Munich Germany
Show AbstractWe exert optical forces on a single plasmonically heated gold nanoparticle to generate sub-wavelength structured polymer films by thermal decomposition. Strong repulsive radial components of the optical force induced by a focused laser beam are applied to guide spherical gold nanoparticles inside a polymer film. In our experiments we demonstrate controlled heating and lateral movement of individual nanoparticles with a single laser beam perpendicular to the optical axis. The nanoparticles are dispersed in a poly-vinyl alcohol (PVA) solution and are spin-coated on a glass substrate. The experiments were done under a dark-field microscope with a coupled 532 nm Nd:YAG laser. We use gold nanoparticles with diameters of 80 nm and 40 nm to generate several micrometers long grooves. We performed atomic force measurements to analyze the shape of these grooves. We could not find evidence for PVA displacement by the nanoparticle, supporting our thermal decomposition hypothesisThis is an all-optical, in-air method to create nanostructured materials. Simulations show a strong dependence of the gold nanoparticle moving process on the laser wavelength and the refractive index of the particle surrounding medium. Also the position of the nanoparticle regarding the focal plane and optical axis of the focused laser beam plays an important role.
9:00 PM - EE6.28
Molecular Dynamics of Single-Particle Impacts Predicts Phase Diagrams for Large Scale Pattern Formation.
Scott Norris 1 2 , Juha Samela 3 , Kai Nordlund 3 , Flyura Djurabekova 3 , Laura Bukonte 3 , Marie Backman 3 , Charbel Madi 2 , Michael Brenner 2 , Michael Aziz 2
1 Mathematics, Southern Methodist University, Dallas, Texas, United States, 2 School of Engineering and Applied Sciences, Harvard University, Dallas, Texas, United States, 3 Physics, University of Helsinki, Helsinki Finland
Show AbstractEnergetic particle irradiation can cause surface ultra-smoothening, self-organized nanoscale pattern formation or degradation of the structural integrity of nuclear reactor components. A fundamental understanding of the mechanisms governing the selection among these outcomes has been elusive. Here we predict the mechanism governing the transition from pattern formation to flatness using only parameter-free molecular dynamics simulations of single-ion impacts as input into a multiscale analysis, obtaining good agreement with experiment. Our results overturn the paradigm attributing these phenomena to the removal of target atoms via sputter erosion: the mechanism dominating both stability and instability is the impact-induced redistribution of target atoms that are not sputtered away, with erosive effects being essentially irrelevant. We discuss the potential implications for the formation ofa mysterious nanoscale topography, leading to surface degradation, of tungsten plasma-facing fusion reactor walls. Consideration of impact-induced redistribution processes may lead to a new design criterion for stability under irradiation.
9:00 PM - EE6.29
Structural and Optical Properties of Ion Beam Synthesized Silver Nanoclusters in Lithium Niobate.
Steffen Milz 1 , Jura Rensberg 1 , Carsten Ronning 1 , Werner Wesch 1
1 Institute of Solid State Physics, Friedrich-Schiller-University Jena, Jena Germany
Show AbstractMetal nanoclusters embedded in various dielectrics gained a lot of interest for plasmonic applications like optical filters or plasmonic waveguides in the last two decades. Because of its unique electro-optical and nonlinear optical properties, lithium niobate (LiNbO3) is one of the most important materials for integrated optics. Noble metals like gold and silver are of particular relevance for cluster formation in LiNbO3, because of its distinctive surface plasmon resonance (SPR) located in the visible spectral range. We have implanted 380 keV Ag+ ions to an ion fluence of 1e17 cm-2 into LiNbO3 at liquid nitrogen temperature, room temperature and 673K. Successive rapid thermal annealing was performed in the temperature range of 573K to 1100K, resulting in the formation of silver nanoclusters with different size distributions and a reduction of irradiation damage. The samples were analyzed by means of RBS-channeling, STEM, EDX, X-TEM as well as optical spectroscopy and micro photoluminescence studies. The correlation between structural and optical properties will be discussed in detail in this study.
9:00 PM - EE6.3
Microdomain Morphology of Diblock Copolymer within 3D Photonic Crystals Created by Interference Lithography.
Jae-Hwang Lee 1 2 , Charlotte Stewart-Sloan 1 , Edwin Thomas 1 2
1 Materials Science and Engineering, MIT, Cambridge, Massachusetts, United States, 2 Mechanical Engineering and Materials Science, Rice University, Houston, Texas, United States
Show AbstractSince native BCPs have only a limited number of morphologies depending on the volume fraction of each block, the introduction of additional physical and chemical confinements perturbing the initial free energy has been attempted to diversify the attainable microdomain structures and to also improve long range ordering. The phase-separation of BCP in a confined space has been mostly studied in 2D due to easy access to the technically mature 2D patterning methods, leading to a combination of bottom-up and top-down techniques. Recently the phase separation of BCP in templates created by self-assembled microbeads has been presented and extends the confinement to 3D.Here, we present the phase separation of BCP in designable 3D periodic templates (or photonic crystals) fabricated by 4-beam interference lithography (λ=355nm, SU-8 photoresist). In this study, polystyrene-block-polydimethylsiloxane (PS-b-PDMS) BCPs having lamellar and cylindrical morphologies were backfilled into the SU-8 templates and thermally annealed. The BCP-infiltrated templates were then serially sectioned using focused ion beam milling and a series of SEM images were taken for computational 3D reconstruction of the BCP morphologies. We present various intriguing features due to the 3D confinement including observations of the transitional morphology from free into the 3D confined space. In contrast to the previous 3D confinement of BCPs using self-assembled microspheres, our approach utilizes the combination of a tailorable top-down template for tuning bottom-up patterning. Moreover, a photonic crystal having PS-b-PDMS fine structure is potentially relevant for future hierarchical structures to simultaneously engineer photonic and phononic bands because efficient scattering of phonons is expected by the large modulus contrast between PS and PDMS.
9:00 PM - EE6.30
Colloidal Self-Assembly Directed Laser-Induced Silicon Nanostructures.
Kwan Tan 1 , Stacey Saba 1 , Hitesh Arora 1 , Michael Thompson 1 , Ulrich Wiesner 1
1 Department of Materials Science and Engineering, Cornell University, Ithaca, New York, United States
Show AbstractSilicon (Si) nanomaterials have been adapted for many potential applications such as photonic crystals, sensors and energy storage and generation. In particular, non-close-packed Si nanostructures with wide photonic bandgap and other surface-enhanced properties further broaden the technology application range. Here we describe a facile and rapid method to fabricate two- and three-dimensional crystalline Si nanostructures with non-close-packed symmetry by coupling colloidal self-assembly structure formation with pulsed laser annealing. The resulting pattern transfer yields are high and long-range ordering is maintained. This approach is highly compatible with other semiconducting materials and conventional processing technologies.
9:00 PM - EE6.31
Self-Assembled Cu(In,Ga)Se2 Nanostructures Formed by Ion Beam Irradiation.
Jiyeong Lee 1 2 , Won-Kyung Seong 1 , Kwang-Ryeol Lee 1 , Cheol-Woong Yang 1 , Myoung-Woon Moon 1
1 , Korea Institute of Science and Technology, Seoul Korea (the Republic of), 2 , Sungkyunkwan University, Suwon Korea (the Republic of)
Show AbstractNanomaterials have emerged as new building blocks to construct light energy harvesting assemblies. Size dependent properties provide the basis for developing new and effective systems with semiconductor nanoparticles, quantized charging effects in metal nanoparticle or their combinations in 2 and 3 dimensions for expanding the possibility of developing new strategies for photovoltaic system. In this presentation, a large area formation of nano-dots on the surface of a semiconductor material of Cu(In,Ga)Se2 (CIGS) film under normal incidence of Ar and Ga ion beam was demonstrated. Crystalline dots formed by Ar ion beam treatment with a lower energy of 1 keV ranging from 16 to 28 nm in diameter were formed on the surface of CIGS film. As increasing the dose and energy of ion beam, dots were observed to alter into an elongated ridge structure. Relative diffusivity and sputter yield are considered to explain the change in each element composed of CIGS dot. It was discussed that the optical photoluminescence peak of the nano-dots formed CIGS thin film exhibited a blue-shift. We performed the in-situ observation on nanopatterns from dot to elongated patterns during Ga ion bombardment with ion energy of 30 keV by a dual-beam focused ion beam (FIB). The transition mechanism from dot to ridge structure was explained by coarsening and coalescence in CIGS surface. Compositional analysis revealed that the nanopatterns of dots was altered from pristine CIGS to Cu rich CIGS as well as the content of Ga was also found to increase due to sputtered implantation from Ga ion source, while In and Se were reduced.
9:00 PM - EE6.32
Ripple Formation and Viscous Flow on Si (001) during Low Energy Ar Ion Bombardment.
Yohei Ishii 1 , Eric Chason 1
1 School of Engineering, Brown University, Providence, Rhode Island, United States
Show Abstract A variety of nano-patterns such as sputter ripples can be formed by low energy ion bombardment. Theories suggest that rippled surfaces are produced by a kinetic balance between ion-induced roughening and smoothing processes. In the original Bradley and Harper theory, the roughening mechanism that was included was sputtering and the smoothing was due to surface diffusion. More recently, ion-induced redistribution and surface-confined viscous flow have been suggested to be the primary mechanisms of roughening and smoothing on Si surfaces. To further explore these roughening and smoothing mechanisms, we measured ripple formation on Si over a range of fluxes using off-normal low-energy Ar ion irradiation. The dependence of the wavelength on ion flux shows a dependence that is different than is seen on Cu surfaces. We also present measurements of stress evolution in Si (001) due to ion bombardment that we use to determine the dependence of ion-enhanced viscous flow on the ion flux.
9:00 PM - EE6.33
In Situ Study of Ion Irradiated Surfaces Using Grazing Incidence Small Angle X-Ray Scattering.
Eitan Anzenberg 1 , Charbel Madi 2 , Joy Perkinson 2 , Michael Aziz 2 , Karl Ludwig 1
1 Physics, Boston Univ, Boston, Massachusetts, United States, 2 , Harvard University, Cambridge, Massachusetts, United States
Show AbstractNano-patterning surfaces with uniform ion bombardment yields a rich phase-space of topographic patterns. Our facility for studies of surface processes at the National Synchrotron Light Source (NSLS) allows in-situ characterization of surface morphology evolution during ion bombardment using Grazing Incidence Small Angle X-Ray Scattering (GISAXS). We use this technique to measure in reciprocal space the kinetics of formation or decay of correlated nanostructures on the surface, effectively measuring the evolution of the height-height correlation function S(q). A linear theory model is used to characterize the early time kinetic evolution during ion bombardment as a function of ion beam incidence angle. We compare the behavior of 1 keV Kr+ irradiated germanium to that of 1 keV Ar+ irradiated silicon, examined in our previous work [1].[1] C.S. Madi, E. Anzenberg, K.F. Ludwig, Jr., and M.J. Aziz, Physical Review Letters 106, 066101 (2011).
9:00 PM - EE6.34
Monte Carlo Simulations of Ion-Induced Nanopattern Formation for Single- and Multi-Element Substrates.
Zhangcan Yang 1 , Jean Allain 1
1 School of Nuclear Engineering, Purdue University, West Lafayette, Indiana, United States
Show AbstractThe formation of nanopatterns induced by low-energy ion beam sputtering has been observed on a wide variety of substrates. To better understand and also provide a way to predict the pattern formation, a range of theoretical models have been developed. Among these models, Monte Carlo (MC) methods contain the advantages because it not only simulates the interplay of surface sputtering and surface diffusion processes, but also offers the possibility to include new mechanisms, for example re-deposition, which is not included in the continuum model [1] and its extensions. Recently, Chason [2] and Hartmann [3] performed kinetic MC simulations of ion-induced ripple formation and achieved good agreement with the predictions of the linear continuum models. The limitation of these models is that the simulation of the sputtering process is simplified by applying the Sigmund formula[4]. For allowing quantitative predictions for more complicated materials, especially multi-component materials, a more detailed model of the sputtering process, which goes beyond the Sigmund formula, is necessary. In this study, a hybrid model was introduced, which combines a detailed modeling of the dynamic sputtering process and the diffusion process. The modeling of the sputtering process is similar to the TRIM model but with a few new features, including composition evolution under ion bombardment. The verification of the new model was performed by comparison of the simulation results with the experimental results. The systems studied included the binary substrates (GaSb, InP and InAs) for the generation of ordered arrays of regularly shaped quantum dots and thin metal films (Au or Pd) on top of silicon substrates for the formation of nanostructures. Also, a range of processing parameters, such as ion flux, substrate temperature and co-deposition, were simulated to determine the dependence of the pattern properties. Good agreement was found between the simulations and the experimental results, which suggests the success of the new model. References: [1] R.M. Bradley and J.M.E. Harper, J. Vac. Sci. Technol. A, 6 (1988), 2390-5 [2] E. Chason and et al., Phys. Rev. B, 74, 224103 (2006). [3] A. Hartmann and et al., J. Phys.: Condens. Matter, 21 (2009) 224015. [4] P. Sigmund, Phys. Rev. 184, 383 (1969)
9:00 PM - EE6.35
Writing Dislocations into Silicon Using Focused Ion Beams.
See Wee Chee 1 , Martin Kammler 2 , Robert Hull 1 , Frances Ross 3
1 Department of Materials Science and Engineering, Rensselaer Polytechnic Institute, Troy, New York, United States, 2 Institut für Experimentelle Physik, Universität Duisburg-Essen, Duisburg Germany, 3 IBM Research Division, T.J. Watson Research Center, Yorktown Heights, New York, United States
Show AbstractFocused ion beams (FIBs) have become one of the standard tools for nano-patterning and fabrication in a materials scientist’s laboratory. While commercial dual beam Ga+ FIBs are commonplace, the ability to generate different ion species using a mass-selecting FIB (MS-FIB) is attractive for applications especially where Ga contamination is not desired. Here, we used the ions generated from an Orsay Physics Canion+ 30kV MS-FIB column to form nanoscale patterns in Si, specifically by generating localized strain and controlled arrays of dislocations. To examine the effects of the focused ion beam, implantation was carried out on electron transparent (200nm) Si membranes formed by etching silicon on insulator wafers. The membranes could then be examined directly and annealed in situ in a transmission electron microscope. We first describe the morphologies of implanted Au++ and Si++ ions at different doses, written as arrays of single-pixel spots, and compare them with the morphology of 30kV Ga+ arrays written using a Carl Zeiss Ultra 1540 dual-beam FIB. We then show that the as-implanted morphology can be changed in a controllable way by annealing the patterns after writing. In all three cases, on heating above 300°C, recrystallization of the Si lattice took place and the size of the damaged spots became smaller. On further heating, however, the three ion species showed quite different behavior. For Ga+, recrystallization continued and the only contrast remaining by ~450°C consisted of small features that we believe to be Ga precipitates. For Au++, further heating resulted in perfect recrystallization with no defects visible. But Si++ with >5x104 ions per spot showed particularly interesting behavior, since an individual dislocation loop formed around the periphery of each irradiated area. Complex patterns of dislocations could be formed by rastering the Si++ beam to write lines and boxes. These subsurface dislocations proved to be useful for further self-organization on the Si surface. In particular, we will show that subsequent growth of Ge on the Si++-patterned Si surface results in arrays of self-assembled Ge islands whose positions correlate with the pattern beneath the surface. We will discuss the mechanism and limitations of this dislocation formation method, some applications of patterned dislocations, and the use of FIB and patterned dislocations to template arrays of Ge quantum dots on flat Si surfaces.
9:00 PM - EE6.36
Analytical TEM Characterisation of Patterned Ion Beam Implantation through Self-Organised Anodic Aluminium Oxide (AAO) Membranes.
Wei Guan 1 , Jay Ghatak 1 , Nianhua Peng 2 , Yong Peng 1 , Chris Jeynes 2 , Guenter Moebus 1
1 NanoLAB Centre, Department of Materials Science and Engineering, University of Sheffield, Sheffield United Kingdom, 2 Surrey Ion Beam Centre, Advanced Technology Institute, University of Surrey, Guildford United Kingdom
Show AbstractFunctional nanoparticle arrays embedded near-surface into an insulating matrix have a multitude of applications due to the achievable distinct magnetic, optical and mechanical properties. In the magnetic application field, small nanoparticles in the single-domain size range are promising for use in data storage, sensing or signal-processing devices. Optical applications of nanoparticle arrays include tunable fluorescence in oxide particles or surface plasmon enhanced coupling of light for metal particles. In this work we achieved lateral patterning of ion implanted Co+ ions into a SiO2 thin film on a Si substrate using a 2D-nanoporous membrane of anodic aluminium oxide (AAO). This is fabricated locally for best control and optimised for the best performance criteria which are: relatively low aspect ratio (<1:5), enough mechanical and irradiation stability of the mask, straightness and periodicity of the nanochannel pores. The mask is then inserted into the ion beam directly on the thin film surface. The mask has a pore diameter of 120 nm and an inter-pore distance of 240 nm. The successful achievement of pattern transfer for an ion implantation process is demonstrated for the first time using cross-sectional (scanning) transmission electron microscopy (TEM/STEM) in combination with analytical TEM. By means of EDX-mapping as well as annular dark field STEM (ADF Z-contrast), we find Co distributions at 80 nm below the oxide surface corresponding to the modelled implantation depth for an ion energy of 190 keV. The Co distribution shows a distinct lateral periodicity which corresponds to the original periodicity of the AAO. Rutherford backscattering (RBS) data for the combined AAO/SiO2/Si sandwich sample indicate distributed implantation into both the AAO mask and the SiO2 film, as would be expected.The technique can be seen as a combination of the two major patterning principles:(i) self-organisation is involved in the fabrication and period arrangement of the AAO membrane.(ii) particle beam top-down patterning is achieved by the implantation with an externally controlled ion beam.Applications in patterned magnetic media or (for the case of non-magnetic elements) periodic quantum dot arrays, make this technique a promising future tool for wide-area nanopatterning of surfaces.
9:00 PM - EE6.4
Patterned Electrophoretic Deposition: A Bottom-up Approach to Functionally Graded Materials.
Marcus Worsley 1 , Andrew Pascall 1 , Tammy Olson 1 , Kyle Sullivan 1 , Jae Park 2 , David Saintillan 2 , Joe Satcher 1 , Joshua Kuntz 1
1 , Lawrence Livermore Nat'l Lab, Livermore, California, United States, 2 , University of Illinois at Urbana-Champaign, Urbana-Champaign, Illinois, United States
Show AbstractFunctionally graded materials fabricated with gradients in composition, microstructure, and/or density produce enhanced bulk properties, generally a combination of the precursor material properties. Current graded materials are primarily produced by coarse, layered processing techniques or melt-based approaches which are typically limited to gradients of composition along one axis only. To overcome these limitations, new techniques using electrophoretic deposition technology have been developed. This bottom-up approach provides the capability of nano- and mesoscale particle self-assembly to produced ordered materials with controlled morphology and composition in macroscopic dimensions. By carefully controlling deposition parameters (e.g. electrode pattern, electric field, etc.) functionally graded, nanostructured materials tailored in three-dimensions can be achieved. In this presentation, we will demonstrate this new capability with both organic and inorganic particles, and compare the results with computer modeling of relevant systems. This work was performed under the auspices of the U.S. Department of Energy by Lawrence Livermore National Laboratory under Contract DE-AC52-07NA27344.
9:00 PM - EE6.40
Instability-Induced Wrinkle Formation on Elastomers and Their Mechano-Responsive Optical Behavior.
Philseok Kim 1 2 , Jack Alvarenga 1 , Joanna Aizenberg 1 2 3
1 Wyss Institute for Biologically Inspired Engineering, Harvard University, Cambridge, Massachusetts, United States, 2 School of Engineering and Applied Sciences, Harvard University, Cambridge, Massachusetts, United States, 3 Department of Chemistry and Chemical Biology, Harvard University, Cambridge, Massachusetts, United States
Show AbstractA stiff, thin membrane bonded to a compliant substrate undergoes surface buckling (i.e. wrinkles) when the membrane encounters a compressive load that exceeds the critical strain. The wrinkled patterns arising from these mechanically mismatched interfaces have been studied for mechanically tunable gratings, as well as a metrological tool for measuring the modulus of a thin film. Nevertheless, the dynamic changes in the optical transmittance of these wrinkled materials have not been studied in depth. We have investigated the mechano-responsive optical behavior of uni-axially pre-stretched and oxidized Sylgard 184 membranes and found that these materials undergo reversible transition between a transparent state and two opaque states. We will present detailed correlations between the optical transmittance spectra and the changes in the wrinkle patterns such as pitches, amplitudes, and orientations under given mechanical load, along with a quantitative mechanical analysis to describe the observed optical effects. The mechano-responsive and dynamically tunable optical properties of wrinkled elastomers offer numerous potential applications, including instantaneous privacy screens, reversible switching of microstructured patterns, data encryption, shadings and windows for buildings, and mechano-optical sensors, all from low cost processing and a disposable material.
9:00 PM - EE6.41
Templated Placement of Colloidal Quantum Dots.
Vitor Manfrinato 1 , Darcy Wanger 2 , Francesco Marsili 1 , David Strasfeld 2 , Moungi Bawendi 2 , Karl Berggren 1
1 Electrical Engineering and Computer Science, MIT, cambridge, Massachusetts, United States, 2 Chemistry Department, MIT, cambridge, Massachusetts, United States
Show AbstractSemiconductor colloidal quantum dots (QDs) are electronically-quantized systems with promising applications in optoelectronic devices [1]. A key aspect of such systems is the fine control of optical transitions in the synthesis process [2].For convenience, these QDs are predominantly used in thin-film arrangement, deposited by spin casting or dip coating. However, the investigation of single QDs, dimers (two QDs), and trimers (three QDs) is limited by complex and non-reproducible processes. Therefore, single-QD patterning is one of the major challenges to both investigate and design a system that takes advantage of individual properties of QDs [3]. Applications that may emerge by using this technique is the fabrication of single-photon emitters [3], excitonic circuits [4], and nano-optical devices. Previous reports [5, 6] demonstrated placement of sub-100-nm clusters of colloidal QDs. However, further investigation is necessary for the placement of single QDs smaller than 10 nm.We will present a templated-self-assembly technique to control the position of individual QDs through electron-beam lithography (EBL). A poly(methylmethacrylate) (PMMA) resist was spin coated on a silicon substrate, followed by the fabrication of a mask through EBL. The size of the resultant PMMA templates, i.e., holes, was minimized by varying development temperature [7]. The minimum feature size achieved was 8 nm. After defining the PMMA templates, a solution of QDs, i.e., 6-nm-diameter CdSe was spin casted and the remaining resist was removed by dissolution in acetone. This resulted in isolated QD clusters attached on the substrate. The novelty of this approach is in the combination of high-resolution EBL, optimized concentration of QD solution, and optimized resist thickness. By statistical analysis, we evaluated that this technique enables fabrication of QD clusters with an average of 3 QDs in each cluster. This corresponds to sub-15-nm clusters of QDs. One figure of merit in this process is the pattern yield, which is the ratio of yielded structures to the patterned templates. The optimized pattern yield achieved was 87%. To achieve highly luminescent single-dot samples, we chose to use 9-nm-diameter core/shell dots of CdSe/CdZnS. We lithographically placed these QDs on SiO2/Si substrate and performed confocal photoluminescence, in order to demonstrate the optical quality of the placed QDs. References: [1] A. P. Alivisatos, Science 271, 933 (1996); [2] S. A. Empedocles et al., Phys. Rev. Lett. 77, 3873 (1996); [3] Lukas Novotny and Niek van Hulst, Nat. Photon.5, 83 (2011); [4] Alejandro Perdomo et al., Appl. Phys. Lett. 96, 093114 (2010); [5] S. Liu et al., Nano Lett. 4,845 (2004); [6] J. A. Liddle et al., J. Vac. Sci. Technol. B 22, 3409 (2004); [7] B. Cord et al., J. Vac. Sci. Technol. B 25, 2013 (2007).
9:00 PM - EE6.42
Template-Assisted Nanorod Dimers: Effect of Gap Size and Rod Length on Plasmon Coupling and Raman Enhancement.
Maneesh Gupta 1 , Swati Naik 1 , Rachel Near 2 , Dhriti Nepal 3 , Rajesh Naik 3 , Richard Vaia 3 , Mostafa El-Sayed 2 , Vladimir Tsukruk 1
1 Materials Science and Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States, 2 Chemistry, Georgia Institute of Technology, Atlanta, Georgia, United States, 3 Materials and Manufacturing Directorate, Air Force Research Laboratory, Wright-Patterson Air Foce Base, Ohio, United States
Show AbstractCoupling in plasmonic nanostructures is of tremendous interest due to the potential to actively tune optical properties and the strong field enhancements observed in gaps between adjacent nanoparticles. In this report, we demonstrate a systematic study of rod length and gap size on the plasmon coupling and surface enhanced Raman scattering (SERS) observed in gold nanorod dimers. Nanorod dimer arrays, with diameters less than 50 nm, were synthesized in porous anodic alumina templates through electrodeposition allowing for fine control (<2 nm) of nanorod length and dimer gap size. High-resolution darkfield optical microscopy and confocal Raman microscopy were used to image individual dimers and characterize the plasmon coupling and SERS enhancement in the nanorod dimer arrays. We further present a simple SERS chip fabricated with homogenous gold nanorod dimers based on the structure from the systematic study. The synthesis approach described here demonstrates a template-assisted approach to fabricate coupled nanomaterials with precise length-scale control, excellent homogeneity, and large-scale production capability.
9:00 PM - EE6.43
Fabrication of Rolled-up Ferromagnetic Microtubes.
Seonggi Min 1 , John Gaffney 1 , Rahmatollah Eskandari 1 , Leszek Malkinski 1
1 Advanced Materials Research Insitute(AMRI), The University of New Orleans, New Orleans, Louisiana, United States
Show AbstractThe search for new types of magnetic structures in the micro scale and nanoscale has become an exciting area of interest, from new concepts and geometries for magnetic sensors and recording media to multifunctional particles for biomedical applications such targeted drug delivery. Nanoscale tubular magnetic structures are highly attractive for biomedical applications due to their low density and the possibility to manipulate them by external fields. On the other hand, micrometer sized tubes are prospective for applications in micro electromechanical systems MEMS. Several approaches towards fabricating hollow magnetic tubes involve techniques such as electrodeposition and atomic layer deposition to coat the walls of porous templates.To fabricate the rolled-up microtubes, first, photoresist layers with a thickness of ~2 micrometers on Si(100) were patterned using conventional photolithography. Sacrificial Cu layer with the thickness of 50 nm was deposited by magnetron sputtering. When photoresist was removed by aceton, only Cu square arrays on Si wafer remained. Then, photoresist layer was patterned again for 50 um×20 um rectangle arrays. This rectangle was partially superimposed on Cu square. Thin Ti/Ni (20nm/20nm), Ti/Co (20nm/20nm) and Ti/Fe (20nm/20nm) layers were deposited by electron beam deposition. Again, photoresist was removed by aceton. After removing photoresist, Cu layer also removed by CuSO4 30 wt% solution(70 oC). The film patterns released from the substrate rolled forming microtubes. Morphology and size of the microtubes was examined using optical microscopy and scanning electron microscopy (SEM). The result of SEM shows the radius of Ti/Ni microtube is about 5.5 um. And the tubes had a helical structure. Alternating gradient magnetometer(AGM) was used for magnetic characterization of the microtubes.
9:00 PM - EE6.44
Influence of Phase Separation for Surfactant Driven Pattern Formation during Ion Beam Erosion.
Hans Hofsaess 1 , Kun Zhang 1 , Ulrich Vetter 1 , Omar Bobes 1 , Andre Pape 1 , Marc Broetzmann 1
1 II. Physikalisches Institut, Georg-August-Universitaet Goettingen, Goettingen Germany
Show AbstractWe will present results on metal surfactant driven self-organized pattern formation on surfaces by ion beam erosion, with a focus on the role of phase separation for the initial steps of pattern formation. In our experiments Si substrates were irradiated with 5 keV Xe ions at normal incidence and ion fluences up to 5*10^17 Xe+ ions per cm^2 under continuous deposition of surfactant atoms. In the absence of such surfactants uniform flat surfaces are obtained, while in the presence of Fe and Mo surfactants pronounced patterns like dots, combinations of dots and ripples with wavelengths around 100 nm are generated [1]. The surfactant coverage and deposition direction determine the pattern type and the pattern orientation, respectively. A critical steady-state coverage for onset of dot formation and onset of ripple formation is in the range of 10^15 and 5*10^15 atoms per cm^2. With increasing ion fluence the pattern contrast increases but the pattern type remains unchanged. The steady-state surface region consists of a thin amorphous metal silicide layer with high metal concentration in the ripple and dot regions. Pattern formation is explained by ion induced diffusion and phase separation of the initially flat amorphous silicide layer and subsequent ion beam erosion with composition dependent sputter yield. Directed deposition of metal surfactants causes preferential deposition and shadowing and determines the final pattern orientation and morphology. To investigate the role of initial phase separation we additionally compare the pattern formation for different other metal surfactants. [1] H. Hofsaess, K. Zhang, M. Broetzmann, New. J. Phys. 13 (2011) 013033
9:00 PM - EE6.45
Magnetism as the Driving Force of Order in CoPt.
Hakim Amara 1 , Sondes Karoui 1 , Bernard Legrand 2 , Francois Ducastelle 1
1 , ONERA-CNRS, Chatillon France, 2 , CEA, Saclay France
Show AbstractThe use of multi-scale methods is of pivotal importance to bulk and nano alloy modeling. In such approaches, ab initio calculations have long been recognized as a reference point for energetic properties. They are an important preliminary study in the modeling of multi-scale approach through interatomic potentials. Such models should include all the relevant physics present in alloys while remaining simple enough to allow simulation of real life situations of hundreds or even thousands of atoms. Hence, for magnetic nanoparticles, it is crucial to first determine whether magnetism governs the properties of the bulk phase diagram before proceeding to the development of an interatomic potential. Once the role of magnetism is established, then its coupling with other factors such as the structure and chemical order of nano-alloys could be studied. The aforementioned treatment is particularly necessary for bimetallic nanoalloys of 3d magnetic element and 4d/5d metals, where a relevant example is CoPt. Indeed, in this system a substantial magnetic anisotropy has been observed in CoPt nanoparticles but only in those exhibiting a characteristic size of around 10 nm and adopting an ordered morphology [1]. To master the dependance of the order-disorder critical temperatures on the size and shape of these nanoparticles, numerical simulations based on simple interatomic potentials are an essential complement to experimental observations. In the present work, we first quantify the role of magnetism in the phase diagram of Co-Pt alloys through the use of non-magnetic and magnetic ab intio calculations. In the aim of extending the conclusions drawn from this study to other alloys, an interpretation of the role of magnetism on the effective interactions of alloys bulk alloys is presented in the framework of the generalized perturbation method. Thus we were able to illustrate how alloys with an apparent tendency to phase separate when they are non-magnetic can adopt on the contrary an ordered state when magnetic [2].In order to study size coupling with magnetic effects on the order and phase stability of surfaces, interfaces, and clusters, one is faced with a technological hurdle: heavy ab initio calculations do not allow the study of real life situations. We therefore propose an alternative semi-empirical model based on the tight binding formalism and order-N recursive methods. This model, although limited to a d-band basis set, is able to accurately reproduce the cohesion of the crystal and the magnetic transition. Elemental form Co and Pt, as well as the alloy, are studied in order to validate the applicability of the model.[1] D. Alloyeau et al., Nat. Mat. 8 940 (2009)[2] S. Karoui et al., (in preparation)
9:00 PM - EE6.46
Large-Area Patterned Magnetic Nanostructures by Self-Assembling of Polystyrene Nanospheres.
Paola Tiberto 1 , Luca Boarino 1 , Federica Celegato 1 , Marco Coisson 1 , Natascia De Leo 1 , Gabriele Barrera 1 , Franco Vinai 1
1 , INRIM, Torino Italy
Show AbstractThe quest to overcome the superparamagnetic limit for ultrahigh-density magnetic recording introduced the concept of patterned media where an information bit is stored in a single nanoparticle. However, their synthesis is still a major challenge in order to gain a better control of pattern shape and dimensions. In this frame, nanosphere lithography comes out as an emerging, low-cost technique for thin films nanostructuring on large areas. Such a process exploits the self assembling properties of colloidal solutions of water monodispersed polystyrene nanospheres (PN) coagulating in monolayers of regular arrays with close packed configurations [1]. The reactive ion etching process in O2 allows an almost continuous and tunable size reduction of PN. The latter property combined with a wide surface coverage (in the range of square centimeters) makes PN lithography one of the most versatile methods for thin-film nanopatterning.In this work, dot and anti-dot structures in Co, Ni, Ni80Fe20 and Fe78B13Si9 thin films have been produced by means of nanosphere lithography. A multi-step procedure is followed: for producing dot arrays it starts with the deposition of the PN layer directly on the sputtered magnetic films, while to obtain holes the monolayer is deposited on the Si substrate followed by sputtering of magnetic film [2]. An alternative route to produce antidot nanostructures is also presented. In particular, the patterns are designed directly on magnetic thin films that has been previously spin-coated with a layer of photoresist. A monolayer of polystyrene nanoparticles is deposited on the resist, that is removed in an ultrasonic bath after exposure to an UV lamp (wavelength 350 nm). In this way, the individual spherules in the self-assembled planar array behave as optical lenses to generate regular patterns on the photoresist. After development of the resist, the remaining magnetic material is removed by sputter-etching with Ar+ ions. Finally, holes with circular shapes appear on the film substrate surface. Such a lithographical procedure has the advantage, with respect to the previous technique, of avoiding the use of reactive ion etching process possibly detrimental to the magnetic properties.The dimension and mutual distance of the patterns are dependent on the starting PN diameter (in the interval 100 - 800 nm). Samples microstructure has been studied by means of SEM and AFM microscopy. Hysteresis loops have been measured by an AGFM (Alternating Gradient Field Magnetometer) as a function of temperature in the interval 10 K – 300 K. MFM microscopy has been exploited to study the magnetic domain pattern. All produced arrays have been observed to display tunable microstructure and, consequently, various magnetic properties for application.[1] J.C. Hulteen and R. P. Van Duyne J. Vac. Sci. Technol. A 13 1553(1995). [2] P. Tiberto, L. Boarino, F. Celegato, M. Coïsson, N. De Leo and F. Vinai, J. Appl. Phys. 107 09B5202 (2010).
9:00 PM - EE6.47
Highly Ordered Nanoporous Arrays in Thin Films by Organic-Inorganic Hybrid Block Copolymers Containing Cage Silsesquioxanes.
Teruaki Hayakawa 1 , Haruka Mikami 1 , Sho Kubota 1 , Yoshihito Ishida 1 , Rina Maeda 1 , Hiroshi Yoshida 2 , Yasuhiko Tada 2
1 Organic and Polymeric Materials, Tokyo Institute of Technology, Tokyo Japan, 2 Hitachi Research Laboratory, Hitachi Ltd., Hitachi, Ibraki, Japan
Show AbstractWell-defined nanoporous thin film materials are potentially of great technological interest for the development of nanoelectronic devices, separation media, energy storage/conversion devices, catalysts supports etc. So far these nanoporous thin films could only be prepared within limited materials, narrow processing conditions, and tedious multistep processes. Here we present a new facile strategy for the creation of highly ordered nanoporous arrays in the large-scale thin films with tunable diameters around 10-30 nanometres by through pyrolysis of nanostructured templates formed by organic-inorganic block copolymers containing cage silsesquioxanes (POSS). POSS molecules and its containing block copolymers are of a promising nanomaterial to form nanometer size semicrystalline or crystalline domains by through the self-assembly, which are thermally and physically robust and are converted to silica upon thermal treatment or exposure to oxygen plasma. A series of POSS-containing block copolymers such as polystyrene-b-poly(POSS-methacrylate) (PS-b-PMAPOSS), poly(methylmethacrylate)-b-PMAPOSS (PMMA-b-PMAPOSS) and polyisoprene-b-PMAPOSS (PI-b-PMAPOSS) was synthesized by living anionic polymerization1-3. As a fine example of thin film preparation for the resulting block copolymers, PS51-b-PMAPOSS32 which self-assembles into hexagonally closed packed (hcp) array of dots with lattice spacing d=29 nm was spin coated on a silicon wafer, and annealed under controlled mixture solvent of chloroform and octane atmosphere. Observation under atomic force microscopy (AFM) confirmed the formation of hcp array of dots in the entire film. Thermal treatment for the resulting thin film was carried out by heating from room temperature at 25 °C to 550 °C under air or nitrogen at 1 °C min-1 and hold at 550 °C for 6 h. AFM images show the formation of highly ordered nanoporous array of the crack-free thin film on the substrate of silicon wafer with thickness of several tens of nanometers. No deformation of the array was remarkably observed after the thermal treatment. The average of d-spacing of the thin film after thermal treatment evaluated by the Fourier transform AFM images is 28 nm, which is almost the same size with that of the precursor PS51-b-PMAPOSS32 films with the microphase-separated nanostructures.In this study, a facile fabrication to create highly ordered nanoporous thin films has been successfully developed by through simple thermal treatment for the nanostructured thin films of POSS-containing block copolymers. The unique structural feature of this nanoporous film highlights opportunities in areas such as a variety of substrates for electronic devices, catalysts, and separation membranes.1.T. Hirai et al., Macromolecules, 41, 4558 (2008).2.T. Hirai et al., Adv. Mater., 21, 4334 (2009).3.T. Hirai et al., Macromolecules, 42, 8835 (2009).
9:00 PM - EE6.48
Ultrahigh Density Arrays of Silver Nanoclusters for SERS Substrate with High Sensitivity and Excellent Signal Reproducibility
Won Joon Cho 1 , Youngsuk Kim 1 , Jin Kon Kim 1
1 Chemical Engineering, POSTECH, Pohang, Gyungbuk, Korea (the Republic of)
Show AbstractWe introduced a simple but robust method to fabricate an ultrahigh density array of silver nanocluster for surface enhanced Raman Spectroscopy(SERS) substrate. For this purpose, polystyrene-block-poly(4-vinyl pyridine) copolymer (PS-b-P4VP) micelles made of various block ratios were used to change systematically the gap distance between two neighboring silver nanoclusters ranging from 8 to 61 nm, while the diameter of each silver nanocluster was kept as nearly constant (~25 nm). The SERS substrate shows very high signal intensity with a maximum enhancement factor as high as 1 x 108, which is enough to detect single molecule and excellent reproducibility (less than ±5%) of the signal intensity because of uniform nanoclusters at a large substrate area (wafer scale).
9:00 PM - EE6.49
Self-Assembly of Ultra-High Aspect Ratio Silver Nanoparticle Chains.
Matthew Shiers 1 , Anthony Kenyon 1 , Ralph Leech 2 , Claire Carmalt 2 , Ivan Parkin 2
1 Department of Electronic and Electrical Engineering, University College London, London United Kingdom, 2 Department of Chemistry, University College London, London United Kingdom
Show AbstractOne-dimensional (1D) nanoparticle assemblies exhibit properties that are distinct from discrete nanoparticles and can be utilised in electronic, photonic and sensing applications. For example, chains of Au and Ag nanoparticles have shown promise as subwavelength optical waveguides due to the coupling of localised surface plasmons. As a result, there is strong interest in developing techniques that can organise metal and semiconductor nanoparticles into 1D structures. Self-assembly offers the potential to create such nanostructures in a simple and cost-effective manor in comparison to top-down fabrication methods that can be time-consuming and costly. In this work, we describe a novel solution-based method of organising water-soluble Ag nanoparticles (d~4 nm) into highly linear chains and arrays without the use of external fields, templates or interfaces to direct their formation. The technique is both simple and repeatable. We show that continuous and unbranched ultra-high aspect ratio assemblies with lengths beyond several µm’s can be generated using this technique. TEM and AFM characterisation indicate that the width and height of the arrays vary from single to multiple nanoparticles (4-100 nm). A potential formation mechanism will be discussed with supporting evidence obtained from AFM, TGA, NMR and FTIR. We believe these structures are of interest to nanoelectronics, nanophotonics and plasmonic technologies.
9:00 PM - EE6.5
Instability-Induced Chiral Structure Formation in Surface-Attached Honeycombs.
Sung Kang 1 , Shan Sicong 1 , Wim Noorduin 1 , Mughees Khan 2 , Katia Bertoldi 1 , Joanna Aizenberg 1 2
1 School of Engineering and Applied Sciences, Harvard University, Cambridge, Massachusetts, United States, 2 , Wyss Institute for Biologically Inspired Engineering, Cambridge, Massachusetts, United States
Show AbstractChiral structures play an important role in natural and synthetic systems. Recently, we have shown that chirality can arise by self-organization of achiral mesoscale synthetic fiber arrays in evaporating liquid without the need for chiral building blocks or environment. However, it is still a challenge to form chiral structures with uniform handedness over a large area from centrosymmetric building blocks. By rational design based on modeling, we demonstrate a general approach in which swelling/de-swelling of surface-attached honeycombs controls the reversible switching between achiral and chiral structures. This approach is scalable and the generated pattern can be useful for switchable mechanical and optical properties.
9:00 PM - EE6.6
Multilayer Self-Assembly of Polymer Nanostructures in Thin Films.
Ian Campbell 1 , Chunlin He 1 , Mark Stoykovich 1
1 Dept. of Chemical and Biological Engineering, University of Colorado, Boulder, Colorado, United States
Show AbstractSelf-assembled nanostructures of block copolymers have attracted interest for applications in next generation lithography and advanced materials synthesis. Many of these applications require mechanically and chemically robust nanostructures with high aspect ratios and three-dimensional morphologies that cannot be achieved by simple self-assembling materials alone. Here we have investigated the stabilization of block copolymer nanostructures in thin films by incorporating cross-linking molecular components within the self-assembled domains. Symmetric diblock copolymers of PS-block-PMMA were synthesized with a small molar fraction of cross-linkable chemistries that react in response to thermal and/or photo-stimuli. We have developed approaches to decouple the self-assembly process from the cross-linking process, and characterized the cross-linking density and reaction rates within the nanostructured domains. These nanostructures exhibit enhanced solvent and thermal stability, and have been demonstrated for the fabrication of three-dimensional nanostructures in a layer-by-layer approach.
9:00 PM - EE6.7
Control of the Number of Layers on the Self-Assembly of Silver Alkanethiolates.
Lito de la Rama 1 , Liang Hu 1 , Mikhail Efremov 2 , Leslie Allen 1
1 Materials Science and Engineering, University of Illinois at Urbana-Champaign, Urbana, Illinois, United States, 2 Chemical and Biological Engineering and Center for Nanotechnology , University of Wisconsin - Madison, Madison, Wisconsin, United States
Show AbstractThe reaction between silver and alkanethiols is one the most studied self-assembled systems. We previously reported on the synthesis of multi-layer silver alkanethiolates lamellar crystals on inert substrates using the reaction of silver clusters and alkanethiols in solution. A modified synthesis procedure using alkanethiol vapor phase exposure with a systematic control of the amount of silver and the annealing temperature allow for synthesis of lamellar crystals with different number of layers from large multilayer crystals to single layer lamella. Characterization using nanocalorimetry (NanoDSC), atomic force microscopy (AFM), transmission electron microscopy (TEM), X-ray diffraction (XRD), X-ray reflectivity (XRR), Fourier transform infrared spectroscopy (FTIR) and Rutherford backscattering spectroscopy (RBS) show that these lamellar crystals have both layer-by-layer and in-plane ordering with a strong (010) texture with the layers parallel to the substrate. Several substrates were used including silicon, silicon nitride and sapphire.
9:00 PM - EE6.8
Highly Ordered Defects Array of Smectic Liquid Crystal via Template-Assisted Self-Assembly.
Jung Hyun Kim 1 , Yun Ho Kim 1 2 , Hyeon Su Jeong 1 , Hee-Tae Jung 1
1 Chemical & biomolecular engineering, KAIST, Daejeon Korea (the Republic of), 2 Biomedical Engineering, Washington University , St. Louis , Missouri, United States
Show AbstractA specially synthesized rod-like smectic liquid crystal (LC) containing a rigid aromatic group and semi-fluorinated chains generates well-ordered toroidal structures called toric focal conic domains (TFCDs) as self-assembling building blocks when confined within rectangular microchannels. However, in case of common smectic LCs with alkyl-terminated chains, the ordered arrangement of TFCDs is a challenging work because of their high mobility and low elasticity. Here, we show results of smectic LC defect structures using template-assisted self-assembly techniques. First, the smectic LC 8CB was perfectly ordered by confinement within trapezoidal channels with controlled surface polarity. This is very important for LC building block research, since the 8CB molecule is the most readily available LC molecule with high mobility. Second, we create complex novel structures by changing channel geometries: semi-circular structures were generated in a rectangular channel, and a circular shaped periodic structure was prepared in a trapezoidal channel. Third, we were able to control the size and spatial distribution of TFCD arrays of 8CB by varying the feature dimensions of the trapezoidal channel, including top-width, bottom-width and depth. Thus, the use of this method allows for the easy fabrication of uniform-sized periodic patterns, controlling the size and order of arrays using liquid crystal materials such as 8CB. This approach using common LC system will broaden the concept of “liquid crystal soft lithography and patterning” based on spontaneous self-assembly in LC materials.
9:00 PM - EE6.9
Ordered Arrays of Silicon Nanocrystals by Templated Self-Assembly of Block Copolymers.
Andrea Andreozzi 1 , Gabriele Seguini 1 , Antonio Vellei 1 , Michele Perego 1 , Gerard BenAssayag 2 , Sylvie Schamm-Chardon 2 , Celia Castro 2 , Paolo Pellegrino 3
1 , MDM-IMM-CNR, Agrate Brianza Italy, 2 , CEMES-CNRS/Université de Toulouse-nMat group, Toulouse France, 3 , MIND-IN2UB-Univesitat de Barcelona, Barcelona Spain
Show AbstractSilicon nanocrystals attracted a lot of attention for their potential use as charge trapping elements in non volatile memory devices [1]. Despite the wide interest of the scientific community, all the effort to implement Si nanocrystals in mass storage memory devices have been frustrated by the difficulties related to the control of the lateral dispersion of the nanocrystals; random distributions can induce fluctuation in device performances due to variations in the number of Si nanocrystals and consequently of trapping elements for each memory cell [2]. In order to explore the possibility to introduce these devices in mass storage architectures, more accurate control on Si nanocrystals size and positioning is required. In this work templated self assembly, i.e. the combination of “top-down” process by e-beam lithography and of “bottom-up” process by block copolymers (BC) self assembling, is used to control the positioning of 2-dimensional ordered arrays of Si nanocrystals. Combining e-beam lithography and reactive ion etching, trenches in SiO2 with controlled depth and width are fabricated. Under suitable processing conditions asymmetric polystyrene-b-poly(methylmethacrylate) (PS-b-PMMA) copolymer thin films naturally self organize forming a PS matrix with hexagonally close-packed PMMA cylinder patterns, perpendicularly oriented with respect to the substrate. After selective removal of PMMA component a nanoporous PS soft mask is formed inside the SiO2 trenches. The diameter of the pores is about 17 nm and the pitch around 33 nm. The polymeric soft mask is used as template for the deposition of Si or SiO dots with dimensions, density and lateral distribution that closely resemble the original nanoporous template. Subsequent thermal treatment at high temperatures (1050°C, 30 min, N2) induces crystallization of Si dots or nucleation and growth of Si nanocrystals within the SiO dots. No Si nanocrystals formation is observed in the SiO dots upon thermal treatment. On the contrary TEM investigation reveals the formation of agglomerates of Si nanocrystals within the original Si dots. Detailed analysis of the characteristics (size, density and in plane distribution) of the Si nanocrystals population is performed combining different characterization techniques (SEM, AFM, ToF-SIMS, TEM). Information about their functional properties are provided as well.This research activity was funded by the ERANET PLUS “NanoSci-E+” consortium through the NANO-BLOCK project.References1. S. Tiwari et al., Appl. Phys. Lett. 68, 1377 (1996)2. C.M. Compagnoni et al. J. Nanosci and Nanotech 7, 193 (2007)
Symposium Organizers
Pascal Bellon University of Illinois-Urbana-Champaign
Jonah Erlebacher Johns Hopkins University
Stefan G. Mayr Leibniz-Institut fuer Oberflaechenmodifizierung e.V.
University of Leipzig
Vivek Shenoy Brown University
EE9: Poster Session II
Session Chairs
Pascal Bellon
Vivek Shenoy
Wednesday PM, November 30, 2011
Exhibition Hall C (Hynes)
1:00 AM - EE9: Poster-2
EE9.40 Transferred to EE8.6
Show AbstractEE7: Self-Organization by Energetic Beams
Session Chairs
Wednesday PM, November 30, 2011
Room 200 (Hynes)
9:30 AM - **EE7.1
Molecular Dynamics Simulations of Atom Displacements for Pattern Formation.
Juha Samela 1 , Kai Nordlund 1 , Scott Norris 2 3 , Michael Brenner 3 , Charbel Madi 3 , Michael Aziz 3 , Laura Bukonte 1 , Marie Backman 1 , Matias Vestberg 1 , Flyura Djurabekova 1
1 Department of Physics, University of Helsinki, Helsinki Finland, 2 Department of Mathematics, Southern Methodist University, Dallas, Texas, United States, 3 Harvard School of Engineering and Applied Sciences,, Harvard University, Cambridge, Massachusetts, United States
Show AbstractEnergetic ion irradiation can cause surface self-organized nanoscale pattern (ripple) formation. The conventional explanation to this has long relied on sputtering. However, recent molecular dynamics work has shown that the magnitude of atom displacements inside the sample and at the sample surface can be much larger in magnitude than that due to sputtering. We present MD simulation results in amorphous Si and GaSb which show that a major amount of non-erosive atom displacements are caused in the material. These take the form of both surface features that have the average shape of a crater, but also small displacements inside the material involving a very large number of atoms. Using a recently developed mathematical model [1] which takes the MD data as input, we further show that the experimentally observed transition from pattern formation to flatness can be well reproduced without invoking any free parameters. Moreover, setting the sputtering yield in the model to zero gives practically identical results, demonstrating explicitly that the pattern formation is primarily determined by atom displacements inside the sample rather than sputtering [2].[1] S. A. Norris, M. P. Brenner, and M. J. Aziz, Journal of Physics-Condensed Matter 21, 224017 (2009).[2] S. A. Norris, J. Samela, C. S. Madi, M. P. Brenner, L. Bukonte, M. Backman, F. Djurabekova, K. Nordlund, and M. J. Aziz, Nature communications 2, 276 (2011).
10:00 AM - EE7.2
Investigation of Nanoscale Surface Pattern Formation on Ion-Irradiated Germanium Surfaces.
Joy Perkinson 1 , Charbel Madi 1 , Michael Aziz 1 , Eitan Anzenberg 2 , Karl Ludwig 2
1 , Harvard School of Engineering and Applied Sciences, Cambridge, Massachusetts, United States, 2 Physics, Boston University, Boston, Massachusetts, United States
Show AbstractEnergetic particle irradiation of solids can cause surface ultra-smoothening, self-organized nanoscale topographic pattern formation, or degradation of the structural integrity of nuclear reactor components. We have recently shown that surface stability or instability of Ar+ ion-irradiated silicon surfaces is determined by the effects of ion impact-induced atomic redistribution, and that the effect of sputter erosion is negligible [1, 2]. Here we show that germanium surfaces behave differently when exposed to Ar+ and Kr+ ions. At Ar+ ion energies 250 - 1000 eV, Ge samples display no surface structures, whereas for Kr+ ion beams at the same energies, Ge samples display, with increasing angular deviation from normal incidence, a transition from stability to pattern-formating instability. We use Atomic Force Microscopy (AFM) and Grazing Incidence Small Angle X-Ray Scattering (GISAXS) to investigate the kinetics of nanoscale surface morphology evolution during ion bombardment of Ge samples. We analyze the measured behavior in order to evaluate the relative contributions of the effects of impact-induced redistribution and sputter erosion. We discuss possible causes of the differing results of Ar+ and Kr+ on Ge.1. C.S. Madi, E. Anzenberg, K.F. Ludwig, Jr., and M.J. Aziz, Physical Review Letters 106, 066101 (2011).2. S.A. Norris, J. Samela, C.S. Madi, K. Nordlund, M.P. Brenner, and M.J. Aziz, Nature Communications 2, 276 (2011).
10:15 AM - EE7.3
The Time Evolution of Periodic Structures Induced by Femtosecond Laser Pulses.
Ryan Murphy 1 , Michael Abere 2 , Ben Torralva 2 , David Adams 3 , Steven Yalisove 2
1 Applied Physics Program, University of Michigan, Ann Arbor, Michigan, United States, 2 Materials Science and Engineering, University of Michigan, Ann Arbor, Michigan, United States, 3 , Sandia National Laboratories, Albuquerque, New Mexico, United States
Show AbstractThere is a large body of literature on the formation of Laser Induced Periodic Structures (LIPS) in a multitude of materials after irradiation by femtosecond (fs) laser pulses. The nature of LIPS formation, such as LIPS wavelength, using a variety of fluences, laser polarizations, and exposure times has been correctly predicted for many materials. However, models that include the time evolution of these structures have never been fully addressed. In this work, the dynamics of LIPS formation in a silicon substrate after irradiation by 150 fs laser pulses is studied. Differential Interference Contrast (DIC) shows the coexistence of short wavelength LIPS close to the laser wavelength of 780 nm and longer wavelength LIPS with a wavelength of 1-2 μm. Using a reflection pump-probe imaging technique, the pulse to pulse time evolution of LIPS formation is studied. Initial pump-probe results will be presented that suggest long wavelength LIPS in silicon start to form 40 picoseconds after absorption of the pump pulse.
10:30 AM - EE7.4
Two-Dimensional Arrays of Ordered Si Nanocrystals by Ion Implantation through Block-Copolymer Mask.
Michele Perego 1 , Gerard Benassayag 3 , Sylvie Schamm-Chardon 3 , Paolo Pellegrino 2 , Andrea Andreozzi 1 , Gabriele Seguini 1 , Celia Castro 3 , Beatrice Pecassou 3
1 , Laboratorio MDM, IMM-CNR, Agrate Brianza Italy, 3 , CEMES-CNRS, Toulouse France, 2 , University of Barcelona, Barcelona Spain
Show AbstractThe fabrication of 2 dimensional arrays of Si nanocrystals (NCs) embedded in SiO2 matrix by ultra-low energy (E < 3keV) Si implantation and subsequent thermal treatment has been widely investigated in recent years. [1] By properly adjusting the various experimental parameters it is possible to control size and depth positioning of a narrow nanocrystal band within the SiO2 matrix with great accuracy. Yet the fine in-plane organization of these nano-structures remains a challenging issue. In this work we present a simple method to reach this goal and to fabricate spatially organized silicon NCs embedded in a thin SiO2 film by ultra-low energy ion implantation through a nano-structured block copolymer thin film used as an implantation mask. Block copolymers represent a special class of polymers that, under suitable processing conditions, naturally self-assemble forming uniform, densely spaced nanometer-scale features over wafer-scale areas.[2] In particular asymmetric polystyrene-b-poly (methylmethacrylate) (PS-b-PMMA) copolymer thin films naturally self organize creating hexagonally close-packed PMMA cylinder patterns embedded in a PS matrix and perpendicularly oriented with respect to the substrate. After selective removal of PMMA component a 20 nm thick nano-porous PS mask is formed.[3] The diameter of the pores is about 17 nm and the center-to-center distance is around 33 nm. Implantation was performed with Si+ at energy of 1 keV and doses ranging from 5x1015 to 1x1016 ions/cm2. After removal of the polymeric films, the samples were annealed in a tubular furnace at 1050°C in N2 to induce silicon nucleation and growth of NCs. TOF-SIMS measurements on masked and unmasked samples were performed before and after the PS mask removal. On both type of samples the presence of Si excess was detected before annealing at a depth of around 3.5 nm corresponding to the ion projected range at 1 keV. Moreover TOF-SIMS profiles indicated that the local Si excess introduced in the SiO2 matrix thorough the nanoporous PS template can be properly modulated by adjusting the implantation parameters. Combining different characterization techniques (SEM, AFM, TEM), the characteristics (size, density and in plane distribution) of the silicon NCs population were investigated and compared with those of the Si ncs which were synthesized in unmasked samples. The general validity of this experimental approach to fabricate semiconducting nano-structures is discussed as well. This research activity was funded by the ERANET PLUS “NanoSci-E+” consortium through the NANO-BLOCK project. References:[1] C. Bonafos et al., J. Appl. Phys. 95, 5696 (2004)[2] W. Li, S. Yang J. Vac. Sci. Technol. B 25, 1982 (2007).[3] A. Andreozzi et al. Nanotechnology 22, 185304 (2011)
10:45 AM - EE7.5
A Simple Constitutive Law for Stress in Ion-Irradiated Films.
Scott Norris 1
1 , Southern Methodist University, Dallas, Texas, United States
Show AbstractThe gradual macroscopic evolution of ion-irradiated amorphous films has been modeled alternatively as a viscous fluid or an elastic solid, depending on the behavior one seeks to describe. We show that although these regimes may be rigorously connected using a Maxwell visco-elastic model of the film [1], in practice it is often sufficient to add a simple, constant biaxial stress term to the constitutive law for a Newtonian fluid.The resulting continuum equations are analyzed and found to be consistent with experimental observations. Of note, the effect of biaxial stress injection is stabilizing at low angles but destabilizing at higher angles, leading to parallel-mode ripple formation. In addition, the mechanism provides a simple mechanical explanation for downbeam propagation of ripples, in contrast to the thermal mechanisms currently proposed in the literature.[1] Otani et al., J. Appl. Phys. 100 (2006) 023535
11:30 AM - **EE7.6
Ion Induced Ripple Pattern on Fused Silica Surfaces: Genesis, Coarsening and Faceting.
Frank Frost 1 , Jens Voellner 1 , Jan Lorbeer 1 , Marc Teichmann 1 , Marina Cornejo 1 , Bashkim Ziberi 2 , Bernd Rauschenbach 1
1 , Leibniz-Institut für Oberflächenmodifizierung, Leipzig Germany, 2 Faculty of Mathematical and Natural Sciences, State University of Tetova, Tetova Macedonia (the former Yugoslav Republic of)
Show AbstractThe evolution of the surface topography on fused silica (SiO2) under low-energy ion beam erosion is studied. In dependence of the incident angle there are three regimes of surface evolution: smoothing and formation of parallel or perpendicular mode ripples, with respect to the projection of the incident ion beam. The transition from smooth surfaces to ripple pattern starts at ion incidence angles between 40 and 45 deg. For increasing erosion times the ripple structures reveal a distinct faceting and coarsening. Based on these experimental results, gradient dependent sputtering is identified as a dominant topography evolution mechanism on fused silica [1]. The observed coarsening proceeds with an increase of ripple wavelength and amplitude. As proposed by Hauffe [2] it is assumed that the coarsening results from the contribution of reflected ions to the ripple evolution. The reflection of ions from a facet leads to a local flux enhancement on neighboring facet. In consequence, smaller ripples next to larger ripples vanish with increasing erosion time. This explains also the reduced coarsening and enhanced ordering of the ripple pattern for erosion with ions with larger masses.Finally, it will be demonstrated, that the genesis or the ripple pattern starts at local topographical defects where a critical local ion incidence angle is exceeded. From these positions the pattern spread over the whole surface with increasing erosion time.[1] J. Völlner, B. Ziberi, F. Frost, B. Rauschenbach, J. Appl. Phys. 109, 043501 (2011)[2] W. Hauffe, Phys. Stat. Sol. (a) 35, K93 (1976)
12:00 PM - EE7.7
Self-Organization of Immiscible Binary Alloys under Ion Irradiation.
Brad Stumphy 1 , Robert Averback 1 , Pascal Bellon 1
1 Materials Science and Engineering, University of Illinois at Urbana-Champaign, Urbana, Illinois, United States
Show AbstractMaterials with high densities of nanoscale features are known to provide good resistance to irradiation damage. The microstructural stability of these materials in an intense irradiation environment and at elevated temperatures remains, however, in question. One approach to this problem is to design materials that self-organize in such extreme environments, so that the nanostructure is indeed the steady state of the system. We have explored this possibility in the present work using dilute Cu-V and Cu-Fe alloys. In this study, thin films of Cu90V10 and Cu88Fe12 have been grown on W tips and irradiated using 1.8MeV Kr+ at temperatures up to 450°C for analysis using atom probe tomography. Additional characterization has been performed by transmission electron microscopy. We find that indeed these alloys do exhibit nanoscale patterning of stable precipitates. Moreover, we observe that an unusual “cherry pit” microstructure evolves whereby matrix atoms (Cu) precipitate within the small V or Fe precipitates. While the V precipitates form stable nanopatterns above ≈ 300°C, the cherry pit structure only forms above ≈ 400°C. In Cu88Fe12 the cherry pits only form at temperatures where the Fe precipitates are very large and growing. The mechanism for the formation of this new structure will be discussed.
12:15 PM - EE7.8
``Cherry-Pit'' Nanostructures in Alloys Self-Organized by Ion Irradiation.
Shipeng Shu 1 , Pascal Bellon 1 , Robert Averback 1
1 Department of Materials Science and Engineering, University of Illinois at Urbana-Champaign, Urbana, Illinois, United States
Show AbstractIt is now recognized that immiscible alloys can self-organize under irradiation to form compositional patterns on a mesoscopic length scale. For dilute alloys, this leads to a fine dispersion of precipitates, which select a fixed size that is dependent on the irradiation flux and temperature. New experiments reveal that within these small (10~20 nm) precipitates, matrix atoms can also form precipitates, leading to an unusual structure that is referred to as "cherry pit". In this work kinetic Monte Carlo simulations are used to elucidate the formation and the stability of this nonequilibrium precipitate structure. The simulations reveal that “cherry-pit” structures can indeed spontaneously form under irradiation in various model A-B binary alloy systems, where the A and B species are immiscible elements. Furthermore, for irradiation conditions leading to a stable patterning regime, the “cherry-pit” structure in each individual nanoprecipitate displays a remarkable kinetic evolution. Once a pit nucleates within an existing precipitate (the cherry), the pit grows continuously until it makes contact with the matrix, at which point it is absorbed by the matrix since the pit and the matrix have nearly identical compositions. The precipitate then restructures itself into a compact shape, inside which a new pit forms after a short time. The cycle repeats itself many times, leading to quasi-periodic evolutions, which are reminiscent of limit-cycle Turing instabilities in dynamical systems. These systems are described by at least two-dimensional order parameters, here the cherry and pit radii. KMC simulations are also used to determine the irradiation conditions leading to the formation of these cherry-pit structures. The mobilities of matrix atoms and clusters of these atoms in the precipitates are found to play a determinant role. For kinetic parameters leading to a large enough mobility of these atoms, the nucleation of the pit can in fact be suppressed and “cherry-pit” structures prevented. Lastly, it is shown that kinetic roughening of the precipitate-matrix interface controls the absorption of the pit by the matrix. These results provide a novel approach to analyze recent experimental observations of nanostructuring in irradiated alloys.
12:30 PM - EE7.9
An Atomistic Study on the Composition and Morphology Evolution of Cu/Nb Interfaces under Continuous Irradiation.
Liang Zhang 1 , Michael Demkowicz 1 , Enrique Martinez 2 , Alfredo Caro 2
1 , MIT, Cambridge, Massachusetts, United States, 2 MST-8, Los Alamos National Laboratory, Los Alamos, New Mexico, United States
Show AbstractThe radiation response of fcc-Cu/bcc-Nb interfaces under athermal conditions is studied by performing multiple collision cascades to more than 5 displacement per atom (dpa) using molecular dynamics. We then use time-accelerated methods to model the interface evolution under irradiation at elevated temperature and at longer time scale. The dependence of interface mixing/demixing and compositional/morphological patterning on the liquid phase miscibility and interface crystalline orientation relations is investigated.*****This material is based upon work supported as part of the Center for Materials at Irradiation and Mechanical Extremes, an Energy Frontier Research Center funded by the U.S. Department of Energy, Office of Science, Office of Basic Energy Sciences under Award Number 2008LANL1026
12:45 PM - EE7.10
In Situ TEM Observation of Metal Nanoparticles Growth and Morphological Cycling.
Jay Ghatak 1 , T. Gnanavel 1 , Wei Guan 1 , Guenter Moebus 1
1 Material Science and Engineering, University of Sheffield, Sheffield, South Yorkshire, United Kingdom
Show AbstractHalide salt crystals or thin films have been identified as promising parent materials for a number of nanoscale processes, including e.g., (i) LiF as a potential candidate material for high-sensitive high resolution electron beam nanolithography via STEM hole patterning, benefiting from the localization of electron ion interaction because of their high ionisation energy, (ii) electron beam induced fabrication of metal nanoparticles (e.g. Co or Ni), (iii) test materials to study extreme levels of radiolysis and complex ablation and transport mechanisms. In this study we concentrate on live TV-rate recording of the irradiation phenomena at edges of commercial LiF micron-sized fragments using a JEOL 2010F TEM operating at 200 kV. We observe that LiF crystals decompose in a unique way different to all other metal-halides: At first, Li diffuses rapidly out of the salt crystal and covers its surface and the surrounding C-support film to many microns distance. Nucleation of Li crystals and their growth into various shapes follows at slow enough speed for live recording. The equilibrium shape of pure Li nano-crystals ranges from cubic to rod-shaped and ball-shaped, and by varying the e-beam flux of irradiation, we can induce transitions from cuboctahedral to spherical cyclically. Frequently, Li particles shrink and disappear at similar rates to their growth, either by cutting the fresh supply of Li from LiF, or by being annihilated on the expense of growing neighbouring particles, or by Li ablation. Our study is complemented by and compared to some similar irradiation-induced in-situ growth experiments of Ag , Co and Ni nanoparticles, conducted using our 300kV JEM 3010 microscope. The comparison is based on several criteria, including nucleation mechanism, speed of formation, and level of particle self-organisation across all the four metallic systems.
EE8: Nanoparticles
Session Chairs
Wednesday PM, November 30, 2011
Room 200 (Hynes)
2:30 PM - EE8.1
Oligonucleotide-Modified Anisotropic Gold Nanostructures: Superlattice Formation, Phase Behavior, and Collective Properties.
Matthew Jones 1 , Robert Macfarlane 2 , Byeongdu Lee 3 , Andrew Prigodich 2 , Chad Mirkin 1 2
1 Materials Science and Engineering, Northwestern University, Evanston, Illinois, United States, 2 Chemistry, Northwestern University, Evanston, Illinois, United States, 3 Advanced Photon Source, Argonne National Laboratory, Argonne, Illinois, United States
Show AbstractGeometric anisotropy in nanoparticle systems has garnered considerable interest as a design parameter for controlling material properties. However, the vast majority of work has focused on the physical properties (optical, electronic) of non-spherical nanoparticles in their discrete states. Alternatively, nanoparticle shape can also be thought of as a means to control the chemical properties (interparticle association, ligand behavior, self-assembly and superlattice formation) of such materials. Duplexed oligonucleotide ligands anchored to anisotropic gold nanostructures provide the foundation to probe these effects by imparting tunable hybridization interactions between particles while providing the necessary rigidity to preserve the shape of the underlying nanoparticle scaffold. Importantly, an understanding of how shape governs these chemical interactions allows for the synthesis of coupled anisotropic nanoparticle systems which exhibit novel optical properties that only arise in an assembled state.
2:45 PM - EE8.2
Self-Assembly of Spherical Superhydrophobic Shells from Hydrophobic Nanoparticles during Water Condensation.
Konrad Rykaczewski 1 , John Henry Scott 1 , Sukumar Rajauria 2 , W. Ashurst 3 , Jeff Chinn 4 , Amy Chinn 4 , Wanda Jones 4
1 Material Measurement Laboratory, National Institute of Standards and Technology, Gaithersburg, Maryland, United States, 2 Center for Nanoscale Science and Technology, National Institute of Standards and Technology, Gaithersburg, Maryland, United States, 3 Department of Chemical Engineering, Auburn University, Auburn, Alabama, United States, 4 , Integrated Surface Technologies, Inc. , Menlo Park, California, United States
Show AbstractThe hydrophobic and hydrophilic properties of nanoparticles are commonly exploited to guide liquid-phase self assembly of technologically important structures of matter such as nanowires [1], micelles [2], and colloidal crystals [3]. In this presentation, it is shown that loosely bound hydrophobic nanoparticles on a superhydrophobic substrate can be sequestered by condensing water droplets and then may undergo self assembly to form three dimensional superhydrophobic surfaces. Using in situ Environmental Scanning Electron Microscopy™ [4] the dynamics of the nanoparticle migration from the substrate to the surface of the primary water drop and their subsequent self assembly into floating patches and complete spherical shells with superhydrophobic characteristics are confirmed. This nanoparticle self assembly is manifested on water droplets with dimensions ranging from tens to several hundreds of micrometers. Observation of condensation of nearly spherical secondary microdroplets on spherical shells confirms the superhydrophobic characteristics of the newly created self assembled surfaces. Secondary droplets are shown to condense even on floating patches (partially formed shells) that are only a few micrometers across and are moving rapidly on the surface of the primary drops. In addition, the dynamics of evaporation processes are reported. A temperature increase or pressure decrease is shown to cause evaporation of both the secondary microdroplets and the primary drop. The evaporation of the primary drops that are encrusted with sequestered particles results in isotropic shrinkage and eventual collapse of the superhydrophobic shells.References:[1]J. A. Liddle, Y. Cui, P. Alivisatos, J. Vac.Sci.Tech. B 2004, 22, 3409.[2]J. N. Israelachvili, D. J. Mitchell, B. W. Ninham, J. Chem. Soc., 1976, 72, 1525.[3]Y. Liu, S. Wang, J. W. Lee, N. A. Kotov, Chem. Mater. 2005, 17, 4918.[4]K. Rykaczewski, J. H. J. Scott, A. G. Fedorov, App.Phys. Lett. 2011, 98, 093106.
3:00 PM - EE8.3
Field Induced Self-Agglomeration of Magnetic Nanoparticles.
Suvojit Ghosh 1 , Souvik Pal 1 , Sourav Mishra 2 , Ge Wang 2 , Ishwar Puri 1
1 Department of Engineering Science and Mechanics, Virginia Tech, Blacksburg, Virginia, United States, 2 VT-WFU School of Biomedical Engineering & Sciences, Virginia Tech, Blacksburg, Virginia, United States
Show AbstractSelf agglomeration of magnetic nanoparticles (MNPs) have been a topic of interest for well over two decades. The anisotropic nature of inter-particle dipolar interactions form the basis of patterns such as chains and flux closure rings which, besides scientific interest, have several potential applications. In a fluid medium, dynamic self-agglomeration and manipulation of formed structures can be achieved using an externally applied magnetic field. Herein we present a detailed study of the controllable parameters and their impact on the resulting patterns. The self-agglomeration of magnetic nanoparticles has been typically visualized using scanning electron microscopy [1] or optical microscopy [2]. This has limited investigations to analyzing two dimensional patterns occluding structural intricacies in real systems. Quantitative visualization in 3-D has been primarily limited to computer simulations (e.g. Ref. [3]). X-Ray micro CT was employed in one instance, mainly to establish its efficacy for visualizing experiments [4] and required a synchrotron beamline which is not readily available. The features extracted from tomographic 3-D reconstructions remain unexplored, motivating this study. Magnetite nanoparticles (EMG 1400® from Ferrotec, Inc.) having a mean diameter of 10 nm are dispersed by sonication in polydimethylsiloxane (Sylgard 184® from Sigma Aldrich) prepolymer diluted in tetrahydrofuran (THF). The nanoparticles are then allowed to self-align under the influence of an externally applied magnetic field. The THF is boiled off and the polymer cured to ‘freeze’ the structures. The polymer blocks are scanned using XRADIA MicroXCT-400 system for microscale features of agglomerate structures and XRADIA NanoXCT-100 for visualizing agglomeration characteristics. The tomographic reconstructions are processed in MATLAB® to obtain the concentration distribution of nanoparticles as a function of field strength and particle concentration. Pattern variations are explained by analyzing the orders of magnitude of the involved parameters. The particle concentration is a measure of inter-particle distance that controls dipolar interactions, the field strength is inherently related to the observed anisotropy, the system temperature is related to the thermal fluctuations in the system. Theoretical estimates on magnetic properties of MNP agglomerates point to several applications.References[1] K. Butter, P.H.H. Bomans, P.M. Frederik, G.J. Vroege, A.P. Philipse, Nat. Mater., 2 (2003) 88-91.[2] R. Ganguly, I. Puri, Advances in applied mechanics, 41 (2007) 293-335.[3] J. Richardi, M.P. Pileni, J.J. Weis, J. Chem. Phys., 130 (2009).[4] W.K. Lee, Journal of Magnetism and Magnetic Materials, 322 (2010) 2525-2528.
3:15 PM - EE8.4
Formation of Three-Dimensional Colloidal Nanoparticle Superlattices in Spatially Controlled Locations and Probing the Formation Mechanism.
Chenguang Lu 1 , Austin Akey 1 , Irving Herman 1
1 , Columbia Univeristy, New York, New York, United States
Show AbstractA multiple solvent system consisting of colloidal nanoparticles in several solvents of gradually decreasing vapor pressures was investigated in the self assembly of hundred-layer thick colloidal nanoparticle superlattices in lithographically defined capillaries. Such a solvent system allows a very slow and tunable drying rate of solvents, which, together with the microfluidic flow into the capillaries, leads to the controllable formation of large, single crystalline 3D nanoparticle supercrystals. Both single component and binary component supercrystals have been formed. The underlying mechanism of superlattice formation was investigated via the drying rates for nanoparticle assembly for solvent systems of specific compositions. This technique generates single-crystalline 3D supercrystals of ~micrometer size at spatially controlled locations. The ordered nature of the structures formed was probed by high-resolution SEM and small angle x-ray scattering. This technique is versatile and has been applied to various types and sizes of colloidal nanocrystals, including those composed of CdSe, PbS, and FexO.
3:30 PM - EE8.5
Controlling the Assembly of Block Copolymer and Polymer Blend Nanocomposites within Nanofibers.
Vibha Kalra 1 , Chau Tran 1
1 Chemical and Biological Engineering, Drexel University, Philadelphia, Pennsylvania, United States
Show AbstractWe study the development of hierarchically structured materials using nanofibers of self assembling block copolymers (with and without nanoparticles) and polymer blends. Owing to the short time-scale of the nanofiber fabrication (electrospinning) process (~1 millisecond), the driving factors for the final assembly of materials within nanofibers may be primarily kinetic. For the case of block copolymers, to overcome such limitation and to develop thermodynamically stable structures within nanofibers, we fabricate coaxial nanofibers with poly(styrene-b-isoprene) as the core and silica (synthesized using sol gel technique) as the shell. Silica shell provides thermal stability to the nanofibers and allows us to anneal the materials at temperatures higher than polymer glass transition to obtain equilibrium confined self assembly without destroying the nanofiber shape. Block copolymer composition has been varied over a wide range and novel self assembly structures such as concentric ring-type lamellae, hexagonal cylinders, mixtures of lamellae/cylinders and spheres have been obtained within nanofibers. Next, we utilize this block copolymer self assembly as a template to guide the location of magnetite nanoparticles (NPs) within nanofibers. The magnetite NPs (4 nm) used in this work, are surface coated with oleic acid to provide slight selectivity towards isoprene domain, while preserving their magnetic moment. All nanofiber samples are characterized using scanning electron microscopy (SEM), small angle x-ray scattering (SAXS) and transmission electron microscopy (TEM). A systematic study on effect of NP: polymer volume ratio on material self assembly has been conducted.In the end, we will briefly present our work on nanoscale assembly of polymer blends within nanofibers for application in proton exchange membrane fuel cells. Here we demonstrate that the formation of kinetically-trapped structures within nanofibers (owing to the short time scale of electrospinning) can be advantageous in certain situations. For example, nano-scale and co-continuous morphology of otherwise immiscible electron and proton conducting polymers has been achieved within nanofibers. These materials can serve as excellent catalyst supports in proton exchange membrane fuel cells by allowing an efficient transport of electrons and protons to the catalyst site for the oxygen reduction reaction to take place. Selected References1. Kalra, V., Mendez, S., Lee, J., Nguyen, H., Marquez, M., and Joo, Y. (2006) Confined Assembly in Coaxially Electrospun Block Copolymer Fibers, Advanced Materials 18, 3299-3303.2. Kalra, V., Lee, J., Lee, J. H., Lee, S. G., Marquez, M., Wiesner, U., and Joo, Y. L. (2008) Controlling nanoparticle location via confined assembly in electrospun block copolymer nanofibers., Small 4, 2067-2673.
4:15 PM - EE8.6
X-Ray Diffraction on Single Micrometer Sized Colloidal Crystals Formed by Self-Assembled Bi Nanocrystals.
Rainer Lechner 1 , Oskar Paris 1 , Ivo Zizak 2 , Maksym Yarema 3 , Wolfgang Heiss 3
1 Institute of Physics, Montanuniversitaet Leoben, Leoben Austria, 2 Institut Nanometeroptik und Technologie, Helmholtzzentrum, Berlin Germany, 3 Institute for Semiconductor and Solid State Physics, Johannes Kepler Universitaet , Linz Austria
Show AbstractColloidal crystallization is the only way to obtain three-dimensional ordered materials in which semiconductor, metallic, and magnetic nanocrystals (NCs) are in close contact [1] These long-range ordered 3D superlattices are expected to be useful for novel electronic and optoelectronic devices [2]. Various crystallographic aspects, like defect formation, quasicrystalline ordering, binary and ternary structures, etc., are reported for these colloidal crystals [1, 3], similar to those found in atomic and ionic crystals. Recently a simple synthesis of spherical shaped Bi NCs from 11 to 22 nm diameter was reported [4]. The high uniformity of these Bi-NCs allows their self-assembly into long-range-ordered two- and three-dimensional superstructures. The 3D colloidal crystals are pre-characterized by scanning electron microscopy (SEM).In this work, we perform single crystal synchrotron diffraction experiments on 10-20 µm sized Bi 3D superlattices (3D-SLs) consisting of Bi-NCs with 17 nm diameter. The crystalline structure of these 3D-SLs is recorded in the small x-ray scattering regime (SAXS), whereas simultaneously the atomic structure of the Bi NCs are probed in the wide angle regime (WAXS). These experiments are performed at the beamline “my-spot” at the synchrotron BESSY II (HZB-Berlin) at a x-ray energy of 15 keV using a 2D CCD. Single Bi 3D-SLs are attached by means of a micromanipulator under an optical microscope on top of a fiber tip with ~30 µm diameter, which is aligned in the 100x100 µm sized x-ray beam. By rotating the tip up to 360° the whole 3D reciprocal lattice space of a single colloidal Bi crystal can be recorded.Pronounced Bragg peaks in the SAXS range prove the crystalline structure of the Bi 3D-SLs. In the WAXS regime we detect only powder diffraction rings evidencing that there is no crystalline relation between the atomic rhombohedral crystal structure of the Bi-NCs and the structure of the NC-SL. Comparing the SAXS diffraction patterns from different 3D-SLs with nearly the same size we find clear differences in their crystal structures. The Bragg peak positions reveal a cubic fcc structure with a lattice constant of 24 nm, as well as hexagonal hcp structure. Both structures can be found also within the same micrometer sized 3D-SLs. Furthermore, a texturized diffraction pattern can be related to one 3D-SL consisting of several single crystalline tilted domains. The full analysis of the diffraction patterns in the 3D reciprocal space will allow an even deeper inside in the crystal structure of single micrometer sized Bi colloidal crystals.[1] F.X. Redl, K.S. Cho, C.B. Murray, S. O’Brien, Nature 423, 968 (2003)[2] D.V. Talapin, J.S. Lee, M.V. Kovalenko, E.V. Shevchenko, Chem. ReV. 110, 389 (2010)[3] W.H. Evers, H. Friedrich, L. Filion, M. Dijkstra, D. Vanmaekelbergh, Angew. Chem., Int. Ed, 48, 9655 (2009) [4] M. Yarema, M.V. Kovalenko, G. Hesser, D.V. Talapin, W. Heiss, JACS 132, 15158–15159 (2010)
4:30 PM - EE8.7
Growth and Morphology of Graphene on Silicon Nanoparticles.
Dieter Gruen 1 , M. Mendelsohn 1 , M. Kirk 1
1 Materials Science Division, Argonne National Lab, Argonne, Illinois, United States
Show AbstractWe have extended our work on the growth of graphene on ultrananocrystalline diamond (UNCD) particles (1) to growth on beta silicon carbide nanoparticles (nano-SiC). We find nano-SiC to be a particularly efficient template for the ambient pressure conversion of methane gas in the 1000-1200K temperature interval to a mixture of graphene ribbons and low aspect ratio cylindrical stacks of graphene sheets. These materials, containing more than 75 mol per cent graphene, have been synthesized in gram quantitities in a simple tube furnace. This methodology readily lends itself to the production of large quantities of this novel self-organized nanostructured bulk substance. High resolution transmission electron microscopy (HRTEM) images reveal that graphene growth likely is initiated at highly stepped and terraced surfaces characteristic of 30-50nm SiC particles. Epitaxial growth continues circumferentially because of the known close geometric coincidence of SiC and graphene supercells. The resulting cylindrical structures are composed of ten to fifteen stacks of graphene sheets with separations of 3.5 angstroms typical of c-plane distances which are expanded about 10% because of a weakening of interplanar bonding relative to bulk graphite. The central portions of the cylinders are presumably occupied at least in part by SiC template particles. Further insight into the graphene growth mechanism is gained by the fortunate circumstance of being able in situ to observe changes in the graphene structures that accompany the gradual reemergence of the SiC nano-templates as carbon is sequentially removed by sputtering due to hour-long irradiation of the sample with the 300kev electron beam of the TEM.Detailed analysis of the linewidth of XRD spectra as a function of diffraction angle has provided information on both SiC and graphene particle sizes as well as strain induced in the graphene as a result of curvature and epitaxial mismatch. Some unusual features particularly of the Raman bands in the 2700cm-1 2D region will be discussed in terms of the multilayer graphene structure of the cylinder walls.Applications of these unusual materials are currently being explored and will be mentioned. 1. D. M. Gruen, P. Bruno, R. Arenal, J. Routbort, D. Singh, M Xie, “Thermoelectric Power Factors of Nanocarbon Ensembles as a Function of Temperature”, J.Appl. Phys., 105, 073710 (2009)Work performed under DOE Contract No. DE-AC02-06CH11357
4:45 PM - EE8.8
Reversible Self-Assembly of Ligated Gold Nanoclusters.
John Pettibone 1 , William Osborn 1 , A. Alec Talin 1 , John Bonevich 1 , Jeffrey Hudgens 1
1 , National Institute of Standards and Technology, Gaithersburg, Maryland, United States
Show AbstractWe present the self-assembly of single nuclearity, phosphine-protected clusters producing thin films through electroless deposition. The deposition is a conformal process that is capable of coating numerous substrates and structures, providing a coating process on frameworks, porous materials, or catalytic substrates for applications where gas phase or solution processes are not available. The cluster building blocks exhibit unique catalytic, optical and electronic properties compared to their atomic and bulk counterparts, and these properties can be tuned as function of metal nuclearity. Some gold cluster assemblies in the presence of templates [1,2] or in low nuclearity oligomers [3] have been presented, but this study is the only self-assembly process yielding higher ordered structures from ligated nanoclusters. The metal core diameters of the depositing clusters are < 1 nm, which individually contain distinct electronic transitions and do not support surface plasmons. The clusters pack in an fcc arrangement consistent with bulk gold, but some ligands remain during film formation. The ligation preserves the identity of the depositing cluster, allowing reversible desorption from the thin film. Therefore, the film forms through deposition from a “cluster soup” solution allowing for inexpensive and easy separation. The film can be dried and stored without degradation of the primary clusters, which has previously impeded material development from cluster building blocks. The presence of ligands incorporated into the film structure also allows for modification of the physicochemical properties.[4] Currently, theoretical work examining the optical and magnetic properties of nanoclusters in assembled arrays is hindered by the lack of experimental systems. These films provide opportunities for implementation of clusters into new materials, tight control of film functionality through simple solution processing, tunability of narrow distributions of desorbing products, and a model system to study the effects of cluster assemblies on optical and magnetic properties in higher ordered systems. References(1)Liu, S.; Maoz, R.; Schmid, G. n.; Sagiv, J. Nano Lett. 2002, 2, 1055-1060.(2)Reuter, T.; Vidoni, O.; Torma, V.; Schmid, G. n.; Nan, L.; Gleiche, M.; Chi, L.; Fuchs, H. Nano Lett. 2002, 2, 709-711.(3)Schmidbaur, H.; Hamel, A.; Mitzel, N. W.; Schier, A.; Nogai, S. Proc. Natl. Acad. Sci. U. S. A. 2002, 99, 4916-4921.(4)Wu, Z.; Jin, R. Nano Lett. 2010, 10, 2568-2573.
5:00 PM - EE8.9
Self-Assembled Transparent Conductive Electrodes from Au Nanoparticles in Surfactant Templates Formed at the Air/Water Interface.
Raz Jelinek 1 , Ahiud Morag 1
1 , Ben Gurion University, Beer Sheva Israel
Show AbstractFabrication of thin, transparent conductive electrodes (TCEs) which would furthermore be inexpensive, robust, and exhibit scalable surface areas is a highly sought albeit challenging goal in optoelectronic, photovoltaic, and nano-photonics research and development. We describe a new "bottom-up" approach for construction of thin-film TCEs through self-assembly of alkyl-coated gold nanoparticles (Au NPs) within surfactant monolayer templates at the air/water interface. Through modulation of the surfactant monolayer composition we could create different patterns of the NPs both at the nanoscale and microscale. Importantly, the Au nanostructures can be successfully transferred onto solid transparent surfaces; subsequent annealing and gold enhancement yield a wide-area network of interconnected conductive Au nanowires. The thin-film transparent assemblies can be produced in varying length-scales and surface areas, and might constitute useful platforms for practical device applications.
5:15 PM - EE8.10
A Zero-Power, High-Throughput Micro, Nanoparticle Printing via Gravity-Surface Tension Mediated Formation of Picoliter-Scale Droplets.
Sun Choi 1 2 , Arash Jamshidi 1 3 , Tae Joon Seok 1 3 , Tarek Zohdi 2 , Ming Wu 1 3 , Albert Pisano 1 2 3
1 Berkeley Sensor and Actuator Center(BSAC), UC Berkeley, Berkeley, California, United States, 2 ME, UC Berkeley, Berkeley, California, United States, 3 EECS, UC Berkeley, Berkeley, California, United States
Show AbstractWe report a zero-power, gravity and surface tension mediated method to generate and print picoliter-scale droplets for high-throughput, size-tunable printing of micro, nanoparticle assemblies.Concurrent approaches to print micro, nanoparticles are still suffering from high power consumption, complicated and costly set-up, low-throughput, limited pattern size and resolution-tunability, and difficult alignment. Here, High-throughput, picoliter-scale droplets are printed by a single step, contact-transferring of the droplets through microporous nanomembrane of a printing head. Rapid evaporative self-assembly of the particles on a hydrophobic surface leads to printing a large array of various microparticles and nanoparticles assemblies of tunable sizes and resolutions. With this technology, continuous printing of single type particles and multiplex printing of various types of particles with accurate alignment are successfully performed. Finally, size-tunable, uniform large arrays of gold nanoparticle assemblies for Surface Enhanced Raman Spectroscopy (SERS) are created. Strong and uniform (<10% variation) SERS signals were obtained and the signal is tunable by controlling the pattern sizes. Also, the superb uniformity of the printed patterns is demonstrated in a quantitative manner. This technology offers a straightforward, efficient methodology to manufacture nanophotonic and nanoelectrical devices in a controllable way with low power and material consumption.
EE9: Poster Session II
Session Chairs
Pascal Bellon
Vivek Shenoy
Thursday AM, December 01, 2011
Exhibition Hall C (Hynes)
9:00 PM - EE9.10
Modelling Spiral Patterns of Self-Assembled Au-Nanoclusters on Si(100) Surfaces.
Clarence Matthai 1
1 Physics and Astronomy, Cardiff University, Cardiff United Kingdom
Show AbstractRecently, Venkatachalam et al$^1$ observed patterns of Au nanoclusters on Si(100) substrates. They investigated the time dependent nucleation and growth of these nanoclusters and the evolution of the subsequent spiral patterns. We have carried out molecular dynamics and kinetic Monte Carlo simulations of the self-assembly of Au atoms deposited on a Si(100) surface. The interatomic potentials were determined within the tight-binding approximation. The parameters entering the band energy term were determined using the Extended Huckel Method. The ensuing results for the spiral patterns are compared with that observed experimentally.1. Venkatchalam et al, Nanotechnology, 19, (2008) 015605.
9:00 PM - EE9.11
Bismuth Surfactant Mediated Growth of InAs Quantum Dots by Molecular Beam Epitaxy.
Dongsheng Fan 1 2 , Zhaoquan Zeng 2 , Vitaliy Dorogan 2 , Yusuke Hirono 2 , Chen Li 2 , Yuriy Mazur 2 , Zhiming Wang 2 4 , Shane Johnson 3 , Shui-Qing Yu 1 2 , Gregory Salamo 2
1 Department of Electrical Engineering, University of Arkansas, Fayetteville, Arkansas, United States, 2 Arkansas Institute for Nanoscale Materials Science and Engineering, University of Arkansas, Fayetteville, Arkansas, United States, 4 State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu, Sichuan, China, 3 School of Electrical, Computer and Energy Engineering, Arizona State University, Tempe, Arizona, United States
Show AbstractSelf-assembled InAs quantum dots (QDs) on GaAs substrates have drawn intensive interest due to their great potential for basic physics studies and wide optoelectronics device applications. QDs with good optical properties, especially high photoluminescence (PL) efficiency, are mostly desired. Usually, this requires a higher density of QDs. The QD density can be increased by decreasing of the surface diffusion of Indium adatoms, using lower growth temperature or higher growth rate. However, higher density results in the occurrence of coalescence, which degrades the optical properties of the QDs. In order to resolve this dilemma, surfactant has been introduced to control the growth process of QD growth. In this work, we report the growth of InAs QDs on GaAs substrates using Molecular Beam Epitaxy (MBE), via bismuth surfactant-mediated growth. Besides the doubled PL intensity at 16 K, we show Bi leads to a 50% lower QD density, which is on the contrary of most of the surfactant mediated growths of InAs QDs. The decreasing of the QD density is attributed to Bi desorption during the intended growth interruption after InAs QD deposition. This method not only improves the optical properties, but also provides the freedom of engineering the density without the penalty of optical properties, which is especially useful for applications that need good optical properties and low QD density, such as optical-fiber-based quantum cryptography.
9:00 PM - EE9.12
Self-Organized Nanostructures with Controllable Crystal Orientation Using Dynamic Oblique Angle Deposition Techniques.
Liang Chen 1 , Gwo-Ching Wang 1 , Toh-Ming Lu 1
1 Physics, Rensselaer Polytechnic Institute, Troy, New York, United States
Show AbstractThere has been increasing interests in self-organized nanostructures with controllable crystal texture orientation. This is driven by a number of applications such as cold field emission tips, batteries and fuel cells electrodes, and low cost substrates for other functional epitaxial films for examples, solar cells, high Tc superconductors and displays. In particular, artificially induced biaxial texture (or near single crystal with both preferred out-of-plane and in-plane orientations) formation on amorphous substrates has been a focus of our research. We report texture evolution and selection during the deposition of metal films using a variety of dynamic oblique angle deposition techniques. In these techniques, the incident flux with respect to the substrate normal can be stationary while the substrate is rotating or can be dynamically changing while the substrate is rotating. There are also several choices on the substrate rotation axes that can produce a dramatically different texture selection. For texture of these nanostructures on amorphous substrates we use our newly developed RHEED (reflection high energy electron diffraction) surface pole figure technique to characterize its texture [1]. We showed that RHEED, operated in its transmission mode (not reflection mode), is an ideal tool for the characterization of such biaxially oriented crystalline films. A surface pole figure is analogous to the conventional x-ray pole figure technique, excepted that the information obtained is near the surface region because of the finite penetration depth of the RHEED electron (~a few nm). We will present a quantitative description of the crystal texture orientation evolution through RHEED surface pole figure construction of Mo nanostructures obtained by dynamic oblique angle magnetron sputtering. The morphological structures include straight vertical nanorods, slanted nanorods, “S” shape, and “C” shape architectures grown on amorphous substrates. The morphology and texture of these nanostructures will be discussed in terms of structure zone model. *Work partially supported by the NSF.Reference: [1] F. Tang, T. Parker, G.-C. Wang, and T.-M. Lu, “Surface texture evolution of polycrystalline and nanostructured films: RHEED surface pole figure analysis”, J. Appl. Phys. D: Appl. Phys. 40, R427 (2007).
9:00 PM - EE9.13
Electron Energy and Geometry Parameters of InGaAs/GaAs Quantum Rings: An Interpretation of C-V Data.
Igor Filikhin 1 , Vladimir Suslov 1 , Branislav Vlahovic 1
1 Physics, North Carolina Central University, Durham, North Carolina, United States
Show AbstractWell-established process of quantum dot (QD) formation by epitaxial grows and consecutive transformation of QDs into quantum rings (QRs) [1, 2] allows production of 3D InGaAs/GaAs structures with lateral size of about 40-60 nm and height of 2-8 nm. In produced QRs it is possible to directly observe discreet energy spectra by applying capacitance-voltage (CV) and far infrared spectroscopy measurements. However, known theoretical studies have some limitations in interpreting the experiments: the derived value of the energy-gate-voltage conversion coefficient (f~2) is in a disagreement with that obtained from CV experiments [2,3] (f~8). Moreover, the 3D geometry corresponding to the QR fabrication process has sufficiently different descriptions in theoretical works [1-4].In present work we focus on these problems and on the interpretation of the recent CV data given in [1]. The electron properties of InGaAs/GaAs quantum rings (QR) in a magnetic field are theoretically studied. We applied the original effective model [4] which is based on a single band kp-approximation with energy dependence of effective mass. We used two sets of the geometry parameters for the self-assembled QR. The first is the experimentally proposed geometry; the second follows from the oscillator model [1-3, 5-6] due to the relation between the parameters of the model and the real sizes of the quantum objects [6]. The energy of an electron in a magnetic field, calculated with these geometries, is compared with the C-V experimental data [1]. We show that the results of the calculation with the second geometry fit the experimental data rather well. Interpretation of the recent C-V data, given by W. Lei et al. [1] on the basis of the oscillator model, is discussed.This work is supported by NSF CREST award, HRD-0833184 and NASA award NNX09AV07A.References[1] W. Lei, C. Notthoff, A. Lorke, D. Reuter and A. D. Wieck, Appl. Phys. Lett. 96, 033111 (2010).[2] A. Lorke, R. J. Luyken, A. O. Govorov, and J. P. Kotthaus, Phys. Rev. Lett. 84, 2223 (2000). [3] A. Emperador, et al. Phys. Rev. B 62 4573 (2000).[4] I. Filikhin, V. M. Suslov and B. Vlahovic, Phys. Rev. B 73, 205332 (2006). [5] T. Chakraborty and P. Pietiläinen, Phys. Rev. B 50, 8460 1994.[6] B. Szafran and F.M. Peeters, Phys. Rev. B 72, 155316 (2005).
9:00 PM - EE9.14
Nanofabrication of Metal/III-V Semiconductor Quantum Dot Superstructure for Plasmonic Applications.
Jun Lu 1 , Kar Hoo Tung 1 , Ning Xiang 1
1 Centre for Optoelectronics, National University of Singapore, Singapore Singapore
Show AbstractWe present a method for fabricating a superstructure consisting of highly ordered metal dots aligned with III-V semiconductor quantum dots (QDs) on GaAs (001). The QDs are grown by migration enhanced molecular beam epitaxy (ME-MBE) using porous anodic aluminium oxide (AAO) as a template. ME-MBE can reduce nucleation and blocking effects on the pores of AAO. Hence it increases the growth selectivity of QD on GaAs. The QD size is adjusted in the range of 35 nm to 85 nm by varying the diameter of AAO pore size. Metal is then deposited into AAO pores by electron beam evaporation. Finally, the superstructure is achieved by removing the AAO template. The morphology of this superstructure is observed by scanning electron microscopy (SEM). Photoluminescence spectroscopy is used to investigate the influence of localised plasmonic effects on QDs’ optical emission caused by the metal dots. Acknowledgement: This work is supported by Singapore Ministry of Education (grant number MOE2009-T2-1-086).
9:00 PM - EE9.15
Effects of Adatom Diffusivity Throughout Sb Mediated Ge/Si Self-Organized Nano Islands.
Alexander Tonkikh 1 2 , Nikolay Zakharov 1 , Vadim Talalaev 3 , Alexey Novikov 2 , Konstantin Kudryavtsev 2 , Bodo Fuhrmann 4 , Hartmut Leipner 4 , Peter Werner 1
1 Exp.Dept.II, Max Planck Institute of Microstructure Physics, Halle Germany, 2 , Institute for Physics of Microstructures RAS, Nizhniy Novgorod Russian Federation, 3 ZIK SiLi-nano, Martin Luther University, Halle Germany, 4 Interdisciplinary Center of Materials Science, Martin Luther University, Halle Germany
Show AbstractAlthough Ge/Si islands were successful grown on the base of Stranski-Krastanow growth mode during the last 20 years, there are still unsolved questions and space for improvement, especially related to the optical properties of such nano-structures. The hetero junction SiGe/Si is characterized by the so-called ‘type-II’ band alignment, where carriers are separated in space: electrons are in Si, but holes are in Ge. In case of Ge islands the latter means that holes are localized in Ge. Electrons can be localized at the Ge island interface due to local tensile strain in silicon caused by the island. The space separation of charge carriers is even larger in a real life due to the degradation of Ge island interface throughout island capping by Si. Low oscillator strength caused by low electron-hole wave functions overlap is one of the reasons of low overall efficiency of Ge/Si islands. We report on the method to increase electron-hole wave function overlap of Ge/Si islands. We consider two contributions to the solution of this problem. Firstly, the Ge island interface has to be as sharp as possible. This brings electrons close to the interface. Secondly, the Ge islands have to be smaller in size to allow hole wave function leakage out of them. The structures were fabricated by means of molecular beam epitaxy. Photoluminescence spectroscopy and transmission electron microscopy were used to characterize the samples with Ge islands. Since the kinetics governs Ge island formation during molecular beam epitaxy, the lower the growth temperature the higher the island density and the sharper the interfaces are expected. However the quality of the epi-film is strongly affected by the growth temperature. Therefore, instead of the temperature, the surfactant assisted Ge island formation was applied. Ge islands were grown via a thin Sb layer, which was pre-deposited on a Si(100) surface. This Sb layer was used to inhibit Si and Ge adatom diffusivities. The increase of the sharpness of island interfaces was achieved due to the decreasing of the Si adatom diffusivity. While the decrease of the island sizes was caused by the decreasing of the Ge adatom diffusivity. Both processes were accompanied with the increase of the photoluminescence intensity of the Ge islands in the spectral range of about 1500 nm. The latter phenomenon was attributed to the increase of the overlap integral of the electron-hole wave functions. This method of surfactant mediated Ge/Si island growth allows us to get room temperature photo- and electroluminescence in the 1500 nm wavelength range.
9:00 PM - EE9.16
Dislocation Mechanism of Protrusion Growth on a Metal Surface in the Presence of High Electric Fields.
Aarne Pohjonen 1 , Flyura Djurabekova 1 , Antti Kuronen 1 , Kai Nordlund 1 , Steven Fitzgerald 2
1 , University of Helsinki, Helsinki Finland, 2 , Culham Science Centre, Abingdon United Kingdom
Show AbstractVacuum sparks are known for the long standing problem in many devices which are designed to exploit electric and electromagnetic fields. The realization in a full scale of many conceptually advanced devices is often hindered by the inability to overcome the appearance of unavoidable sparks. On well-polished surfaces in high vacuum, neither gas pressure normicroscopic surface features have been found to have a strong correlationwith the sparks. Thus the trigger of sparking near metal surfacesoperated at very high electric fields is likely spontaneously formingnanoscale features on the surface.Challenging projects such as linear colliders, which involve particle accelerators exploiting high gradient electromagnetic fields (> 100 MV/m) suffer not only from the surface damage, caused by the undesirable sparks, but also the efficiency of costly machines degrade significantly as the sparks consume the entire bunches of the accelerated particles.In the present work we examine the possible mechanisms which can lead to the self-growing protrusions on the metal surface held at a high electric field. We have found that in the presence of a near-surface void, the shear stress along slip planes appears, which can lead to the mass transport in the volume above the void to the surface by dislocation mechanisms. By means of molecular dynamics simulations we show that the high tensile stress exerted on a Cu {110} surface with a near-surface void can promote the nucleation of dislocations on the void surface. These dislocations cause slip along {111} crystallographic planes leading to mass transport in the volume above the void [1]. We investigate the voids of different size placed at different depths relative to the surface and find a linear correlation between the radius of the void and the maximum depth for the growth to occur.The investigated mechanism constitutes a way for self-organized growth of nanoscale protrusion on surfaces during the operation at high electric fields.[1] A. Pohjonen, F. Djurabekova, A. Kuronen, S. Fitzgerald and K. Nordlund, Dislocation nucleation from near surface void under static tensile, Journal of Applied Physics, 2011, accepted.
9:00 PM - EE9.17
Model and Computations of Pulsed Laser Induced Dewetting in Thin Metallic Films.
Mikhail Khenner 1 , Sagar Yadavali 2 , Ramki Kalyanaraman 2 3
1 Mathematics, Western Kentucky University, Bowling Green, Kentucky, United States, 2 Materials Science and Engineering, University of Tennessee, Knoxville, Tennessee, United States, 3 Chemical Engineering, University of Tennessee, Knoxville, Tennessee, United States
Show AbstractSelf-organized multifunctional nanoparticle arrays from pulsed laser induced dewetting in thin metallic films have the potential for applications in biosensing, biocatalysis, high-density magneto-optical technologies, and in electronic materials with photon and spin-dependent electronic behavior. This presentation will describe the nonlinear PDE-based model of self-organization in the periodically melted, single & bilayer thin films. In the presence of long-range intermolecular interactions with the substrate and between the layers, in-plane non-uniformity of heating due to beam interference and thermocapillary effects, the liquid flow in the film gives rise to surface and interface deformation and film dewets into nanoparticle arrays with well-defined length scales and composition. We used linear stability analysis, and 2D and 3D computations of film height dynamics to determine dependencies of key quantities of interest (spacing, morphology, composition) on physical and process parameters.
9:00 PM - EE9.18
In Situ TEM Investigations of Wetting-Dewetting Transitions of Ultra-Thin Nickel Films on (100) Silicon Substrates.
Andrew Thron 1 , Klaus van Benthem 1
1 Dept. of Chemical Engineering & Materials Science, University of California, Davis, Davis, California, United States
Show AbstractFor solid/solid interfaces changes in temperature alter the wetting behavior of thin films on substrates by unbalancing the current equilibrium of surface and interface energies. This can lead to dispersion of the thin film, the so-called wetting-dewetting transition. For this study, thin nickel films were deposited at room temperature onto the (100) surface of silicon. Cross-sectional high-resolution transmission electron microscopy characterization of the as-deposited films revealed a complex interface structure consisting of up to four distinct layers with varying structure and composition. Electron energy-loss spectroscopy combined with aberration-corrected scanning transmission electron microscopy identified the formation of reaction layers with gradually changing nickel silicide composition, followed by a silicon oxide layer that acts as a diffusion barrier for the remaining ultrathin nickel film. In-situ annealing of the interface structure leads to a wetting-dewetting transition of the remaining nickel film and hence the formation of facetted, nanometric nickel islands.
9:00 PM - EE9.19
Fine Structure at Heterojunction of One Dimensional Molecular Assemblies on H-Terminated Si(100) Surface.
Md. Zakir Hossain 1 , Hiroyuki Kato 2 3 , Maki Kawai 2 4
1 , Faculty of Engineering, Gunma University, Kiryu City, Gunma, Japan, 2 Advanced Science Institute (ASI), RIKEN, Wako, Saitama, Japan, 3 Department of Chemistry, Osaka University, Osaka Japan, 4 Department of Advanced Materials Science, The University of Tokyo , Kashiwa, Chiba, Japan
Show AbstractFollowing the successful growth of one dimensional molecular assemblies (molecular line) through chain reaction mechanism on H-terminated Si(100) surface, the fabrication of interconnected molecular lines has attracted substantial attention from the perspective of molecular electronics.[1-5] Here we report the ultrahigh vacuum scanning tunneling microscope (STM) investigation of both perpendicular and linear heterojunctions of molecular lines on H-terminated Si(100) surface at 300 K. We have fabricated the perpendicular junction of styrene and allylmercaptan molecular lines through dangling bond initiated chain reaction on the surface, where the closest distance between styrene and allylmercaptan molecules at the junction is ~0.38 nm. In this study, we focus on the molecular arrangements and electronic states of the molecular lines junction. It is observed that the end molecule of styrene line appears brighter in the STM image when an ALM line is grown through the dimer next to the end of styrene molecular line. The STM image height of the junction molecule varies with the applied sample bias. We observe that the brightness of the junction molecule is much higher than that of the molecular line at higher sample bias (-2.6 V). These suggest that the origin of the higher brightness of the junction molecule is electronic states rather than physical height change of the molecule. This change of brightness of the styrene molecule in STM image after junction formation is ascribed to the perturbation of π-states of the junction molecule by the interaction with nearby ALM molecule. The extent of perturbation of phenyl π-states depends on the distance between interacting molecules. The stacking arrangements of the phenyl rings in a molecular line will be discussed.A liner heterojunction of molecular lines was fabricated using benzophenone (BP) and 4,4’-dichlorobenzophenone (4,4’-DCBP) molecules. At lower sample bias (~ 1.5 V), the BP molecular line appears much brighter than of 4,4’-DCBP in STM image though the physical height of the 4,4’-DCBP is higher. Unlike styrene-allylmercaptan junction, no distinct appearance of the junction molecule is observed in the case of liner junction of BP and 4,4’-DCBP molecular lines. Ultraviolet photoelectron spectroscopy (UPS) study of the BP and 4,4’-DCBP molecular assemblies will also be presented. References[1] G. P. Lopinski, D. D. M. Wayner, R. A. Wolkow, Nature 2000, 406, 48.[2] M. Z. Hossain, H. S. Kato, M. Kawai J. Phys. Chem. B 2005, 109, 23123.[3] P. G. Piva, R. A. Wolkow, G. Kirczenow, Phy. Rev. Lett. 2008, 101, 106801.[4] M. Z. Hossain, H. S. Kato, M. Kawai J. Am. Chem. Soc. 2008, 130, 11518.[5] J. Zirkovski, S. A. Dogel, M. H. Salomons, J. L. Pitters, G. A. DiLabio, R. A. Wolkow, J. Chem. Phys. 2011, 134, 114707.
9:00 PM - EE9.2
Surface-Enhanced Raman Scattering (SERS) of Self-Oriented Colloidal Gold Nanorods.
Kihoon Kim 1 , Hye Soo Han 1 , Eunhye Jeong 1 , Chiwoon Lee 1 , Sunil Jeong 1 , Taewook Kang 1
1 chemical and biomolecular engineering, Sogang University, Seoul Korea (the Republic of)
Show AbstractDue to the diffusion and random orientation of colloidal nanoparticles in a solution, it has been considered challengeable to obtain SERS signal unless the concentration of analyte is high enough. Here we report a simple, yet innovative method to amplify SERS signal by taking advantage of autonomous orientation of gold nanorod (GNR) on an oil-water interface without any pre-concentration step. Mathematical calculation shows the lowest interface energy when the GNR is oriented vertically on the interface. In addition, the GNR is the most stable when the bottom of GNR adjoins the interface. SERS signal from the interface strongly depends on the polarization of laser and the direction of illumination, supporting vertical orientation of GNR in the interface. A detection limit down to 10 nM is achieved for rhodamine 6 G (R6G) without preconcentration step. We believe our findings open a new avenue for label-free biomolecular detection.
9:00 PM - EE9.21
Micro and Nanowrinkled Conductive Polymer Surfaces on Shape-Memory Polymer Substrates: Tuning of Surface Microfeatures towards Smart Biointerfaces.
Francesco Greco 1 , Toshinori Fujie 1 2 , Silvia Taccola 3 1 , Leonardo Ricotti 3 , Arianna Menciassi 3 1 , Virgilio Mattoli 1
1 Center for MicroBioRobotics IIT@SSSA, Istituto Italiano di Tecnologia, Pontedera Italy, 2 European Biomedical Science Institute (EBSI), Organization for European Studies, Waseda University, Tokyo Japan, 3 Biorobotics Institute, Scuola Superiore Sant’Anna, Pontedera Italy
Show AbstractRealizing surfaces with controlled microscale topographical cues is useful in different applications as e.g. smart scaffolds and biointerfaces for cell culture and stimulation. Topographically patterned surfaces at the micro and nano-scale have been obtained up to date by using inherently complex clean-room technologies. Recently thin-film and surface wrinkling [1-2] is emerging as a rapid unconventional method for patterning surfaces, especially suited for production of smart patterns over large area surfaces. On the other hand, there is an increasing interest in employing conductive polymers as soft, biocompatible, conductive biointerfaces or as part of bioelectronic devices [3-4]. With the aim of providing a facile and versatile method for patterning conductive polymer surfaces, by following a recent approach for the obtainment of wrinkled metal surfaces [5], we spin-coated a poly(3,4-ethylenedioxythiophene):poly(styrene sulfonate) (PEDOT:PSS) film over a thermo-retractable polystyrene sheet (commercially available as PolyShrink®). A subsequent thermal treatment performed at T = 170°C caused the shrinking of the substrate to 40% of its original dimensions while causing the microwrinkling of the upper PEDOT:PSS layer due to compressive stress. Biaxial micro and nanowrinkles were formed on free-standing samples while aligned uniaxial ones were obtained by clamping the two edges. Homogeneous micro-patterning over large areas (several cm2) was obtained. The formed wrinkles were very robust and adhered strongly to the substrate because of their penetration into the soft polystyrene substrate during the heat-shrink process. In the case of uniaxial wrinkles a very well aligned quasi-periodic structure is formed with different populations of wavelengths ranging from submicrometric to 10-20 μm, depending on PEDOT:PSS thickness. Surface topography, wrinkles amplitude and wavelength have been evaluated by means of SEM and AFM and the results have been related to sheet resistance as measured with a four point probe technique.References[1] J. Y. Chung, A. J. Nolte, C. M. Stafford, Adv. Mater. 23 349 (2011).[2] J. Genzer, J. Groenewold, Soft Matter 2 310 (2006).[3] M. Berggren, A. Richter-Dahlfors, Adv. Mater. 19 3201 (2007).[4] N. K. Guimard, N. Gomez, C. E. Schmidt, Prog. Polym. Sci. 32 876 (2007).[5] C. C. Fu, A. Grimes, M. Long, C. G. L. Ferri, B. D. Rich, S. Ghosh, L. P. Lee, A. Gopinathan, M. Khine, Adv. Mater. 21 4472 (2009).
9:00 PM - EE9.22
Energy Balance and Interaction of Different Domain Boundaries on the Ge/Si(111)-5x5 Surface.
Martin Ondracek 1 , Zdenek Chvoj 1 , Pingo Mutombo 1 , Andrew Mark 2 , Alastair McLean 2 , Pavel Jelinek 1
1 Department of Thin Films and Nanostrucures, Institute of Physics, AS CR, Prague Czechia, 2 Department of Physics, Engineering Physics and Astronomy, Queen's University, Kingston, Ontario, Canada
Show AbstractExtended 1-D defects on surfaces, such as steps or domain boundaries (DB), are of considerable technological importance as templates for controlled growth of nanostructures. It has been recently shown that DB between ordered 5×5 surface domains on the Ge/Si(111)- 5×5 system can be used to template “magic” clusters with uniform size and structure [1] composed of either Ga or In atoms. The ability of the DB to template clusters is critically dependent upon the DB type. We have analyzed the surface structure with DB by means of the STM, large scale total energy DFT calculations and an analytical model. From hundreds of STM observations several DB types have been classified in terms of their atomic structure and occurrence. The atomic structures of selected DB representatives have been optimized using large-scale total energy DFT calculations [2], including up to ≈2000 atoms, to determine accurate atomic models of selected DB models. To understand the stability of different DB types, we have calculated the surface energy of systems comprising 5×5-reconstruction cells separated by anomalously ordered DBs and extracted the Gibbs line tension for each DB type as a function of the DB separation. In addition, we have developed an analytical model of the DB line tension based upon a multi-pole long-range elastic interaction between lines of DBs and the interaction of the DB with lines of Ge atoms in the 5×5 ordered phase. Among others, I will discuss the characteristic decay of interaction energy between neighboring DB proportional to x-n which can be inferred from the distance dependence of the effective line tension.References:[1] A. G. Mark, A. B. McLean, Physica Status Solidi 7 (2010) 185.[2] http://www.fireball-dft.org/
9:00 PM - EE9.23
Nano-Scale Surface Pattern Evolution in Heteroepitaxial Bimetallic Films.
Nasser Abukhdeir 1 , Dionisios Vlachos 2
1 Chemical Engineering, University of Waterloo, Waterloo, Ontario, Canada, 2 Chemical Engineering, University of Delaware, Newark, Delaware, United States
Show AbstractRecent observations of pattern formation in sub-ML films of Pb on a Cu(111) substrate [Plass, et al (2001) Nature] open up new opportunities for applications of nano-featured bimetallic materials. In particular, this type of self-assembly is of interest for the development of new classes of bimetallic catalysts with precise control over catalyst structure, and subsequent reactive properties, via manipulations of the self-assembly process during heteroepitaxial growth. Other pattern-forming systems with different structure and interactions on the microscopic level, but shared phase-order symmetries, have been found to exhibit “universal” pattern dynamics [Cross and Hohenburg (1993) Rev. Mod. Phys.] following an order-disorder transition (ODT). Thus, determining if this broad class of materials also exhibits universality could link much past research of other self-assembled materials to new materials and applications, accelerating surface self-assembly based manufacturing.In this work, recent theoretical advances in coarse-graining of Ising-type microscopic lattice models [Vlachos and Katsoulakis (2000) PRL] are leveraged to simulate the range of surface patterns utilizing a single coherent theoretical basis. These coarse-grained “mesoscopic” formulations enable long-time/large length-scale simulations [Abukhdeir, et al (2011) J. Comp. Phys.] of the heteroepitaxial pattern evolution dynamics, while retaining most of the physics of the underlying microscopic model.Simulations of large 1μm^2 hexagonally-ordered surfaces over long times are combined with quantitative pattern analysis tools (developed in-house) using bond-orientational order concepts to characterize simulations domains. Simulation results show qualitative agreement with experimental LEEM images of Pb/Cu(111) heteroepitaxial pattern formation. Results support universal pattern dynamics independent of microscopic morphology (hexagonal versus inverse hexagonal) and surface diffusion dynamics (locally constant versus locally varying mobility). These phase-ordering kinetics provide further support for the existence of universal behavior which is dependent solely on symmetries of the ordered phase. Furthermore, simulated hexagonal grain interactions revealed that pair interactions of grains, with non-participating neighbouring grains, are the dominant mode of hexagonal grain growth and increasing pattern quality.
9:00 PM - EE9.24
Growth of Aligned Wurtzite GaN Nanorods on Si(111): Role of Silicon Nitride Intermediate Layer.
Praveen Kumar 1 , Satish Shetty 1 , Malleswararao Tangi 1 , Manoj Kesaria 1 , Sonanda Shivaprasad 1
1 Chemistry and Physics of Materials Unit, Jawaharlal Nehru Centre for Advanced Scientific Research, Jakkur, Bangalore-560064, India, Bangalore, Karnataka, India
Show AbstractControlling density, lateral width and anisotropy of heteroepitaxial nano-columns of Group-III-nitrides-on-silicon have attracted great attention because of their application in nano-optoelectronic and microelectronic devices. Dense nanorods can be practically applied make high brightness LEDs, because of their crystalline quality and large emissive area, while isolated nanorods are suitable to fabricate single nano-devices. Although there have been many reports in the literature on the growth of GaN nanocolumn and nanorods using AlN buffer layers, there are few studies that probe the role of silicon nitride intermediate layer. In this work, we address the role of pre-formed silicon nitride in determining the morphology and structure of GaN nanocolumnar growth. High quality wurtzite GaN nanorods are grown on bare Si(111)-7x7, crystalline and amorphous silicon nitride at 750°C, under nitrogen rich conditions by Molecular Beam Epitaxy. Using in-situ reflection high energy electron diffraction and ex-situ X-ray photoelectron spectroscopy, X-ray diffraction, field emission scanning electron microscopy and photoluminescence, the structural and chemical properties are monitored. In the first part of the study, we have optimized the conditions for the nitridation of Si(111) substrate into crystalline and amorphous silicon nitride by using RF plasma. In the second part, GaN nanorods are grown on clean and the nitrided Si(111) substrates. RHEED pattern for GaN grown on clean Si show elongation of diffraction spots along with a ring pattern, while sharp circular diffraction spots are observed when GaN grows on crystalline Si3N4. The highest intensity and narrow FWHM for XRD GaN(0002) peak and PL measurements are seen found for GaN nanorods grown on crystalline Si3N4 surface, while FESEM results show that the nanorods are oriented along <0001> direction as compared to those grown on amorphous silicon nitride and bare Si(111) surfaces. Mis-orientation of the hexagonal shaped of GaN nanorods grown on amorphous silicon nitride (SixNy) is less in comparison to those grown on clean Si(111). Cluster density of the nanorods is found to be maximum for Si3N4 and minimum for bare Si(111). The anti-surfactant nature of crystalline Si3N4 and the longer Si–N bond compared to the Si–Si bond can generate local compressive strain fields, causing dense nucleation and alignment of GaN nanorods. Overall, the results clearly demonstrate that high quality of dense and aligned GaN nanorods can be formed on Si(111) surface by modifying it by appropriate nitridation.
9:00 PM - EE9.25
A Critical Assessment of Thiolate Self-Assembled Monolayers (SAMs) on Platinum.
Yenny Cardona Quintero 1 , Hong Zhu 1 , Rampi Ramprasad 1
1 Chemical, Materials & Biomolecular Engineering , University of Connecticut , Storrs, Connecticut, United States
Show AbstractThe adsorption of self-assembled monolayers (SAMs) on metal surfaces has been widely studied due to its ability to control the physical and chemical properties of the metal surface, which provides a wide range of applications from microelectronics to material protection. Thiolate-based SAMs have been successfully anchored on metal surfaces, but a critical assessment of the impact of such anchoring on the structural and electronic properties of the metal surfaces has remained elusive. Methane-thiolate (CH3S) and trifluoromethyl-thiolate (CF3S) were selected as model systems in this work, because of their simple structures and their difference in electronegativity which can provide insights about how the composition and electronegativity of SAMs affect the properties of metal-SAM systems. Density functional theory calculations have been used in this work to study the adsorption of CH3S and CF3S molecules on the Pt (111) surface at different coverage (1/3, 1/4, 1/6, 1/9 and 1/12) and adsorption sites (fcc and hcp). The geometry, molecular adsorption energy and the work function of the Pt-SAM systems have been specifically determined at different levels of theory, including van der Waals interactions, and semilocal and hybrid exchange-correlation functionals. Several interesting observations could be made: (1) the optimized SAM is tilted with respect to the Pt surface and the tilted angle decreases with the molecular coverage on the Pt surface; (2) the adsorption energy of both systems are almost always lower at the fcc site compared to the hcp one and shows a coverage-dependence; (3) the work function of Pt-SAM also shows a dependence on coverage and hence controlling the molecular coverage on the Pt surface is probably an effective technique to tune the work function; (4) van der Waals interaction do not appear to be important, but a more accurate treatment of the electronic exchange-correlation interaction (e.g., using hybrid functionals) may be necessary. The present work constitutes an initial step towards the rational design of SAM-covered metal surfaces for electronics applications. Parallel experimental work is also planned.
9:00 PM - EE9.26
Ordered Semiconducting Self-Assembled Monolayers on Polymeric Surfaces Applied in Organic Integrated Circuits.
Fatemeh Gholamrezaie 1 2 , Simon Mathijssen 3 2 , Edsger Smits 4 , Paul van Hal 2 , Sergei Ponomarenko 6 , Heinz-Georg Flesch 5 , Roland Resel 5 , Eugenio Cantatore 3 , Paul Blom 4 , Dago de Leeuw 2
1 , University of Groningen, Groningen Netherlands, 2 , Philips, Eindhoven Netherlands, 3 , University of Eindhoven, Eindhoven Netherlands, 4 , Holst Center, Eindhoven Netherlands, 6 , Institute of Synthetic Polymer Materials of Russian, Moscow Russian Federation, 5 , Graz University of Technology, Graz Netherlands
Show AbstractSelf assembly, the autonomous organization of components into patterns and structures without human intervention is the ultimate technology for mass production of large area electronics. In self-assembled monolayer electronics, the basic building block is the self-assembled monolayer field-effect transistor (SAMFET), in which the semiconductor is a single molecular layer spontaneously formed on the gate dielectric. Recently the first SAMFETs on the SiO2 gate dielectric were reported and combined into integrated circuits.[1] Here for the first time we demonstrate that SAMFET on the polymeric gate dielectric can be used to make organic integrated circuits. Two-dimensional highly ordered self-assembled monolayer directly grown on a bare polymer surface. By simply submerging the substrate into a solution containing the synthesized molecules, a densely packed monolayer is self-assembled. The semiconducting molecules consist of a pi-conjugated core separated by a long aliphatic chain from a mono-functionalised anchor group. The driving force to form highly ordered SAMs is packing of the liquid crystalline molecules caused by the interactions between the linear alkane moieties and the pi-pi stacking of the conjugated thiophene units. Grazing incidence X-ray diffraction shows the Bragg rods of the monolayer are well defined. The small in-plane width of the rods is a clear evidence of high order and large crystalline 2D domains within the monolayer.In order to form a conducting path in between the source and drain electrode, the molecules in the self-assembled monolayer (SAM) should be intimately connected. Any structural imperfection as voids or grain boundaries leads to potential barriers and, hence, to a deteriorated charge carrier mobility. The electrical connectivity between the molecules is inferred from electrical transport measurements. Semiconducting SAMs are utilized in field-effect transistors and combined into integrated circuits as 4-bit code generators.[2] The fully functional circuits demonstrate long-range order over large areas, which can be regarded as the start of flexible monolayer electronics.[1] Edsger C. P. Smits, et al. Nature 2008, 455, 956[2] Fatemeh Gholamrezaie, et al. Nano Letters, 2010,10, 1998.
9:00 PM - EE9.27
Fabricating Complicated Three-Dimensional Structures by Templated Self-Assembly.
Amir Tavakkoli K. G. 1 2 , Kevin Gotrik 3 , Adam Hannon 3 , Caroline Ross 3 , Karl Berggren 1 4
1 Electrical Engineering and Computer Science, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 2 NUS Graduate School for Integrative Sciences & Engineering (NGS), National University of Singapore, Singapore Singapore, 3 Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 4 Kavli Institute of Nanoscience, Technical University of Delft, Delft Netherlands
Show AbstractTemplated self-assembly of block copolymer (BCP) thin films has recently been used in complex nanoscale pattern formation on two-dimensional surfaces by using sparse patterns of lithographically defined chemical and physical structures. Existing approaches to this method have focused on guiding a single layer of the BCP microdomains, with limited ability to form three-dimensional pattern components. This study introduces a technique for the fabrication of complicated three-dimensional structures by guided BCP self-assembly. By using an array of polystyrene (PS) functionalized posts (20-nm diameter) defined by electron beam lithography (EBL) and a double layer of cylindrical-morphology poly (styrene-b-dimethylsiloxane) (PS-b-PDMS) BCP with period of 35 nm, we were able to control the alignment of the cylindrical PDMS microdomains in two different layers as well as change the morphology from cylinders to features such as spheres. In this method, the post templates were fabricated by means of electron beam lithography exposure of hydrogen silsequioxane (HSQ) resist. After fabrication, the templates were chemically functionalized with a hydroxyl-terminated polystyrene brush (1 kg/mol) using a vacuum oven at 170oC for 16 hours and subsequently rinsed with toluene. Then, BCPs of PS-b-PDMS (45.5 kg/mol, fPDMS = 32%) were spin-coated onto the substrates with the HSQ templates to a thickness of 42 nm. Annealing of the BCP thin film was done using cosolvent vapor resulting from a mixture of toluene and heptane, VTol/VHep = 5. A 5-s CF4 RIE (50 W, 15 mTorr) was done to remove the top PDMS wetting layer and immediately followed by a 22-s oxygen RIE (6 mTorr, 90 W) to remove the PS matrix and leave the oxidized-PDMS patterns. Self-consistent field theory simulations were done to get a more insightful view into the three-dimensional structure of the BCP thin films. We showed that angled arrays of parallelograms and square arrays of squares could be fabricated in the oxidized PDMS forming the two-layer structure. The dimensions of the squares and parallelograms were 18×18 nm2 and their periodicities were 35 nm, matching the period of the BCP. The resulting parallelograms and squares had sharp corners, which are difficult to fabricate by top-down methods such as electron beam lithography due to proximity effects. Also we fabricated periodic superstructures in which alternating rows of the posts templated different three-dimensional arrays of components. Furthermore, we showed that by choosing appropriate periods of the posts we could change the morphology of the BCP in one of the layers from the original cylinders, to ellipsoids, spheres, and bicontinuous cylinders. By using this approach, we were able to align two different morphologies on top of each other. The simulation results on double-layered structures showed the same general morphologies observed in the experiments.
9:00 PM - EE9.28
Self-Organized Growth of Oxide Nanostructures on ABO3(001) Substrates with Controlled Chemical-Terminations.
Romain Bachelet 1 , Luis Garzon 1 , Carmen Ocal 1 , Josep Fontcuberta 1 , Florencio Sanchez 1
1 , ICMAB - CSIC, Bellaterra Spain
Show AbstractNew strategies are required for cost-effective fabrication of ordered nanostructures with increasing functionalities. For example, it is possible to take advantage of the self-assembly at the nanoscale of different chemical terminations (CT) as shown in the SrTiO3(001) (STO) and DyScO3(110) single-crystals and use them as nanotemplates for deposition of SrRuO3 nanostructrures [1]. Here we show that proper thermal treatment of La0.18Sr0.82Al0.59Ta0.41O3 (001) (LSAT) substrates causes well-ordering of the CT at the nanoscale. We have used nanostructured LSAT and STO substrates as templates for deposition of SrRuO3 and (La,Sr)MnO3, obtaining distinct morphologies: SrRuO3 nanostripes on STO, arrays of SrRuO3 dots on LSAT, and atomically-flat (La,Sr)MnO3 surfaces with replication of the CT pattern of the STO or LSAT substrates. The nanostructures were grown by pulsed laser deposition assisted by in-situ reflection high-energy electron diffraction, and characterized by ex-situ atomic force microscopy (including phase-lag and friction contrasts). The results signal the relevance of the lattice mismatch and CT-dependent interface energy on the pattern formed. Self-patterning of chemical terminations in different perovskite ABO3 single-crystals can be a low-cost method providing stable 2D scaffolds that opens new doors towards fabrication of complex architectures for nanodevices.[1] e.g. R. Bachelet, F. Sánchez, J. Santiso, C. Munuera, C. Ocal, and J. Fontcuberta, Chem. Mater. 21, 2494 (2009); R. Bachelet, F. Sánchez, F. J. Palomares, C. Ocal, J. Fontcuberta, Appl. Phys. Lett. 95, 141915 (2009); J. E. Kleibeuker, G. Koster, W. Siemons, D. Dubbink, B. Kuiper, J. L. Blok, C.-H. Yang, J. Ravichandran, R. Ramesh, J. E. ten Elshof, D. H. A. Blank, and G. Rijnders, Adv. Funct. Mat. 20, 3490 (2010).
9:00 PM - EE9.3
Focused Ion Beam Templating of Quantum Dots Grown by Droplet Epitaxy.
Denis Nothern 1 , Kris Reyes 2 , Peter Smereka 2 , Joanna Millunchick 1
1 Materials Science & Engineering, University of Michigan, Ann Arbor, Michigan, United States, 2 Mathematics, University of Michigan, Ann Arbor, Michigan, United States
Show AbstractAs quantum dots (QD) increasingly show promise in a variety of optoelectronic applications, the ability to fabricate them easily and reproducibly becomes the limiting factor in their utility. Strain, is often leveraged as the driving force for QD formation in systems exhibiting a lattice mismatch[1]. Prior investigations have shown that nanometer scale holes milled into the substrate with a focused ion beam (FIB) can serve as a template to control the location of QDs in strain driven systems by reducing the critical thickness of deposited material required to produce QDs[2]. Droplet epitaxy is a technique for strain free systems that takes advantage of surface energy to form nanoscale liquid metal droplets that are subsequently crystallized upon exposure to As[3]. In this work, we have examined FIB templating for droplet epitaxy as a means to control QD size and placement. Using in vacuo FIB milling, we produced arrays of holes between 3nm and 10nm deep spaced 140nm to 560nm apart on GaAs substrates, and then carried out GaAs droplet epitaxy on these templates. Using atomic force microscopy we have found these patterns to cause large QDs to form on the outside lip of the patterned holes, indicating that they do indeed serve as templates. These patterned QDs are larger than those found in the unpatterned regions. The maximum droplet size increases with the density of the patterned holes, leading to an increased size polydispersity. Reducing the amount of depositd Ga does not reduce the size distribution because the driving force for droplet epitaxy is surface energy as opposed to elasticity as seen in strain mediated QD growth. We show that the behavior of droplet templating is fundamentally different than that for strained systems, necessitating a different approach. The interaction between the liquid droplet and the patterned holes suggests that a better mode for accurate placement of the droplets is trenches. Further, we have carried out droplet epitaxy experiments in concert with a kinetic Monte Carlo simulation that explicitly considers Ga and As as separate species to accommodate the unique features of droplet epitaxy, particularly liquid Ga droplet formation and As desorption. We have modeled homoepitaxial growth, liquid droplet formation, and subsequent crystallization into QDs, finding good corroboration between experimental and simulated droplet sizes at various growth conditions.[1] D. Leonard, et al. Phys. Rev. B Vol. 50 (1994) 11687[2] J. Y. Lee, et al. Nanotechnology Vol. 20 (2009) 285305[3] C.-D. Lee, et al. Jpn. J. Appl. Phys. Vol. 37 (1998) 7158
9:00 PM - EE9.30
Phase Separation in Immiscible Copper-Tantalum Alloy Films.
Claudia Mueller 1 , Ralph Spolenak 1
1 Laboratory for Nanometallurgy, ETH Zurich, Zurich Switzerland
Show AbstractNanostructuring via phase separation of thin metal films is promising for the production of nanoporous metals, surfaces of defined or graded roughness and self-aligned nanowires. The concept of nanostructuring by phase separation was first developed and is especially well studied in films of immiscible polymer blends, where phase separation takes place via the spinodal mechanism. However, in metal films phase separation usually takes place by nucleation and growth; so far the creation of tailored nano-modulated phase-patterns in metals via phase separation has not been demonstrated. In this study phase separation in binary alloys of immiscible elements is investigated on the example of copper-tantalum alloys. The alloys are prepared by a non-equilibrium deposition method (co-sputtering) and are annealed to induce phase separation. The initial stage of phase separation is studied with Atom Probe Tomography (APT) and Transmission Electron Microscopy (TEM). Later stages of phase separation are studied with X-ray diffraction (XRD) and Focused Ion Beam (FIB) Tomography. The influence of annealing temperature, film/substrate interface, capping layers and substrate patterning on the phase separation of the binary model alloy is studied. In the amorphous copper-tantalum alloys phase separation is found to be coupled to the crystallization of the individual phases. The alloys show a strong tendency for copper outwards diffusion. It is demonstrated that this process can be blocked with metal or ceramic capping layers and that the design of these capping layers affects the phase separation pattern within the alloy film.
9:00 PM - EE9.31
Phase Transformations in Soft Matter Mixtures under Coupled Phase Ordering and Phase Separation: Effect of Metastable Phases.
Ezequiel Soule 1 2 , Cyrille Lavigne 3 , Linda Reven 3 , Alejandro Rey 1
1 Department of Chemical engineering, McGill University, Montreal, Quebec, Canada, 2 , Institute of Materials Science, Mar del Plata, Buenos Aires, Argentina, 3 Department of Chemistry, McGill University, Montreal, Quebec, Canada
Show AbstractIn this work we simulate one-dimensional dynamics of the phase separation – phase ordering process in binary soft materials, with coupled conserved and non-conserved order parameters. The conserved order parameter is the concentration of the mixture, while the non-conserved order parameter (NCOP) represents an ordered phase. Systems with one and two NCOPs (in addition to concentration) are analyzed, depending if one or both components are capable of forming ordered phases. A mixture of a polymer and a nematic liquid crystal is used as one NCOP system, and a mixture of nanoparticles capable of forming colloidal crystals, and a nematic liquid crystal is the two NCOP system. The interaction parameters are selected such that the isotropic-isotropic (I-I) phase equilibrium is buried bellow the nematic-isotropic equilibrium, so I-I phase separation is metastable.The evolution of the phase transition is analyzed for two cases: growth of a previously formed domain of nematic phase, and spinodal decomposition. In the first case, it is observed that the initial interface spontaneously splits into two interfaces, one of them is a isotropic-isotropic interface separating the two metastable isotropic phases. This interface-splitting mechanism has been analyzed for the case of pure NCOPs and pure COPs [1,2], but not for the mixed case considered here. Depending on the location of the system in the phase diagram with respect to the metastable I-I equilibrium, the intermediate phase can be metastable and have a finite lifetime, or it can be the stable phase and grow monotonically with time. In the case of two NCOPs, the intermediate isotropic phase can become the second ordered phase.In the case of spinodal decomposition, different dynamic regimes were observed depending on the relatives values of the kinetic coefficients for COP (mass diffusion) and NCOP (phase ordering). A similar case has been analized previously [3], but without considering a metastable I-I phase separation. The system evolves in steps, were metastable phases are formed depending on the relative mobilities, so a metastable homogeneous ordering can precede phase separation or a metastable phase separation can precede phase ordering. In the last case, a salami-type structure of large domains with high concentration of liquid crystals, with smaller ordered domains embedded are observed. References1. J Bechhoefer, H Lowen, LS Tuckerman, Physical Review Letters, 67, 1266 (1991).2. RML Evans, WCK Poon, ME Cates, Erophysics Letters, 38, 595 (1997).3. HP Fischer, W Dieterich, Physical Review E, 56, 6909 (1997)
9:00 PM - EE9.32
Hedgehog Defect Structure in a Mixture of a Nematic Liquid Crystal and an Isotropic Substance.
Ezequiel Soule 2 1 , Alejandro Rey 1
2 , Institute of Materials Science, Mar del Plata, Buenos Aires, Argentina, 1 Department of Chemical engineering, McGill University, Montreal, Quebec, Canada
Show AbstractThe aim of this work is to study the defect structure and solute distribution in a hedgehog droplet configuration, for the case of a mixture of a nematic liquid crystal and an “impurity” (isotropic substance). The structure of a hedgehog defect and its dependence on temperature is well known for a pure liquid crystal [1-3], but it has not been widely studied for a mixture.Two approaches are used to analyze this problem. This two complementary techniques have been used for pure liquid crystals as well. The first one is based in a simplified theory that considers the core of the defect as an isotropic phase [1]. The free energy of the system is written as the sum of the bulk free energies of each phase, the interfacial free energy and the energy of the distortions in the nematic phase. All the terms, in principle, are functions of the composition of each phase. The equilibrium values of the radius and the concentration in the defect are calculated by minimizing the free energy.The second approach is based in minimizing the full Landau-de Gennes free energy functional [2,3], which is written in terms of the homogeneous (or bulk), and gradients contributions to free energy, the last one accounting both for interfaces and distortions.It is observed that the radius of the defect increases as the temperature and concentration of the nematic phase approach the phase transition conditions. Far from saturation, the defect radius depends principally on the difference between the concentration of the nematic phase and the concentration of a saturated nematic phase. Close to saturation conditions there is a strong temperature dependence. As the concentration in the nematic approaches the saturation concentration, the concentration in the isotropic core approaches the concentration of the equilibrium isotropic phase. For a small supersaturation, a nematic phase with a defect may still exist, but the temperature range for the existence of this metastable phase is reduced with respect to the bulk, undistorted, defect-free nematic phase. This effect is analogous to the metastability of the hedgehog configuration in pure liquid crystals1 for small superheating.References1. NJ Mottram, TJ Sluckin, Liquid Crystals, 27, 1301 (2000)2. N Schopohl, TJ Sluckin, Journal of Physics France, 49, 1097 (1988).3. A Majumdar, arXiv:1009.4402v2 (preprint)
9:00 PM - EE9.33
PBT/POSS Porous Nanofibrous Membranes: Effect of POSS on the Self Organization and the Crystallization Behavior of the Nanofibers.
Erika Cozza 2 1 , Qian Ma 1 , Orietta Monticelli 2 , Peggy Cebe 1
2 Dipartimento di Chimica e Chimica Industriale (DCCI), Università di Genova, Genova Italy, 1 Department of Physics and Astronomy, Tufts University, Medford, Massachusetts, United States
Show AbstractElectrospinning, i.e. the spinning process in presence of an electric field, has proved to be an efficient technique able to disperse in one step polyhedral oligomeric silsesquioxane (POSS) at a nanometric level into a polymer matrix, in presence of low affinity between the two components. In the present work, the above technique was applied to develop electrospun nanofibrous membranes based on poly(butylene terephtalate)/polyhedral oligomeric silsesquioxane (PBT/POSS) solutions. The chemical and physical properties as well as the orientation and the polymorphic behavior of the nanostructured nanofibers were investigated by several experimental techniques, including: scanning electron microscopy, wide and small angle synchrotron X-ray scattering, infrared spectroscopy, thermal gravimetric analysis and differential scanning calorimetry. Highly porous membranes, consisting of layers of uniaxially aligned nanofibers, were produced. Indeed, the stress-strain coupling between the stretching force generated by the voltage tension and the mechanical extension generated by using a rotating collector resulted in ordered self organization of the nanofibers which were oriented along a preferential axis. Moreover, morphological characterization has demonstrated the possibility to obtain defect free PBT/POSS nanofibers, with silsesquioxane dispersion at a sub micron level, containing different amounts of the nanofiller (namely 3, 6, and 10 wt.% of POSS). As far as the structure formation during deposition is concerned, it is worth underlining the improvement of the nanofiber alignment in presence of the silsesquioxane with respect to those based on the neat polymer. Furthermore, POSS turned out to influence the thermal properties and the crystal structure as well as the molecular chain arrangement of the polymer. In order to assess the role played by POSS in the macromolecules self organization and packing, the post-deposition cold crystallization process of the porous films has been investigated.For support of this research, the authors thank the National Science Foundation, Polymers Program of the Division of Materials Research, under DMR-0602473. ESC thanks the University of Genova and the “Ministero dell’Istruzione, dell’Università e della Ricerca” (MIUR) for support of a visiting fellowship.
9:00 PM - EE9.34
Nanoparticle Alignment by Electric Field for Controlled Structure Formation.
Gorm Johnsen 1 , Matti Knaapila 1 , Henrik Hoyer 1 2 , Mark Buchanan 3 , Geir Helgesen 1 4
1 Department of Physics, Institute for Energy Technology, NO-2027 Kjeller Norway, 2 Department of Electronics and Telecommunications, NTNU, NO-7491 Trondheim Norway, 3 , CondAlign AS, NO-2027 Kjeller Norway, 4 Department of Physics, University of Oslo, NO-0316 Oslo Norway
Show AbstractWe show how an ac electric field may be used to align nanoparticles, such as carbon nanocones and carbon black, into controlled structures such as microscopic fibers. The particles can be dispersed in a large variety of polymer matrices, requiring only that the dielectric constants of the particles differ from that of the resin since the driving mechanism behind particle alignment is dielectrophoresis. Such control of particles may alter a material from non-conducting into a fairly well conducting, depending on particle type. The conductivity increase with alignment is typically of the order of 3-4 orders of magnitude and the conductivity is anisotropic after alignment with predominant conductivity in the direction of the electric field. This means that materials with particle fraction well below the percolation limit, that typically is some % wt, can be made conductive as well as can the conductivity of the matrix be tuned in a controllable manner. The method does not depend on any passage of electric current, which means that the polymer can be separated from the alignment electrodes.
9:00 PM - EE9.35
Controlled Deposition of Clay Nanoplatelets by Fluid Evaporation from Droplets.
Henrik Hemmen 1 , Karianne Austad 1 , Elisabeth Lindbo Hansen 1 , Davi Fonseca 1 , Jon Otto Fossum 1
1 Physics, NTNU, Trondheim Norway
Show AbstractClay nanoparticles in aqueous dispersions have been shown to spontaneously selforganize into liquid crystalline phases at certain concentrations and salinities [1,2]. In this work we study how self-organization affects the deposition of particles when droplets of dispersion are dried on glass microscope slides. The droplet volume used is in the microliter range, which enables study with both optical microscopy and x-ray diffraction.From optical microscopy we find that dilute isotropic dispersions result in coffee-stain like deposits [3], with a rim of particles deposited near the pinned edge of the droplet. Gelled nematic dispersions however, result in textured deposits of particles in the entire droplet area, with extended possibly fractal-like networks of grooves. Time-lapsed movies of the drying process show that these networks are formed by the air-water surface buckling at the final stage of drying.From x-ray diffraction we find that as a result of the drying process, the platelet-shaped particles – approximately 10-100 nm thick and 1 µm wide – are homeotropically deposited on the substrate, i.e. with the platelet plane aligned with the substrate plane. By recording two-dimensional diffractograms from the drying process in situ at the edge of the droplet, we show that this orientation is imposed on the particles not by the substrate, but by the liquid-air interface. When the water in the droplet evaporates, the interface is both approaching the substrate and becoming less and less curved. This effectively increases the particle concentration in the droplet, and forces the particles into a homeotropic alignment with the moving liquid-air surface wall. As the drying proceeds, the preferred orientation of the particles at the edge (inferred from the anisotropy of the x-ray scattering) follows the orientation of the liquid-air interface. In the final stages of drying, the interface aligns with the substrate across the entire droplet area, leaving behind a deposit of particles homeotropically aligned to the substrate, with a narrow distribution of orientations.[1] Phase diagram of polydisperse Na fluorohectorite--water suspensions: A synchrotron small angle x-ray scattering study, D. d. M. Fonseca, Y. Meheust, J.O. Fossum, K.D. Knudsen and K.P.S. Parmar. Phys.Rev. E 79, 021402 (2009)[2] The Isotropic-Nematic Interface in Suspensions of Na-Fluorohectorite Synthetic Clay, H. Hemmen, N. I. Ringdal, E. N. De Azevedo, M. Engelsberg, E. L. Hansen, Y. Meheust, J. O. Fossum and K. D. Knudsen. Langmuir 25, 12507–12515 (2009)[3] Contact line deposits in an evaporating drop, Robert D. Deegan, Olgica Bakajin, Todd F. Dupont, Greg Huber, Sidney R. Nagel, and Thomas A. Witten, Phys.Rev. E 62 756 (2000)
9:00 PM - EE9.36
Using Substrate Patterning to Tune Morphology in Organic Solar Cells.
Spencer Pfeifer 1 , Olga Wodo 1 , Baskar Ganapathysubramanian 1 2
1 Mechanical Engineering Department, Iowa State Univeristy, Ames, Iowa, United States, 2 Electrical and Computer Engineering Department, Iowa State University, Ames, Iowa, United States
Show AbstractAlong with synthesis of new organic semiconductors and development of new device architectures, improvements in power conversion efficiencies of organic solar cells have come from optimizing material processing to improve morphology. Improving morphology is crucial because all physical processes within an solar cell (and thus the device performance) are a strong-function of the thin film morphology. Common processing techniques such as thermal annealing, solvent annealing, and utilization of solvent additives are currently used to tune the morphology. Substrate patterning is another promising approach to direct the morphology, which has already shown potential in other fields. Proper functionalized substrate induces phase separation, and can lead to desired gradient in the donor/acceptor composition.We use a validated computational framework to predict the evolution of morphology during solvent-based fabrication of organic solar cells. This framework models evaporation-induced and substrate-induced phase-separation in ternary systems, which consist of conjugated polymer, fullerene derivative and solvent. The model takes into account both thermodynamic (e.g. interaction parameters between components and components and substrate) and kinetic parameters (e.g. diffusion coefficient). We investigate the effect of one dimensional and two dimensional patterning on morphology evolution. In particular, we search for substrate patterning that: (a) directs the phase separation of characteristic length comparable with exciton diffusion length; (b) hinders subsequent long time phase coarsening; and (c) promotes desired compositional gradient. Proper choice of substrate geometry and substrate material compatible with intrinsic characteristics of the blend enables morphology tuning, thus opening new venues for improving morphology in organic solar cells.
9:00 PM - EE9.37
Morphological Evolution during Growth and Erosion on Vicinal Si(100) Surfaces: From Electronic Structure Analyses to Atomistic Lattice-Gas and Coarse-Grained Modeling.
Da-Jiang Liu 1 , Xiaofang Guo 1 , David Ackerman 1 2 , Marvin Albao 1 , Luke Roskop 1 2 , Mark Gordon 1 2 , Jim Evans 1 3
1 Ames Laboratory USDOE, Iowa State University, Ames, Iowa, United States, 2 Chemistry, Iowa State University, Ames, Iowa, United States, 3 Physics & Astronomy, Iowa State University, Ames, Iowa, United States
Show AbstractStepped Si(100) exhibits alternating stiff SA-type and meandering SB-type steps, presenting a so-called AB-vicinal surface. Both growth (by MBE or CVD) [1,2] and erosion (by sputtering or etching) [3] lead to step pairing, although for somewhat different factors contribute. In addition, one usually also observes more complex pattern formation during motion of the step train. We discuss recent developments in theoretical analysis of these processes ranging from ab-initio electronic structure approaches (for key surface energetics) [4], to atomistic lattice-gas modeling, to coarse-grained sharp-interface (front-tracking) and smeared-interface (phase-field) step dynamics approaches which can describe step pairing. We briefly describe development of new formalisms as well as selected results for the latter coarse-grained approaches [2].[1] B. Voigtlander et al., Phys. Rev. Lett. 78 (1997) 2164[2] D.M. Ackerman and J.W. Evans, SIAM Multiscale Modeling & Simulation 9 (2011) 59 [3] M.A. Albao et al., Phys. Rev. B 72 (2005) 195420; Thin Solid Films 517 (2009) 1949.[4] L. Roskop and M.S. Gordon, J. Phys. Chem. C 114 (2010) 8817.
9:00 PM - EE9.38
Diffusion-Limited Aggregation in Dry Nanocrystal Films.
Michele Saba 1 , Francesco Quochi 1 , Marta Orru 1 , Andrea Pani 1 , Andrea Mura 1 , Giovanni Bongiovanni 1
1 Dipartimento di Fisica, Università di Cagliari, Monserrato Italy
Show AbstractNanocrystal films are often realized by depositing drops of a nanocrystal dispersion on a substrate and letting the solvent evaporate. The resulting morphology is determined by several factors: nanocrystal-nanocrystal interactions, dewetting and evaporation kinetics are among the most important. Concerning solvent evaporation kinetics, several different regimes have been identified, depending on spatially homogeneous or inhomogeneous evaporation, nanoparticle mobility, strength of interparticle interaction, surface tension and solvent volatility. While it is clear from literature that ligands play a crucial role in determining morphology, such role is usually thought to last as long as the solvent is evaporating, while nanocrystals are assumed to remain stuck in their position once solvent is gone. Here, we show that even after solvent evaporation is completed, the presence of excess ligand molecules may allow enough mobility for pattern formation to continue and give rise to nanocrystal aggregation even weeks after initial deposition of the solution drop. In the present work we offer a systematic study of nanocrystal films dried with different concentrations of excess ligands and at different temperatures.Commercial core/shell CdSe/ZnS nanocrystals (Evident technologies) dispersed in a toluene solution were drop cast on a glass slide, let dry on temperature-controlled hot plate and then observed with an optical microscope. Few hours after drying the films at room temperature, smooth coverage was observed; although completely dry, the films evolved into a fingered structure during few weeks of storage time at ambient conditions. Atomic force microscopy gave direct access to the size of such structures and showed that isolated branches in low-coverage regions tended to be around 1 μm in size, while overlapping branches in high-coverage region were sub-micron in size. The concentration of excess oleic acid in the solution proved to be a key parameter in determining the final morphology. In particular, pure toluene dispersion never evolved into a fingered structure, while in the presence of excess oleic acid nanocrystals organized themselves into branched structures after the toluene had evaporated. Fingering occurred well after solvent evaporation, but its occurrence was affected by the evaporation temperature. Quick evaporation at high temperature, 120 C, resulted in smooth films, never causing branched structures. Such observation may be related to the fact that higher temperatures favored close packing of nanocrystals and phase separation between nanocrystals and oleic acid.
9:00 PM - EE9.39
The Usage of the Non-Linear Dynamics Methods for the Processing of AFM and STM Imaging of the Surfaces.
Nikolay Bodjagin 1 , Sergey Vikhrov 1 , Stanislav Mursalov 1
1 , RGRTA, Ryazan Russian Federation
Show AbstractNicolay Bodyagin, Sergey Vikhrov, Stanislav Mursalov, Ryazan Radioengineering University, Ryazan, RUSSIA. In our previous works we have shown that the structure of some thin-film surfaces is “frozen” self-organized system. It concerns all other spatial scales: from macro to nano levels. That’s why traditional approach to the analysis of this system in terms of statistical characteristics such as spatial spectrum, correlation scale doesn’t give the information about its deterministic origin. Fourier analysis turns out also to be non-effective as Fourier spectra don’t contain useful resonance frequencies. So it turns out that AFM and STM imaging of the surfaces are often have the value simply as visual images which don’t have clear mathematical and physical interpretation. In our work we have presented the results of the analysis of AFM and STM imaging of the surfaces of different materials with the help of the methods of non-linear dynamics. We have developed the algorithm of the calculation of the average mutual information (AMI) for two-dimensional surfaces on the non-directional vector and the circumference. (Actually AMI characterizes the correlations in the complex non-linear systems). For the analysis of the sequence in the structure we have also used well-known in nonlinear dynamics Takens approach. With the help of it we can develop: the type of the surface (regular, chaotic, random), the dimension of phase space, fractal dimension (FD) of attractor, Lyapunov exponents and other invariants. The objects of the investigation were silicon-based films, carbon, gallium arsenide, tungsten surface profile obtained by the scanning tunneling microscopy and atomic power microscopy. On the pictures AMI we have found different forms of ordering. We have discussed their physical nature. We can’t have found these forms with the help of any other methods. From the analysis done with the help of Takens approach we have developed FD of the surfaces. We have found that the surfaces structure has complex, determined chaotic character with many levels, and can be described by a limited number of order parameters. The nature of various levels of organization is being discussed. By these results the algorithms for direct modeling of nano- and microstructures and the control of growth processes were processed. The analytical connection between parameters of structure and dynamical characteristics of solidification is established.
9:00 PM - EE9.4
Synthesis of Spherical Silica Nanoparticles with Easily Tunable Wrinkled Structure.
Doo-Sik Moon 1 , Jin-Kyu Lee 1
1 Department of Chemistry, Seoul National University, Seoul Korea (the Republic of)
Show AbstractMesoporous silica nanoparticles were widely researched for various applications in adsorption, catalysis, delivery, and sensing because of its large surface area, easy surface modification, and good biocompatibility. But usual mesoporous silica nanoparticles have tube-shaped pores with small diameter (under 15 nm) and reaction compounds or drugs are hardly accessible into pores of silica nanoparticles. So, there are needs of porous silica nanoparticles with widely tunable pore size and easily accessible pore shape.Spherical silica nanoparticles with easily tunable wrinkled structure were synthesized from quaternary water-surfactant-cosolvent-oil system under mild conditions. Characteristically wrinkled structures were formed by surfactant-assisted self-assembly of silicate oligomers and easily tunable by composition of oil or cosolvent. The distance between these wrinkles is in the range from 5 nm to 50 nm and these nanoparticles have a starlike cross section. To confirm the accessibility of pores, we synthesized core-shell type nanocomposite of catalyst and wrinkle-structured and measured it’s catalytic activity.The synthetic process and other various applications of these wrinkle-structured silica nanoparticle and catalytic nanocomposites will be discussed.
9:00 PM - EE9.41
Spontaneous Formation of PVDF Nanospheres, Nanocapsules, and Nanorods by Controlled Freezing under Nanoconfinement.
Min Kyung Lee 1 , Byoung Soo Kim 1 , Hye Seung Lee 1 , Jonghwi Lee 1
1 Department of Chemical Engineering and Materials Science, Chung-Ang University, Seoul Korea (the Republic of)
Show AbstractPolymer crystallization is difficult to control at a small spatial scale because the nucleation and growth of polymer crystals are complicated by the need for cooperative movements of a large number of connected monomers in restricted spaces. Here, we demonstrate that the orientation and morphology of poly(vinylidene fluoride) (PVDF) crystals can be engineered by controlled freezing polymer solutions in anodic aluminum oxide (AAO) membranes. The controlled freezing process in the nano-confined space fabricates monodisperse nano-structured PVDF, whose aspect-ratio can be controlled for the first time by the initial concentration of PVDF. The possible mechanism for the aspect-ratio control from nanospheres to nanorods seems to involve the homogeneous nucleation in confined regions and the controlled growth along the freezing direction or nanopore axis. In addition, the favorable direction of crystal orientation under nano-confinement is determined by freezing direction. Using modulated differential scanning calorimetry, X-ray diffraction, dynamic contact electrostatic force microscopy, scanning electron microscopy, transmission electron microscopy and electron diffraction, we demonstrate that confined PVDF crystallites into an oriented γ polar ferroelectric form in nanospheres, nanocapsules and nanorods. Interestingly, PVDF nanospheres smaller than 200 nm show single crystalline diffraction pattern, while larger particles have a multicrystalline diffraction patterns. The ability to control polymer morphology and crystal orientation at a local scale achieved by confined freezing technique widens the engineering opportunity of polymer nanostructures desirable for specific applications, especially for polymers displaying piezoelectric properties such as PVDF.
9:00 PM - EE9.42
In Situ UHV TEM Study of Self-Organized Cuprous Oxide Formation on Cu and Cu-Au Surface.
Langli Luo 1 , Yihong Kang 2 , Zhenyu Liu 2 , Judith Yang 2 , Guangwen Zhou 1
1 , SUNY Binghamton, Binghamton, New York, United States, 2 , University of Pittsburgh, Pittsburgh, New York, United States
Show AbstractSelf-organizing process arises as a general bottom-up method for generation of well-defined structures at nanoscale to meet the requirement of new functionalities and devices based on size and shape-dependent properties. Whereas various processes including chemical and physical vapor deposition have been used to grow self-assembled epitaxial nanostructures, where coherent island formation occurs during the growth of lattice-mismatched materials systems, they are typically limited in the ranges of structures and patterns they can produce. Transient stages of metal oxidation involve nucleation and growth of oxide nanoislands, where the oxide nanoislands may self-assemble into ordered structures, thereby potentially offering new pathways of generating novel self-assembled oxide nanostructures. We present an in-situ transmission electron microscopy (TEM) study of self-organized cuprous oxide formation via nanoscale oxidation of Cu and Cu-Au (100) single crystal thin films. The real time TEM observation shows the formation of triangle, square and hexagon oxide islands on Cu(100) and Cu-Au(100) surfaces by introducing oxygen gas with a pressure ranging from 5 10-4 ~ 5 Torr at the oxidation temperature varying from 250oC ~ 700oC. The density, growth rates, and pattern of these oxide islands are found to depend on the oxygen partial pressure and oxidation temperature. It is shown that the interplay between the surface energies and interfacial strain energies between the oxide islands and substrate films play a critical role in shaping the morphologies of these heteroepitaxial grown oxide islands while the oxygen surface diffusion is the main physical process responsible for the self-organization of oxide nanoislands. We further show that the patterns and morphologies of the oxide nanoislands can be modified by alloying Cu with Au via its effect on the metal/oxide interfacial strain energies and oxygen surface diffusion.
9:00 PM - EE9.43
Self-Organized Formation of High Frequency Magnetic Nanocomposites by Vapor Phase Deposition.
Amit Kulkarni 1 , Henry Greve 2 , Vladimir Zaporojtchenko 1 , Thomas Strunskus 1 , Eckhard Quandt 2 , Franz Faupel 1
1 Institute for Materials Science - Multicomponent Materials, Kiel University, Kiel Germany, 2 Institute for Materials-Science - Inorganic Functional Materials, Kiel University, Kiel Germany
Show AbstractThe nanocomposites approach is very attractive for the development of high-frequency soft-magnetic materials which would be readily integrable in monolithic microwave integrated circuit devices. Eddy currents, one of the main loss mechanisms determining the cut-off frequency of inductor materials, can be effectively suppressed by the presence of a dielectric matrix. Here we present the preparation of nanostrucutred materials by vapor phase tandem and co deposition. Versatility of this deposition technique allows one to prepare structures ranging from multilayer films, nanoparticles, to nanocolumns [1]. Tandem sputter deposition of the constituents e.g. PTFE (Teflon) and FeNiCo was used earlier [2] to prepare nanostructured thin multilayer films. Here Teflon acts as insulating component aiding in reducing the overall conductivity of the multilayer and hence preventing the losses due to eddy currents. Recently, we also obtained nanostructures by dewetting phenomena of thin multilayer films. Co nanoparticle assemblies were formed by solid state dewetting of Co thin film sandwiched between dielectric layers. The nanocomposite morphology is found to be controlled by the temperature and the metal particle volume fraction. On the other hand, co-deposition of metal and insulting components lead to metallic nanoparticles dispersed in a insulating matrix. The morphology of the nanoparticles can be controlled by deposition parameters. For instance, co-evaporation of FeNiCo alloy with a fluoropolymer at elevated substrate temperatures, will result in extremely thin rods of average diameter ~6 nm with aspect ratio of 30 [2]. The growth is attributed to a self-organization process based on the very low interaction energy between metal and polymer, also on a critical threshold in the flux ratio of metal/polymer components [3]. So far we achieved very high cut-off frequencies up to 5 GHz and HF permeabilities above 100 for the multilayer nanostructured FeNiCo/PTFE films and FeCo/SiO2 nanocomposite thin films [2]. The nanocomposite core material was successfully integrated into toroidal microinductors [4].[1] F. Faupel, V. Zaporojtchenko, T. Strunskus, M. Elbahri Adv. Eng. Mat. 12, 1177 (2010).[2] H. Greve, A. Biswas, U. Schuermann, V. Zaporojtchenko, F. Faupel, Appl. Phys. Lett. 88, 123103 (2006).[3] H. Greve, C. Pochstein, H. Takele, V. Zaporojtchenko A. Gerber, M. Frommberger, E. Quandt, F. Faupel, Appl. Phys. Lett. 89, 242501 (2006).[4] U. Schuermann, A. Gerber, A. Kulkarni, F. Hettstedt, V. Zaporojtchenko, R. Knoechel, F. Faupel, and E. Quandt, IEEE Trans. Mag, 45 (2009).
9:00 PM - EE9.44
Nanothermal Sensor Using Gold Thin Film.
Hongtao Sun 1 , Mingpeng Yu 1 , Gongkai Wang 1 , Xiang Sun 1 , Jie Lian 1
1 , Rensselaer Polytechnic Institute (RPI), Troy, New York, United States
Show AbstractConventional thermal sensors cannot be used under extreme conditions consisting of high temperature, high pressure and fast respond time such as explosion; therefore it is desirable to design ex situ thermal sensors that could record temperature history when the event is over. Here we investigate the thermodynamics of ultra-thin film as a sensing tool to record temperature history. Because thin films have a high surface-to-volume ratio, on heating, the thin film may agglomerate into shapes with a lower surface-to-volume ratio by surface diffusion. To record temperature history, we take advantage of morphology change due to temperature-induced dewetting. It causes a change in coupling effect of localized surface Plasmon resonance (LSPR), hence a surface plamson band (SPB) shift in the UV-vis spectrum from the thin islands. Therefore, by measuring the SPB shift in the UV-vis spectrum of thin islands we can extract the thermal history. Here we use gold as our sensing material and heat them from 100οC to 700οC at a short time (5s-180s) and find a blue shift in UV-vis spectrum as temperature increasing. We also characterize their morphology change using scan electron microscopy (SEM) and atomic force microscopy (AFM) and find the island size, spacing and ratio between inter-island spacing area and island area is temperature and time dependent. The correlations between temperature, time, ratio and SPB shift can be well fit in a math model based on Jiran and Thompson’s model.
9:00 PM - EE9.45
Controllable Assembly of DNA-Capped Nanoparticle Monolayers at the Air-Liquid Interface.
Michael Campolongo 5 , Jason Kahn 1 , Shawn Tan 5 , Mervin Zhao 4 , Detlef Smilgies 3 , Wenlong Cheng 2 , Dan Luo 1
5 Biomedical Engineering, Cornell University, Ithaca, New York, United States, 1 Biological and Environmental Engineering, Cornell University, Ithaca, New York, United States, 4 Chemistry, Cornell University, Ithaca, New York, United States, 3 CHESS, Cornell University, Ithaca, New York, United States, 2 Chemical Engineering, Monash University, Melbourne, New South Wales, Australia
Show Abstract DNA serves as unique coating ligand for nanoparticles in that it allows a large amount of ‘programmable’ control over sequence, length, and choice of single or double-stranded character. These aspects have opened up the possibility of controllable nanoparticle assemblies, but practical applications of DNA-based materials may require integration into solid-state platforms, and will in turn involve the understanding of dynamic processes between different phases. In this work, we explored nanoparticle self-assembly at the air-liquid interface, which revealed that 2D nanoparticle crystals form spontaneously at this region. The ability to specifically define the coating ligands allowed us to probe the interplay between among particle interactions, namely hybridization and electrostatic interactions. Our findings suggest that crystallization is highly sensitive to ionic strength, but can be regulated by DNA length.
9:00 PM - EE9.46
Nanoscale Assembly of Fluorophores and Metal Nanoparticles by Nanostructures of Block Copolymer Micelles for Plasmon Enhanced Emission.
Ki-Se Kim 1 , Byeong-Hyeok Sohn 1
1 Chemistry, Seoul National University, Seoul Korea (the Republic of)
Show AbstractExcitation of localized surface plasmon resonance (LSPR) on metallic nanostructures can play an important role in optoelectronics and sensors such as photovoltaics, light emitting diodes, nanolasers, and fluorescence based biosensors. For example, when the emitting fluorophores are located in the vicinity of metal nanoparticles, the emission intensity can be increased due to excitation or/and emission enhancement by LSPR. Since the coupling between metal nanoparticles and fluorophores strongly depends on their distance and location, an accurate control over the position of components in nanometer scale is essential to achieve plasmon enhanced emission. Nanostructures of block copolymer micelles can be a promising candidate for an effective control over the position of fluorophores and metal nanoparticles with the nanoscale precision in a thin film.In this presentation, we demonstrate how to utilize nanostructures of block copolymer micelles to effectively generate plasmon enhanced emission from a thin film of fluorophores with metal nanoparticles. A single layer film of block copolymer micelles with fluorophores was fabricated on metal nanoparticles. In this film, fluorophores were located in the micellar core whereas metal nanoparticles around the corona of micelles. Thus, the distance between fluorophores and nanoparticles was controlled by adjusting the size of copolymer micelles to achieve the fluorescence enhancement due to LSPR. In addition, we investigated a possible competition between fluorescence resonance energy transfer and plasmonic coupling by placing a pair of donors and acceptors, which were encapsulated in the copolymer micelles, with a controlled distance from metal nanoparticles.
9:00 PM - EE9.47
In Situ Surface Investigation of Dinitrotoluene (DNT) Adsorption/Desorption on Gold.
Xiaoqiang Jiang 1 , Hui Li 2 , Brian Willis 3
1 Chemical, Materials and Biomolecular Engineering, University of Connecticut, Storrs Mansfield, Connecticut, United States, 2 Chemical, Materials and Biomolecular Engineering, University of Connecticut, Storrs Mansfield, Connecticut, United States, 3 Chemical, Materials and Biomolecular Engineering, University of Connecticut, Storrs Mansfield, Connecticut, United States
Show AbstractThe adsorption and self-assembly of organic molecules on metal surfaces is fundamental to a large number of potential nanoelectronics applications. One of these applications is the trace detection of explosives via selective adsorption. Detection of TNT based explosives can be based on the sensing of dinitrotoluene (DNT) since it is a major impurity and decomposition product of TNT with a greater vapor signature. DNT is also an interesting model system for compounds with large dipole moments. Fundamentally, sensing requires an understanding of the adsorption, desorption, and bonding of DNT on sensor surfaces. In this paper we present a multi-technique study of the interaction of DNT vapor with Au, a commonly used electrode material. Temperature programmed desorption (TPD) experiments show that DNT multi-layers form at low temperatures and that desorption of the multi-layers occurs with zero order character near 0°C. A stable monolayer of DNT is observed above room temperature with desorption around 80°C on the Au (111) surface. Scanning tunneling microscopy (STM) data show that DNT forms well ordered (self-assembled) row structures aligned to the Au substrate. Two different structures are observed, and the stability of these structures is consistent with the TPD data. The mechanism for desorption from the monolayer shows that domains decrease in size with increasing temperature, but there are also indications of desorption from within the domains. X-ray photoelectron spectroscopy (XPS) was also utilized to investigate the adsorption, desorption, and orientation of the DNT molecules. The results are consistent with the TPD results and STM imaging in terms of an ordered monolayer. Angle-resolved XPS (ARXPS) was used to study the orientation of DNT on gold surface. The results indicate that monolayer DNT molecules orient with the central benzene ring near vertical to the surface and with the nitro groups closer to the Au (111) surface. The influence of the large dipole moment and its role on intramolecular interactions for DNT will be also discussed.
9:00 PM - EE9.48
Phase-Field Modeling of Ice Crystallization and Freeze Casting.
Frank Wendler 1 2 , Marcel Huber 2 , Britta Nestler 2 1
1 Institute of Materials and Processes, Karlsruhe University of Applied Sciences, D-76133 Karlsruhe, Baden-Württemberg, Germany, 2 IAM-IZBS, Karlsruhe Institute of Technology (KIT), Karlsruhe, Baden-Württemberg, Germany
Show AbstractThe freezing and melting of water, ubiquitous in nature, has become an important templating process for the production of mesoporous ceramic materials. There are abundant applications in chemical engineering, as bone substitute materials or for the production of oxide/metal matrix composites after pressure infiltration with a liquid alloy. The freeze casting of water based colloidal solutions, followed by a freeze drying step, gives rise to a multi-domain structure of lamellae. The domains are related to the different ice grains growing in competition in the directional solidification stage. The lamellar plates form as a consequence of a Mullins-Sekerka front instability as the colloidal particles concentrate in front of the solidifying ice interface.We tackle this complex multi-scale problem by first concentrating on the pure water-ice system, for which we carefully calibrate a general multi-phase-field model (PFM) of Allen-Cahn type [1] to map all experimental findings. Here, solid ice interfaces evolve due to the interplay of driving force (undercooling), interface curvature, stiffness and kinetic coefficient. To define the location of each ice crystal and the liquid phase, we introduce non-conserved order parameters for each crystal orientation and the liquid, for which a kinetic equation is solved numerically. We pay special attention to the definition of an adequate anisotropy formulation for interface energy and kinetics. The point group of ice Ih (6/mmm) allows to expand it in hexagonal spherical harmonics, for which the coefficients are fitted to atomistic data. Special boundary conditions for the long-range temperature field in equiaxial and directional growth are constructed, so that the simulation box keeps the properties of a representative volume element. Simulations reproduce the known near equilibrium growth shapes as well as dendritic morphologies for larger undercoolings. Also, the edge instability of the platelet-like crystals can be observed for larger undercoolings ΔT > 4K.Next, we introduce colloidal Al2O3 particles for simulations at the micro-scale (< 100 μm), which are mimicked by inert spherical droplets using a volume preserved extension of the PFM [2]. The colloidal properties are defined via the interface energies and the higher order term of the PF potential. The onset of the front stability due to the aggregation of particles is observed, leading to the formation of lamellae. Limiting velocities for the pushing as well as the engulfment of particles by the growing ice front are observed. Simulations of the interaction of moving ice-ice-water triple junctions on the particle ensemble are analyzed. These results help to define free energies for large scale simulations, where the particles are represented by a local solute concentration.[1] B. Nestler, H. Garcke and B. Stinner, Phys. Rev. E 71 (2005) 041609-1.[2]B. Nestler, F. Wendler, M. Selzer, B. Stinner and H. Garcke, Phys. Rev. E 78 (2008) 011604-1.
9:00 PM - EE9.6
Patterned Close-Packed Nanoparticle Arrays with Precise Locations and Controllable Dimensions.
Jianhui Liao 1 , Xinxing Li 1 , Ying Wang 1 , Chaoying Zhang 1 , Jinling Sun 1 , Chao Duan 1 , Qing Chen 1 , Lianmao Peng 1
1 Department of Electronics, Peking University, Beijing China
Show AbstractClose-packed nanoparticle arrays are attractive not only for fundamental studies but also for potential applications, due to their unique collective properties. A prerequisite to investigate their properties is to develop methods of patterning and positioning nanoparticle arrays, which remains an experimental challenge so far. Herein, we report a strategy towards patterned nanoparticle arrays by combining self-assembly with lithographical techniques. The positions and morphologies of nanoparticle arrays are determined by the relief structures on the substrates defined lithographically. And the internal order of them is archived through the self-assembly process at the air/water interface. Polydimethanesilane (PDMS) stamps are used to transfer the nanoparticle monolayers from the water surface onto relief structures on solid substrates while remaining the internal order. Various features composed of ordered nanoparticles have been demonstrated, including stripes, discs, triangles, polyhedrons, and even letters. Our strategy is ready to integrate patterned nanoparticle arrays into electrical devices with the channel dimensions tunable, which will enable us to investigate the collective transport properties depending on the array dimensions.
9:00 PM - EE9.7
Synthesis and Characterization of (bi)Metallic Nanoparticle Arrays Based on Block Copolymer Micelle Nanolithography (BCML).
Sebastian Lechner 1 2 , Joachim Spatz 1 2
1 New Materials and Biosystems, MPI for Intelligent Systems, Stuttgart Germany, 2 Biophysical Chemistry, University of Heidelberg, Heidelberg Germany
Show AbstractMany heterogeneous catalysts used in industry today consist of nanometer-sized particles of a catalytically active material anchored on a support. Bimetallic nanoparticles combine size with various composition effects, giving them new physical/chemical properties that could not be obtained by varying either of them individually. Indeed, adding a second metal element to catalytically active nanoparticles is one way of improving their catalytic properties. Optimal size and percentile composition of the catalytically active bimetallic nanoparticles are usually related. Our challenge is to synthesize monodisperse, stable particles with maximum control over their physical and chemical properties by varying their composition and size. Recently, several preparation techniques have been developed to produce monodisperse metal nanoparticles in order to study how particle size, composition and morphology affect catalytic performance. However, aggregation is one major problem in the deposition of nanoparticles onto solid substrates. Block Copolymer Micelle Nanolithography (BCML) is one way to deposit nanoparticles in a controlled manner on solid substrates[1].We have advanced two different approaches to use BCML for synthesizing monodisperse, thermally stable (bi)metallic nanoparticles consisting of the noble metals gold, palladium and platinum. Depending on the preparation method the used metals can thereby form core shells as well as alloy structure types. One advantage of our method is that the particle size, composition and morphology can easily be adjusted on solid substrates while at the same time preventing agglomeration. The synthesized particles show potential as heterogeneous catalysts, especially for the thermal conversion of CO to CO2.[1] Glass, R. Block copolymer micelle nanolithography. Nanotechnology 14, 1153 (2003).
9:00 PM - EE9.8
Structural Investigation of Self-Assembled InP Quantum Dots on Self-Assembled InGaP Surface Undulations.
Asli Ugur 1 , Ferhat Katmis 1 , Sefik Suzer 2 , Martin Schmidbauer 3 , Fariba Hatami 1 , Ted Masselink 1
1 Department of Physics, Humboldt University, Berlin Germany, 2 Department of Chemistry, Bilkent University, Ankara Turkey, 3 , Leibniz Institute for Crystal Growth, Berlin Germany
Show Abstract A one dimensional periodic surface corrugation on In0.48Ga0.52P epitaxial layers grown lattice matched on GaAs(001) substrates has been found which can be used as a natural template for further growth of well aligned InP quantum dot chains. The surface undulations exhibit a height of a few nanometers with a corresponding wavelength of about 50 nm. Its appearance strongly depends on the molecular beam epitaxy growth conditions: the corrugation is well pronounced at comparatively large growth temperatures (T = 470°C) whereas the surface is very smooth at low growth temperatures (T = 440°C). In this work we examine the atomic arrangement by extended x-ray absorption fine structure (EXAFS) and x-ray photo electron spectroscopy (XPS). We prepared different types of samples (i) with and (ii) without surface undulations and (iii) with InP QDs. An EXAFS experiment has been performed at BM26A (DUBBLE beamline) at ESRF, France. Here, the In K-edge was measured on different samples in the temperature range from 12K to 300K. The EXAFS investigations at BM26A have been very useful since they demonstrate that our structure has a significant dependency on temperature. This could be an indication of atomic short-range ordering which is expected to depend on temperature.
9:00 PM - EE9.9
Assembly of Noble Metal Nanoparticles on Glass by Nanoimprinting of Surface Topography and Thin-Film Dewetting.
Sori Hwang 1 , Yong Jun Oh 1 , Caroline A. Ross 2 , Carl V. Thompson 2
1 Advanced Materials Science and Engineering, Hanbat National University, Daejon Korea (the Republic of), 2 Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States
Show AbstractThe assembly of metal nanoparticles has received significant attention because of the potential applications of these materials for many functional purposes, such as high density magnetic recording media, plasmonic solar cells, and catalysts for the carbon nanotube growth. Thin-film dewetting on topographically patterned substrates was recently reported as a new route to assemble metal nanoparticles, creating arrays of particles with a near-uniform size [1]. However, this technique requires the fabrication of Si templates with nanoscale topographical features that would limit its commercial application. To break through this limitation, this study proposes a process to fabricate glass templates with periodic surface topography by nanoimprinting using a polymer stamp, followed by the assembly of Ag and Au nanoparticle arrays on the glass template by thin-film dewetting. Topographic Si master molds with 200-nm-period square arrays of inverted pyramidal pits were fabricated by laser interference lithography. To replicate the surface topography with a high pattern density, we made a two-layer composite flexible stamp that consisted of a polymeric composite with a high modulus based on vinyl and hydrosilane end-linked polymers (hard-PDMS) and a 184 PDMS with a low modulus. The flexible patterned stamp was pressed onto an inorganically cross-linked sol-gel (IGSG) resist at 110 C that was spin-coated on glass [2], and the imprinted resist was annealed at 550 C to turn into pure silica. Noble metals (Au and Ag) were sputter-deposited onto the imprinted resist with a periodic array of inverted pyramidal pits and were then annealed in a furnace at 300~500 C to induce solid-state dewetting of the deposited films. Some deposited films were irradiated using a pulsed Nd:YAG laser to induce liquid-state dewetting. Patterns on the master mold were successfully transferred to the IGSC resist on 10 × 10 mm2 glass, and the silica showed excellent structural stability upon annealing at 500 C. Metal films were completely agglomerated to form nanoparticles on the IGSC resist without any further reaction or topographic change made to the template. Well-assembled arrays of Ag and Au nanoparticles were obtained by furnace annealing when the film thickness was optimized. The optimum thickness was consistent with that found in the previous study on the Si template [1]. Laser irradiation also induced particle assembly, but the particles slightly intruded into the IGSC layer because of the particle heating above the melting point. In summary, these results demonstrate that a process combining nanoimprinting and thin-film dewetting is a powerful and inexpensive method to generate metal nanoparticle arrays on glass or any other substrates that are tolerable to IGSC annealing. References[1] Y.J. Oh, C.A. Ross, Y.S. Jung, Y. Wang, C.V. Thompson, Small, 5 (2009) 860–865. [2] C. Peroz, V. Chauveau, E. Barthel, E. Søndergård, Advanced Materials, 21 (2009) 555-558.
Symposium Organizers
Pascal Bellon University of Illinois-Urbana-Champaign
Jonah Erlebacher Johns Hopkins University
Stefan G. Mayr Leibniz-Institut fuer Oberflaechenmodifizierung e.V.
University of Leipzig
Vivek Shenoy Brown University
EE10: Surfaces and Thin Films
Session Chairs
Thursday AM, December 01, 2011
Room 200 (Hynes)
9:30 AM - **EE10.1
Mapping of Material Properties with nm Resolution Using Atomic Force Acoustic Microscopy.
Walter Arnold 1 2
1 1. Physikalisches Institut, Universität Goettingen, Goettingen Germany, 2 Department of Material Science and Materials Technology, Saarland University, Saarbruecken Germany
Show AbstractUltrasound is combined with atomic force microscopy to achieve the lateral resolution of scanning probe techniques for ultrasonic imaging and quantitative local measurements. Atomic force acoustic microscopy (AFAM) and ultrasonic friction force microscopy (UFFM) exploit the vibrational modes of AFM cantilevers which range from 10 kHz to several MHz. In these modes the cantilever vibrates in contact mode in one of its flexural or torsional resonances. Images can be obtained with the contrast depending on the local indentation modulus, which is evaluated quantitatively from the contact-resonance frequencies inversely after calibration procedures. The lateral resolution is defined by the tip-sample contact radius ac. The parameters of the measurements can be set so that ac ≈ 10 nm. Applications of the AFAM technique to measure the local elastic indentation modulus M in various nanocrystalline (nc-) materials, in metals with complicated microstructures, and in particular in metallic glasses are discussed. In the latter case a broad distribution of the contact resonances is measured relative to the crystalline counterpart and hence M. The amorphous nature with its variations of configurations is considered to be responsible for the effects we observe. For nc-materials and metallic glasses data on the local anelasticity derived from the quality factor of the contact resonances will be presented. The relation of the damping factor to the ultrasonic absorption will be discussed.At large ultrasonic amplitudes, the cantilever contact-resonance curves deviate from Lorentzian behavior, reflecting nonlinearities in the contact that are related to adhesion and friction. Frictional effects are particularly noticeable in case of torsional cantilever contact-resonances. It will be discussed how to extract information on adhesion and friction from contact-nonlinearities. Furthermore, it is also discussed how to use the nonlinearity of the contact-resonances to detect ultrasonic waves traveling through the sample at frequencies up to 1 GHz and to image subsurface structures inside samples much below the Hertzian elastic deformation field of the AFM tip which extents about 3ac below the surface. The contrast observed in images is not determined by contact stiffness changes but by ultrasonic scattering at the subsurface structures.A part of the data on which the presentation is based were obtained with collaborators, master and PhD students at the Fraunhofer Institute for Non-Destructive Testing (IZFP) in Saarbrücken, Germany, where the author worked until retirement and with various postdoctoral researchers from different institutions.
10:00 AM - EE10.2
SiC Nanodot Templating to Create Ordered Arrays and Molecules of Group IV Quantum Dots down to 22 nm Interdot Separation.
Christopher Petz 1 , Dongyue Yang 2 , Jeremy Levy 2 , Jerrold Floro 1
1 Materials Science and Engineering, University of Virginia, Charlottesville, Virginia, United States, 2 Physics and Astronomy, University of Pittsburgh, Pittsburgh, Pennsylvania, United States
Show AbstractOne potential use for Group IV semiconductor quantum dots (QDs) is to employ them as a means to spatially localize single carrier spins. If proximal arrays of quantum dots can be created on sufficiently small length scales, we can to take advantage of exchange interactions between confined spins to create superqubits, or even spintronic bandgap materials. For quantum dots smaller than 10 nm diameter, with an interdot spacing of 20 nm, the exchange energy between confined electrons on a pair of Ge QDs is about 1 meV (equivalent to 11.6 K). The challenge here is that these dots are smaller than dictated by thermodynamic length scales associated with strain-driven self-assembly, and such at small spacings, coarsening should frustrate pattern fidelity and size monodispersity. We utilize patterns of nanoscale SiC precipitates on Si (001), created from electron-beam induced deposition of amorphous hydrogenated carbon. An array of SiC nanodots would ideally act as local perturbations in the surface chemical potential that directs heteroepitaxial self-assembly of Ge QDs. We have created SiC nanodots with diameters as small as 10 nm full width at half max, and interdot spacings down to 22.5 nm in 6-dot molecules. The key challenge is to understand how the Ge grows on the patterned SiC/Si template. Growth of 1.3 – 2 monolayers of Ge on the template at temperatures up to 600○C appears to result in conformal growth and wetting of both the SiC nanodots and the Si regions in between. Annealing at higher temperatures results in significant bimodal broadening of the size distribution. This is not the result of Ge coarsening, but is believed to be due to non-uniform Si accumulation over the carbide nanodots. Even though the Ge does not form the traditional 3D morphological quantum dots typical of the Stranski-Krastanov growth mode, we are examining whether the Ge forms electronic quantum dots associated with steep gradients in the strain and composition that lead to confining potentials for electrons. Should this be the case, the system would actually be ideal for the proximal spin interactions of interest here. We do observe effects in our preliminary magnetocapacitance spectra clearly associated with the presence of the quantum dots, but these effects are otherwise still not understood in detail. Support from the DOE Office of Basic Energy Sciences is gratefully acknowledged under grant number: DE-FG02-07ER46421.
10:15 AM - EE10.3
Formation of Ni-Rich Nanostructures and Adlayers on NiAl(110): Multi-Site Lattice-Gas Modeling with Realistic Diffusion Kinetics for Multi-Component Systems.
Yong Han 1 , Baris Unal 1 , Dapeng Jing 2 , Patricia Thiel 2 , Jim Evans 3
1 Inst. Physical Research & Technology, Iowa State University, Ames, Iowa, United States, 2 Chemistry, Iowa State University, Ames, Iowa, United States, 3 Physics & Astronomy, Iowa State University, Ames, Iowa, United States
Show AbstractSingle- and multi-species deposition on binary alloy substrates provides new opportunities to guide the self-assembly of single-component and alloy epitaxial nanostructures with desired properties. However, atomistic-level description of these generally far-from-equilibrium processes is complicated by: (i) the presence of multiple adsorption sites on alloy substrates; and (ii) the need to accurately describe step edge diffusion and detachment kinetics for a vast number of edge configurations. These complications can be treated with multi-site lattice-gas modeling incorporating precise diffusion barriers based on DFT-determined adatom adsorption energies and interactions at both adsorption sites and transition states [1]. This approach is applied to describe the temperature-dependence of the formation of: pure Ni nanostructures; a novel new type of Ni3Al adlayer; as well as NiAl adlayers (i.e., alloy self-growth) on NiAl(110) [1]. [1] T. Duguet, Y. Han, C. Yuen, D. Jing, B. Unal, et al., Proc. Nat. Acad. Sci.108 (2011) 989.
10:30 AM - EE10.4
Predicting Atomic Scale Structure and Ordering of Multicomponent Surfaces.
John Thomas 1 , Adam Duzik 1 , Normand Modine 2 , Joanna Millunchick 1 , Anton Van der Ven 1
1 Department of Materials Science and Engineering, University of Michigan, Ann Arbor, Michigan, United States, 2 Center for Integrated Nanotechnologies, Sandia National Laboratories, Albuquerque, New Mexico, United States
Show AbstractAs feature sizes decrease and device complexity increases, the atomic-scale details of structure and self-organization at compound semiconductor surfaces are becoming increasingly important. Better understanding of atomic-scale surface phenomena informs attempts to engineer heterojunction and oxide interfaces, laterally modulate compositions of components, and reproducibly nucleate self-assembled nanostructures. Unfortunately, the presence of short-range strain effects and kinetic limitations can give rise to disordered or multi-phase surfaces that are difficult to characterize experimentally. Moreover, theoretical descriptions have traditionally relied on a painstaking trial-and-error search of the structure and configuration phase space. We have developed a systematic and rigorous approach to determining surface structure and ordering that consists of two steps. First, we use minimal prior knowledge of a surface system’s structural trends to enumerate likely surface structure prototypes. Second, we perform an analysis using first principles energy calculations and statistical mechanical methods to explore spontaneous alloy and surface ordering of these structures. With our method we are able to efficiently identify new groundstate and near-stable surface reconstructions and investigate the finite temperature effects of alloying and lattice vibrations to construct an ab initio surface phase diagram. We describe the automated generation of III-V (001) surface reconstruction prototypes in the group V-rich regime using our method and also discuss how our approach is used to study the complex surface organization of two size-mismatched surface alloy systems. The first is InAs/GaAs (001), which exhibits nanoscale coexistence domains and a poorly understood (n×3) reconstruction that is robust over a wide range of conditions. The second is Bi/GaAs (001), which has garnered significant interest recently due to its strong surfactant behavior and the compelling electronic properties of potential GaAsBi alloys and nanostructures.
10:45 AM - EE10.5
Discrete and Continuum Dynamics of Faceted Crystal Surfaces.
Dionisios Margetis 1 2 3 , Kanna Nakamura 1
1 Mathematics, University of Maryland, College Park, Maryland, United States, 2 Institute for Physical Science and Technology, University of Maryland, College Park, Maryland, United States, 3 Center for Scientific Computation and Mathematical Modeling, University of Maryland, College Park, Maryland, United States
Show AbstractWe discuss the connection of two scales in the relaxation of axisymmetric crystal surface structures with a facet via evaporation-condensation and surface diffusion models. We find that the continuum theory under ``natural boundary conditions'' at the facet, which are derived from continuum thermodynamics, produces surface morphologies in agreement with the discrete dynamics of line defects (steps) in the evaporation-condensation process. This result contrasts analogous studies of surface diffusion. At the microscale, the discrete scheme is a large system of differential equations for the radii of repulsively interacting steps of atomic height separated by nanoscale terraces. At the macroscale, the facet is a free boundary for a diffusion-like, nonlinear partial differential equation for the height profile. We point out implications of our results for more general geometries.
11:30 AM - **EE10.6
Templated Solid-State Dewetting of Thin Films.
Carl Thompson 1 , Jongpil Ye 1 , Amanda Giermann 1
1 Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States
Show AbstractSolid thin films are typically deposited far from equilibrium and are metastable, so that when they are heated to sufficiently high temperatures they dewet (agglomerate) to form arrays of particles. The temperature at which a film dewets decreases with decreasing film thickness and can be well below the film’s melting temperature, so that dewetting occurs while the film remains in the solid state. Dewetting has long been a problem to be avoided when processing materials for microsystems such as integrated circuits. However, increasingly, solid state dewetting has come to be recognized as a path for formation of ordered particles as well as structures with complex shapes. We have used substrates patterned with regular artificial surface topography to control the size, order, and crystallographic orientation of metal particles that form during dewetting of polycrystalline films. We have also investigated dewetting of epitaxial films grown on planar surfaces, and find that patterning of the films into different initial shapes allows separate investigation of the mechanisms that operate during dewetting. These include rim faceting, fingering instabilities, pinch-off processes, corner instabilities, and Rayleigh-like instabilities. We have also shown that pattern templated dewetting can be used to reproducibly create complex structures with sub-lithographic length scales. Both experimental and modeling research will be reviewed.
12:00 PM - EE10.7
Self–Organized Pattern Formation at the Organic-Metal Interface during Deposition – Experiment vs. Modeling.
Florian Szillat 1 2 , S. Mayr 1 2 3
1 , Leibniz Institute of Surface Modification (IOM), Leipzig Germany, 2 , Translational Centre for Regenerative Medicine (TRM) , Leipzig Germany, 3 Faculty of Physics and Earth sciences, University of Leipzig, Leipzig Germany
Show AbstractSelf–organized pattern formation achieved during physical vapor deposition of organic materials onto rough inorganic substrates leads to a characteristic morphological evolution as function of film thickness. By employing a combined experimental–theoretical approach we are using atomic force microscopy and numerically–solved continuum rate equations to address morphological evolution in the model system: poly (bisphenol A carbonate) on polycrystalline Cu. Pattern formation is assumed to be caused by i) curvature and interface potential driven surface diffusion, ii) deposition noise and iii) interface boundary effects.Good agreement of experiments and theory, fitting only the Hamaker coefficient and diffusivity within narrow physical parameter windows, corroborates the underlying physics and prepares the ground for computer–assisted interface engineering.
12:15 PM - EE10.8
Electrophoretic Deposition for the Fabrication of Materials with Designer Microstructure via Dynamic Electrodes and Electric Field Sculpting.
Andrew Pascall 1 , Marcus Worsley 1 , Jae Park 2 , David Saintillan 2 , Joshua Kuntz 1
1 , Lawrence Livermore National Laboratory, Livermore, California, United States, 2 , University of Illinois, Urbana-Champaign, Illinois, United States
Show AbstractPrecise control over a material's microstructure is required to manufacture materials with novel properties. Electrophoretic deposition (EPD), in which suspended colloids are driven to an electrode by an electric field, is an attractive manufacturing technique because it inherently operates at the nanoscale. However, EPD has traditionally been limited to fabricating layered films. Here, we present techniques for fabricating complex 2D and 3D structures via field sculpted EPD over dynamic electrodes as well as detail the results of computational modeling efforts that enable the prediction of the deposition dynamics and final structure.This work was performed under the auspices of the U.S. Department of Energy by Lawrence Livermore National Laboratory under Contract DE-AC52-07NA27344. LLNL-ABS-489152.
12:30 PM - EE10.9
Surface-Mode Spinodal Decomposition in Elastic Bodies.
Ming Tang 1 , Alain Karma 2
1 , Lawrence Livermore Nat. Lab, Livermore, California, United States, 2 , Northeastern University, Boston, Massachusetts, United States
Show AbstractMany alloys exhibit spinodal decomposition under certain conditions, which produces intricate domain structures that coarsen with time. Coherency strain that arises from the composition dependence of the lattice constant is known to suppress spinodal decomposition, resulting in a shift of the spindoal line on the phase diagrams. In this work, we use both linear stability theory and numerical simulations to demonstrate the existence of a unique surface-mode spinodal decomposition phenomenon in phase-separating systems with coherency strain. Compared to the conventional bulk spinodal decomposition, the surface-mode spinodal decomposition displays a different dispersion relation and generates a distinct domain structure in the surface region. We show that a new “surface-mode coherent spinodal curve” is introduced onto the phase diagrams by the presence of free surface. Together with the bulk coherent spinodal line, it defines a region in which phase transformation is initiated by spontaneous phase separation near the surface followed by nucleation and growth behavior in the bulk. Implications of such a phenomenon for nanoscale pattern formation in materials will be discussed.
12:45 PM - EE10.10
Engineering the Size, Shape and Density of Silicon Agglomerates by Dewetting.
Lukasz Borowik 1 , Nicolas Chevalier 1 , Denis Mariolle 1 , Eugenie Martinez 1 , Francois Bertin 1 , Amal Chabli 1 , Jean Charles Barbe 1
1 , CEA-Leti, Minatec Campus, Grenoble France
Show AbstractThe dewetting of ultrathin silicon layers, induced by the thermal budget, is an issue to develop SOI-based technology. However, dewetting can be a good method to obtain well arranged agglomerates with similar size. This study aims at demonstrating the effect of the strain and contamination on the dewetting mechanism [1]. For that purpose, we present the results obtained on (001) oriented ultrathin (~8 nm) silicon layers on silicon dioxide (SOI). Both stress-free and strained (s-SOI) films fabricated using the “Smart cut” [2] process have been studied. We used three s-SOI samples, with respectively 0.8%, 1.2% and 1.6% in-plane strain in the top silicon film.In order to understand the dewetting mechanism, samples were heated up to ~800°C under ultra high vacuum (1×10^-9 mBar) during 10 minutes. The dewetted area topography was characterised by Atomic Force Microscopy (AFM). In addition, the chemical nature of these areas was analysed using Auger electron spectroscopy. AFM results show that Si agglomerates are always present during the dewetting. We will present clear evidences of the influence of strain on the size, shape and density of these Si agglomerates [3,4]. Moreover, our results demonstrate that carbon contamination leads to the growth of pyramidal-shaped SiC nanodots on the sample surface [4]. During dewetting, these nanodots induce instabilities at the silicon film surface and affect size of agglomerates. Thus, by controlling initial surface contamination it is possible to influence the dewetting mechanism and set the final size of agglomerates [4]. To summarize: (i) the level of strain in the silicon films impacts the size, shape and the density of Si agglomerates (ii) silicon carbide nanodots induced by surface contamination leads to change dewetting mechanism and finally control the size of agglomerates.References: [1] This work was partially supported by the French "Recherche Technologique de Base" Program and performed in the frame of the ANR P3N DEFIS project. [2] B. Ghyselen et al. Solid-state electronics, 48, 1285-1296 (2004) [3] L. Borowik et al. Patent, deposited 6 May 2011 (n° 11 53926) [4] L. Borowik et al. Patent, deposited 6 May 2011 (n° 11 53927) [5] Henderson et al. Journal of Applied Physics 42, 3 (1970)
EE11: Principles of Self-Organization II
Session Chairs
Thursday PM, December 01, 2011
Room 200 (Hynes)
2:30 PM - EE11.1
Compositional Patterning in Alloys Driven by Severe Plastic Deformation.
Daniel Schwen 1 , Miao Wang 1 , Pascal Bellon 1 , Robert Averback 1
1 Materials Science and Engineering, University of Illinois at Urbana-Champaign, Urbana, Illinois, United States
Show AbstractEngineering alloys are often subjected to prolonged severe plastic deformation and maintained in non-equilibrium states during processing or in-service applications. Multiphase alloys under cyclic fatigue, nano oxide-dispersed steels synthesized by high-energy milling, and friction stir welding of bimetal interfaces serve to illustrate this point. In these examples disordering is promoted through dislocation-based plasticity, driving the system away from equilibrium, while thermally activated diffusion tends to restore equilibrium. These competing dynamics can give rise to steady states and the development of compositional patterns with characteristic length scales. In this presentation, we discuss the dynamics of forced mixing in binary alloys and show, using kinetic Monte Carlo methods, how these unusual dynamics lead to emergence of patterning. In addition, we explore the potential of GPU based phase field simulations to examine this general type of problem. Finally, we compare simulated mixing data with experimental results derived from high pressure torsion experiments.
2:45 PM - EE11.2
Pressure-Induced Self-Organization of New Classes of Multi-Dimensional Nanostructures.
Huimeng Wu 1 , Wenbin Li 3 , Ju Li 3 , Hongyou Fan 1 2
1 , Sandia National Laboratories, Albuquerque, New Mexico, United States, 3 , Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 2 , University of New Mexico, Albuquerque, New Mexico, United States
Show AbstractDue to the size- and shape-dependent properties, nanoparticles have been successfully used as functional building blocks to fabricate multi-dimensional (D) ordered assemblies for the development of ‘artificial solids’ (e.g., metamaterials). At ambient pressure, entropy driven self-assembly of monosized or binary nanoparticles generally results in polycrystalline 2- or 3D close-packed arrangements, and extensive efforts have been made to develop structural perfection of nanoparticle arrays or ‘single crystal-like’ domain structures with precise long range order for their definite advantages for electron transport. To date, fabrications of ordered nanoparticle assemblies have been relied on specific interparticle chemical or physical interactions such as van der Waals interactions, dipole-dipole interaction, chemical reactions, and DNA-templating, etc. Recently we have discovered a pressure-induced self-assembly method in which an external pressure has been utilized to engineer nanoparticle assembly and to fabricate new nanoparticle architectures without relying on specific nanoparticle interactions. We show that under a hydrostatic pressure field, the unit cell dimension of a 3D ordered nanoparticle arrays can be manipulated to reversibly shrink, allowing fine-tuning of interparticle separation distance. Under a uniaxial pressure field, nanoparticles are forced to contact and coalesce, forming hierarchical nanostructures. Depending on the orientation of the initial nanoparticle arrays, 1-3D ordered nanostructures including nanorod, nanowire, and nanoporous network can be fabricated through the pressure-induced self-assembly method. Guided by computational simulations, we were able to rationalize the pressure-induced self-assembly of nanoparticle arrays for predictable nanostructures. Moreover, we discovered for the first time a transition from an ordered polycrystalline nanoparticle mesophase to quasi-single crystalline nanoparticle lattices induced by pressure-induced self-organization process. Exerting pressure-dependent control over the structure of nanoparticle arrays provides a unique and robust system to understand collective chemical and physical characteristics and to develop novel electronic and photonic behavior for energy transduction related applications.
3:00 PM - EE11.3
Continuum Theory of Dislocation Cellular Structures: Fractals, Scaling Theories, and Anisotropic Morphologies.
Yong Chen 1 , Woosong Choi 1 , Stefanos Papanikolaou 1 , James Sethna 1
1 Physics, Cornell University, Ithaca, New York, United States
Show AbstractWe present a minimal continuum dislocation dynamics model[1] to explain the emergent mesoscale self-similar cellular dislocation structures observed in plastically-deformed crystals. In three dimensions, we evolve the geometrically necessary dislocations (GNDs) to minimize the elastic free energy in a single crystal within an isotropic approximation, starting from a smooth initial deformation. Whether or not climb is forbidden, GNDs always evolve into self-similar structures. This striking self-similar morphology is measured in terms of correlation functions of physical observables, like the geometrically necessary dislocation density, the plastic distortion, and the crystalline orientation. We provide a generic scaling theory to show that all these correlation functions, exhibiting spatial power-law behaviors, share a single underlying universal critical exponent[2]. We exert both uniaxial tension and cold rolling on the simulated systems, and study the anisotropic morphologies associated with the loading geometries. We also develop experimental observers (simulating X-ray diffraction experiments) to visualize the underlying dynamics of sub-grain cell structures under loadings. [1] Yong S. Chen et al. , Phys. Rev. Lett. 105,105501, 2010.[2] Yong S. Chen et al. , arXiv:1106.0195.
3:15 PM - EE11.4
Tuning Nano-Scale Grain Size Distribution in Multilayered Al-Mn.
Wenjun Cai 1 , Christopher Schuh 1
1 Materials Science and Engineering, MIT, Cambridge, Massachusetts, United States
Show AbstractNanostructured materials with high strength, strain rate sensitivity, work-hardening ability, and damage tolerance are desirable for structural and engineering applications. Unfortunately, there is not generally a single nanoscale grain size that simultaneously optimizes all of these properties, and in order to take full advantage of their potential a higher order of microstructure design is needed. In this work, Al-Mn_x/Al-Mn_y multilayers with a wide range of structures from microcrystalline to nanocrystalline and amorphous were electrodeposited using a single bath process in room temperature ionic liquid. By varying the Mn composition by ~ 1 - 3 at.% between layers, the grain sizes in this single system can be systematically modulated between two values, delivering microcomposites of nanostructured phases. Detailed characterization by electron microscopy as well as nanomechanical testing reveals the potential of combining different properties in these materials.
3:30 PM - EE11.5
Continuum Dislocation Dynamics: Comparison between Models.
Woosong Choi 1 , Yong Chen 1 , Stefanos Papanikolaou 1 , James Sethna 1
1 Physics, Cornell University, Ithaca, New York, United States
Show AbstractMany continuum theories of dislocation dynamics have been proposed to bridge the gap in between discrete microscopic simulations and macroscale phenomenology. As of yet, however, these theories had limited success in explaining or predicting the physics of microstructure formation and evolution. Recently, we have shown that a simple isotropic continuum model dynamically form walls[1] and exhibit complicated microstructure formation and evolution[2] similar to experiments. Most other continuum theories have not seen such structures emerging, and to what extent this theory explains the physics remains to be answered. We explore several variants of the current theories which have different microscopic physics as to how slip systems, cross-slip, statistically stored dislocations, explicit or effective short range interactions, etc. are treated. Comparisons among simulation results of these models will be presented, and we will discuss the relevant mechanisms and their consequences in the dynamics of microstructures.[1] S. Limkumnerd and J. P. Sethna, Phys. Rev. Lett. 96, 095503, 2006.[2] Y.S. Chen, W. Choi, S. Papanikolaou, and J. P. Sethna, Phys. Rev. Lett. 105,105501, 2010.
3:45 PM - EE11.6
Strongly Damped Dynamics of Nematically Ordered Clay Nanoplatelets in a Magnetic Field.
Eduardo de Azevedo 2 , Mario Engelsberg 1
2 Materials Science, Universidade Federal de Pernambuco, Recife, Pernambuco, Brazil, 1 Physics, Universidade Federal de Pernambuco, Recife, Pernambuco, Brazil
Show AbstractThe anisotropy of the diffusivity of water molecules, probed via 1H nuclear magnetic resonance imaging techniques, is used to study the extremely slow dynamics in the nematic phase of synthetic Na-fluorhectorite platelets in aqueous suspension [1]. The anisotropy of the diamagnetic susceptibility of the platelets Δχ, and the torque experienced in an applied magnetic field, permit to monitor the time evolution starting from two different initial conditions: Forced alignment in an applied field starting from a zero-field condition and torque-free evolution in zero field starting from an initially aligned system [2]. The dynamics of the ordered platelets can be modeled by a one-dimensional Fokker-Planck equation which correctly describes the experimental results for both initial conditions. By comparing the experimental data with the solution for the torque-free case one concludes that the process is diffusive with an extremely slow rotational diffusivity Dφ = 9.9x10-3 radian2/hour.The forced evolution requires a numerical solution of the full Fokker-Planck equation and a comparison with the data yields an effective, per platelet, diamagnetic susceptibility anisotropy △χ = -1.63 x 10-20 J/T2. [1] Hemmen H, Ringdal N I, de Azevedo E N et al., Langmuir 25, 1175 (2009) [2] E. N. de Azevedo and M. Engelsberg, Langmuir 25, 1175 (2009).
4:30 PM - EE11.7
Modeling the Self-Assembly of Lipids and Nanotubes in Solution: Forming Vesicles and Bicelles with Transmembrane Nanotube Channels.
Meenakshi Dutt 1 , Olga Kuksenok 1 , Michael Nayhouse 1 , Steven Little 1 , Anna Balazs 1
1 Chemical Engineering Dep, University of Pittsburgh, Pittsburgh, Pennsylvania, United States
Show AbstractVia dissipative particle dynamics (DPD), we simulate the self-assembly of end-functionalized, amphiphilic nanotubes and lipids in a hydrophilic solvent. Each nanotube encompasses a hydrophobic stalk and two hydrophilic ends, which are functionalized with end-tethered chains. With a relatively low number of the nanotubes in solution, the components self-assemble into stable lipid–nanotube vesicles. As the number of nanotubes is increased, the system exhibits a vesicle-to-bicelle transition, resulting in stable hybrid bicelle. Moreover, our results reveal that the nanotubes cluster into distinct tripod-like structures within the vesicles and aggregate into a ring-like assembly within the bicelles. For both the vesicles and bicelles, the nanotubes assume trans-membrane orientations, with the tethered hairs extending into the surrounding solution or the encapsulated fluid. Thus, the hairs provide a means of regulating the transport of species through the self-assembled structures. Our findings provide guidelines for creating nanotube clusters with distinctive morphologies that might be difficult to achieve through more conventional means. The results also yield design rules for creating synthetic cell-like objects or microreactors that can exhibit biomimetic functionality.
4:45 PM - EE11.8
Reversible Long Range Network Formation in Gold Nanoparticle – Nematic Liquid Crystal Composites.
Jonathan Milette 1 , Violeta Toader 1 , Cyrille Lavigne 1 , R. Bruce Lennox 1 , Linda Reven 1 , Stephen Cowling 2 , Isabel M. Saez 2 , John W. Goodby 2
1 Chemistry, Centre for Self-Assembled Chemical Structures, McGill University, Montreal, Quebec, Canada, 2 Chemistry, The Liquid Crystal and Advanced Materials Group, University of York, York United Kingdom
Show AbstractThe reversible long range self-assembly of gold nanoparticles (AuNPs) with mesogenic ligands in nematic liquid crystal (LC) solvent was studied using polarized optical microscopy. AuNPs of 4 to 5 nm diameter with mono- and mixed mono- layers of 4’-(n-mercaptoalkoxy)biphenyl-4-carbonitrile, CBO(CH2)nSH (n = 8, 12, 16) and alkanethiols, CH3(CH2)mSH (m = 5, 11) [1], were dispersed in 4-n-pentyl-4-cyanobiphenyl (5CB) and 4-n-octyl-4-cyanobiphenyl (8CB) and analyzed under different conditions. Upon cooling to TN-I, the AuNPs concentrate at the nematic-isotropic interfaces to form micron scale networks. The network topologies as well as the LC patterns depend on the cooling rate, surface alignment, film thickness, AuNP concentration and ligand shell composition. In the case of thin films, the AuNPs assemble into networks of branches and circular nodes. The LC splay disclinations around the single point defect found in the nucleating nematic droplets evolve into homeotropic alignment of the host nematic matrix, accompanied by the appearance of birefringent stripes corresponding to twist disclinations. Thick film AuNP networks are hierarchical cellular structures containing stable splay disclination line defects in the small cells and Schlieren texture elsewhere. With a homeotropic surface alignment, conditions under which the formation of a AuNP network in the nematic phase is suppressed, the AuNP aggregates assemble at TSm-N into regular linear or curved arrays depending on the boundary conditions. The formation of highly regular and reversible, long-range nanoparticle networks and arrays via the N-I and Sm-N phase transitions have not been previously reported.[1] J. Milette, V. Toader, L. Reven and R. B. Lennox, J. Mater. Chem. (2011) DOI: 10.1039/c1jm10553a
5:00 PM - EE11.9
Phase Transitions in Colloidal Nanocrystal Langmuir Films.
Zeger Hens 1 , Yolanda Justo 1 , Kamal John Sundar 1 , Karel Lambert 1
1 , Ghent University, Gent Belgium
Show AbstractSelf-assembly of colloidal nanocrystals is an active field of research driven on the one hand by their exceptional tendency to form highly involved superstructures and on the other hand by the application potential these assemblies have in electronic and photonic devices[1-3]. However, with the currently used methods to form binary and ternary nanocrystal superlattices, coating of large, device-scale areas is difficult. This is not the case for Langmuir-Blodgett (LB) deposition, where a nanocrystal Langmuir film initially formed on a liquid surface is deposited on a substrate. However, LB deposition has mainly been demonstrated for the large area deposition of nanocrystal monolayers so far. In this paper, we show that Langmuir films of colloidal nanocrystals (CdSe, CdSe/CdS and PbSe are tested) exhibit subsequent phase transitions from a monolayer to a double and a triple layer upon compression. Transmission electron microscopy of the monolayer-double layer and double layer-triple layer coexistence shows that these additional layers locally nucleate and grow, which indicates a considerable nanocrystal mobility. Therefore, we propose a thermodynamic model that rationalizes these phase transitions by the tendency of these films to reduce their free energy. This model links the pressure at which phase transitions occur to differences in interparticle interactions between the different layers. Since free energy reduction is the general driving force for the formation of complex nanoparticle superlattices, this finding indicates that Langmuir-Blodgett deposition is an interesting candidate for the formation of such superlattices over large areas. Since first results on the formation of binary superlattices on the air-liquid interface have been reported recently[4] the result reported here may even extend to phase transformations in binary superlattices. 1.Redl, F. X.; Cho, K. S.; Murray, C. B.; O'Brien, S., Three-dimensional binary superlattices of magnetic nanocrystals and semiconductor quantum dots. Nature 2003, 423, (6943), 968-971.2.Shevchenko, E. V.; Talapin, D. V.; Kotov, N. A.; O'Brien, S.; Murray, C. B., Structural diversity in binary nanoparticle superlattices. Nature 2006, 439, (7072), 55-59.3.Evers, W. H.; Friedrich, H.; Filion, L.; Dijkstra, M.; Vanmaekelbergh, D., Observation of a Ternary Nanocrystal Superlattice and Its Structural Characterization by Electron Tomography. Angewandte Chemie-International Edition 2009, 48, (51), 9655-9657.4.Dong, A. G.; Chen, J.; Vora, P. M.; Kikkawa, J. M.; Murray, C. B., Binary nanocrystal superlattice membranes self-assembled at the liquid-air interface. Nature 2010, 466, (7305), 474-477.
5:15 PM - EE11.10
Rapid Self-Assembly of Gold Nanostructures: Controlled Termination of the Growth Reaction.
Abdennour Abbas 1 , Limei Tian 1 , Chang H Lee 1 , Ramesh Kattumenu 1 , Srikanth Singamaneni 1
1 Mechanical Engineering and Materials Science, Washington University, St Louis, Saint Louis, Missouri, United States
Show AbstractThe growth mechanism of self-assembled gold nanostructures has been reported to exhibit common features with molecular step-growth polymerization. Similar to functional monomers, gold nanostructures assemble to form first dimers, then trimers, oligomers and finally chains in a branched network. Understanding the related kinetics and the resulting functional properties is a major current issue in the self-assembly of inorganic nanostructures. To enable such investigation in time-efficient and reliable conditions, we have first optimized a process to obtain an immediate self-assembly that reaches the network state within 1 to 20 min. The technique uses an aminothiol molecule namely cysteamine to rapidly and easily assemble gold nanorods and nanoparticles. Then, we successfully performed the freezing of the assembled structures at different stages of the reaction to separately and permanently obtain stable dimers/trimers, oligomers or branched networks. The concept is based on competitive binding of benzene dithiol and/or aldehyde terminated alkanes leading to immediate termination of the growth reaction. UV-visible spectroscopy, surface enhanced Raman scattering (SERS) and transmission electron microscopy (TEM) were used to monitor and characterize the assembly state over time. This development offers a facile and reliable way to investigate the kinetics of self-assembly but also to effectively transfer nanostructure ensembles onto solid substrates for plasmonic applications.
5:30 PM - EE11.11
Thermodynamic Computing – Solving Complex Computational Problems via Self-Assembly.
Chaitali Joshi 1 , Sanjiv Sambandan 2
1 Engineering Physics, Indian Institute of Technology , Bombay India, 2 Applied Physics, Indian Institute of Science, Bangalore India
Show AbstractWe illustrate the use of the Maximum Entropy Production Principle (MEPP) in solving complex computational problems. We present experimental results where complex mazes are solved (a path between entrance and exit is found) in parallel fashion by particles in a colloid as a consequence of MEPP.Consider a system allowed to naturally evolve from non-equilibrium created by a potential difference (in pressure, temperature, electric potential etc). The second law of thermodynamics states that the system will head towards equilibrium and increase global entropy. However, it does not say anything about the path the system chooses. MEPP says that the system will choose a path that maximizes the rate of entropy production, which in other words, reduces the potential difference (or driving force) as soon as possible. This principle has been shown to define many other phenomena and governing principles in nature – self-assembly, Kirchoff’s Law etc.We illustrate the MEPP using the mechanics of Diffusion Limited Aggregation (DLA). DLA explains the aggregation of particulates in a colloid. When a colloid of electrically polarizable particles are contained in a rectangular well with a potential gradient existing across two opposite edges, the particles undergo rapid aggregation so as to form a bridge between the two edges thereby attempting to reduce the potential difference as soon as possible due to MEPP.We use this concept to solve complex optimization problems in parallel fashion. For example, an optimization problem can be mapped to a maze where the walls of the maze define the constraints. Now, if the maze is presented to the colloid with a potential difference between the entrance and exit of the maze, the particles in the maze search for a bridge between the entrance and exit in parallel fashion, and at the fastest possible rate (limited by diffusion) so as to minimize the potential difference as soon as possible in accordance with MEPP. We show results of such experiments and discuss the models and theory behind this.