Symposium Organizers
Mark L. Orsquo;Neill, Air Products amp; Chemicals, Inc.
Geraud Dubois, IBM Almaden Research Center
Jacques Faguet, Tokyo Electron US Holdings, Inc.
Mansour Moinpour, Intel Corporation
Symposium Support
Air Liquide
Air Products
Applied Materials, Inc.
ATMI Inc.
BASF Corporation
CEA-LETI
Intel Corporation
JSR Micro, Inc.
SAFC Hitech
Semiconductor Research Corporation
TEL
Tokyo Electron America, Inc.
CC3: Low-k / Materials and Porosity
Session Chairs
Tuesday PM, April 22, 2014
Moscone West, Level 3, Room 3002
2:45 AM - CC3.01
Porosity Scaling Strategies for Low-k Films
David J. Michalak 1 James Blackwell 1 Arkaprabha Sengupta 2 Jessica Torres 1 James S. Clarke 1 Daniel Pantuso 2
1Intel Corporation Hillsboro USA2Intel Corporation Hillsboro USA
Show AbstractNext generation ILD materials will need to be carefully crafted in order to deliver a reduction in dielectric constant while also delivering needed mechanical and chemical stability properties. Many established avenues for k value reduction lead to degradation of these properties. We have generated a backbone and porogen system with high chemical stability that allows us to control porosity from 0% to 60% volume and achieve dielectric constant values ranging from 3.4 to 1.6. At a given porosity, Young&’s modulus values are higher than typical PECVD films, but the amount of improvement decreases as porosity increases. The high porosity and mechanical strength were achieved by tuning the number of reactive crosslinking sites on our oligomeric backbone precursor. Our data are evaluated in light of percolation theory, which sets a theoretical maximum porosity limit for materials made from random mixes of backbone and porogen. Ways around this maximum limit are proposed and are discussed in light of pore size and pitch. Material needs for the next generation ILDs will be discussed in light of these data.
3:00 AM - CC3.02
Spin-On Approaches to Hierarchically Porous Organosiloxane Architectures
Andrew Wills 1 Brett Helms 1
1Lawrence Berkeley National Laboratory Berkeley USA
Show AbstractThe dielectric constant of nonporous carbon-doped silicon dioxide films used in interlayer dielectrics (ILDs) bottoms out around k = 2.5. Porosity must be incorporated into interlayer dielectric films to drive the dielectric constant lower. However, introducing porosity weakens the film. Recent reports have suggested that controlling pore architecture can improve ILD mechanical properties over randomly structured films.
We report here a new, multicomponent spin-on process that yields precisely-ordered organosiloxane films with hierarchical meso- and nano-porosity, tailored for next-generation ILDs. Our approach is to combine pre-formed, block-copolymer supramolecules with an organosiloxane matrix in a sol-gel process. This approach produces spherical pores with narrow polydispersity and sizes spanning 10-50 nm. By changing block copolymer architecture-directing agent, we pre-determine the pore size in the resulting film with excellent control. Furthermore, we investigate changes in ordering as film porosity approaches and exceeds the jammed-matter limit of ~64% porosity for films made from a single size of rigid sphere. The effects of pore size, amount of porosity, and ordering on the dielectric and mechanical properties of the films are also presented.
To increase porosity beyond the jammed-matter limit for a single pore size while retaining order, we fabricate films with two pore sizes, using both block copolymers and small molecules to generate pores. We examine how changing the size ratio between the meso- and micro-porogens alters the hierarchical architecture of the resulting films. Finally, we compare the dielectric and mechanical properties of hierarchically architecture films to those made with single pore sizes and highly porous, disordered films.
3:15 AM - CC3.03
Recent Improvements in Pore Size and Etch Damage Resistance in uLK Films Prepared by Liquid Phase Self Assembly
Travis Savage 1 Mark L. F. Phillips 1
1SBA Materials, Inc. Albuquerque USA
Show AbstractUltralow-k (uLK) liquid phase self-assembled (LPSA) dielectrics will likely be used for CMOS nodes below 20 nm. Nodes currently in development (16 nm and smaller) will require ILD k values lower than 2.0 with Young's modulus > 5.0 GPa. The current challenge is to produce films that meet these requirements while maintaining an acceptably small pore diameter and good resistance to damage from etch and ash processing.
Significant influences on material properties include organic functionalization of the silica monomers in the LPSA dielectric, and the thermal sequence between spin and final cure. Of particular interest are the balance of alkyl and aryl functional groups, as well as the ratio of bridging (Si-R-Si) to terminal (Si-R) groups. Small changes in these ratios substantially impact the k vs. template concentration curve, as well as parameters that affect integration such as film modulus, hardness, wet etch rate, ash resistance, pore size distribution, water contact angle, and adhesion to the etch stop layer. We have also investigated the role of template size and hydrophobicity on pore size and other properties.
We report here recent results of our investigations of thermally cured k ~ 1.8-2.2 LPSA uLK films. In particular, we have obtained substantial (nearly 50%) reduction in pore diameter with current diameters in the < 3.0 nm range. Pore size reduction coincides with the desired effect of improved barrier sealing and adhesion. We are currently focused on formulations that reduce plasma etch damage while minimizing losses to other key properties.
3:30 AM - CC3.04
Ordered Porosity in Dielectric Films for Interconnects Applications
Jeff Bielefeld 1 James S. Clarke 1 David Michalak 1 Arkaprabha Sengupta 1 Jessica Torres 1
1Intel Corporation Hillsboro USA
Show AbstractIn order to continue scaling capacitance, interconnect films require increased porosity. Low-k dielectric films with high porosity are most commonly deposited via Chemical Vapor Deposition (CVD), which delivers a random order to the pore structure. These disordered films suffer from a reduction in mechanical properties, which ultimately limits the maximum porosity obtained. A systematic ordered structure to the pores is needed to extend the porosity beyond conventional percolation thresholds. Here we present two case studies of ordered films: a metal-organic-framework (MOF) film1, and a periodic mesoporous organosilica (PMO) film2. We also characterize the impact of film porosity and pore size distribution on the mechanical properties. Finite element modeling is used to understand the results and to guide the development of porous low-k materials for future generations. Both MOFs and PMOs films are tested for back end integration compatibility and considered for integration with a post porosity plasma protection scheme3. [1] Zagorodniy, K.; Seifert, G.; Hermann, H., "Metal-organic frameworks as promising candidates for future ultralow-k dielectrics," Applied Physics Letters , 2010. [2] Hatton, B. D., Landskron, K., Whitnall, W., Perovic, D. D. and Ozin, G. A., “Spin-Coated Periodic Mesoporous Organosilica Thin Films—Towards a New Generation of Low-Dielectric-Constant Materials,” Adv. Funct. Mater,. 2005.
[3] Frot, T.; Volksen, W.; Magbitang, T.; Miller, D.; Purushothaman, S.; Lofaro, M.; Bruce, R.; Dubois, G., "Post Porosity Plasma Protection a new approach to integrate k le; 2.2 porous ULK materials," Interconnect Technology Conference and 2011 Materials for Advanced Metallization (IITC/MAM), 2011 IEEE International , 2011.
3:45 AM - CC3.05
Low-k Dielectric Properties of HKUST-1 SURMOF Films
Mikhail Krishtab 1 2 Kris Vanstreels 2 Geoffrey Pourtois 2 Stefan De Gendt 1 2 Zsolt Tokei 2 Mikhail Baklanov 2 Lars Heinke 3 Christof Woell 3
1University of Leuven Leuven Belgium2IMEC Leuven Belgium3Karlsruher Institut famp;#252;r Technologie (KIT) Karlsruhe Germany
Show AbstractToday porous organosilica-based low-k films are the industry standard. Scaling of k-value in this material by gradual increase in porosity is coupled with degradation of its mechanical and electrical properties. As an example of alternative low-k dielectrics for future technology nodes, one may consider metal-organic frameworks (MOF) and covalent-organic frameworks (COF) films which possess both crystallinity and extremely low dielectric constant, i. e. a combination, which is barely accessible for traditional low-k films. However only few experimental works studying dielectric properties of these films have been presented so far. In this research we focused on evaluation of epitaxially grown SURMOF HKUST-1 film [1], which according to the calculations performed in the framework of Clausius-Mossotti approximation, has dielectric constant of 1.7 [2]. To estimate contributions to the dielectric constant as well as to slightly refine the Clausius-Mossotti approach, we followed two ways. First, we computed electronic polarizability of Cu-formate cluster from first principles using finite field method and then employed the Clausius-Mossotti expression to account for polarizability of organic linkers. The obtained value was verified using experimentally measured refractive index of the film. Then, to get low-frequency contributions to the dielectric constant we performed CV measurements on MIS structures formed by deposition of Pt contacts on blanket SURMOF film. Monitoring of capacitance change during low-temperature annealing allowed to resolve the impact of moisture uptake. At last ionic contribution was found as a difference between the measured high frequency and low-frequency terms. Additionally, mechanical properties of HKUST-1 films have also been studied using nanoidentation. In our work we demonstrated that non-electronic contributions to low-frequency dielectric constant originating from atomic vibrations and moisture absorption are prevailing in HKUST-1 film, what overshadows the effect of k-value reduction due to low density of the metal-organic framework material. Therefore careful attention should be paid to overall hydrophobicity imposed by linkers and type of bonding within metal cluster when considering MOF films for application in advanced interconnects.
1. Gliemann, H. & Wöll, C. Epitaxially grown metal-organic frameworks. Mater. Today 15, 110-116 (2012).
2. Zagorodniy, K., Seifert, G. & Hermann, H. Metal-organic frameworks as promising candidates for future ultralow-k dielectrics. Appl. Phys. Lett. 97, 251905 (2010).
CC4: Low-k/ Electrical Properties and Characterization
Session Chairs
Tuesday PM, April 22, 2014
Moscone West, Level 3, Room 3002
4:30 AM - *CC4.01
Progress in Structure-Property Characterization of Low-k and Nano-Porous ULK Dielectric Materials
Sean King 1
1Intel Corporation Hillsboro USA
Show AbstractDue to reduced material properties and a complex chemical structure, low-k dielectric materials represent considerable reliability concerns and significant challenges to characterize directly in nano-patterned metal interconnect structures. In this address, progress and recent advances in materials characterization metrologies are described that enable the structure-property relationships in low-k and nano-porous ultra low-k (ULK) materials to be investigated for both nanometer scale films and patterned metal interconnect structures. Specific topics to be covered will include: quantitative transmission FTIR and nano-scale AFM-IR measurements to elucidate the chemical structure of blanket and nano-patterned low-k materials; optical and AFM based measurements to deduce the mechanical properties of nanometer scale thin films and metal interconnect structures; and surface science and electron paramagnetic resonance based techniques to characterize and identify electrically active point defects. It will be demonstrated that these techniques when combined with constraint and bond percolations theories can enable a greater understanding of the structure-property relationships in low-k materials to be achieved that should enable a more rational design of future ULK materials.
5:00 AM - CC4.02
Dielectric Relaxation Studies of Ion Diffusion into Low-k Dielectrics
Archana Raja 1 Thomas Shaw 2 Eric Liniger 2 Fen Chen 2 Alfred Grill 2 Juan Borja 3 Griselda Bonilla 2 Joel Plawsky 3 Tony F. Heinz 1 Robert Laibowitz 1
1Columbia University New York USA2IBM T. J. Watson Research Center Yorktown Heights USA3Rensselaer Polytechnic Institute Troy USA
Show AbstractHigh speed interconnects in advanced integrated circuits require ultra-low-k dielectric thin films to reduce the RC time constant. Reduction of the dielectric constant in these films is typically achieved via incorporation of nanopores in materials containing silicon, carbon, oxygen and hydrogen (SiCOH). Leakage currents develop in the films under long-term voltage stress, leading to breakdown and chip failure. Previous work[1] has shown the build-up of trap or charge states as dielectric breakdown is approached. Dielectric relaxation measurements, which consists of measuring capacitance and dielectric losses as a function of frequency and temperature, are used to study the nanoporous SiCOH films of k=2.4 to 2.7 in the thickness range of 40 nm. These films are incorporated in capacitors using interwoven comb structures. They are the same type of structures and materials used in back end of line processing in advanced circuits. The samples are studied as received and in the pre-breakdown regime. Through these dielectric relaxation measurements, we have obtained activation energies in the range of 0.1-0.2 eV for humidified and annealed capacitors; and 0.9-1.2 eV for copper ion incursion into the dielectric. A charge center density of 1015/cm3 is also inferred. Infrared spectroscopy on blanket films assists in modeling the local environment seen by the ions. Therefore, our measurements provide an estimate of the impurity content, changes in activation energy with annealing and other fabrication steps.
This work was partially funded by the Semiconductor Research Corporation.
[1] Atkin, J.M.; Shaw, T. M.; Liniger, E.; Laibowitz, R.B.; Heinz, T.F., "The effect of voltage bias stress on temperature-dependent conduction properties of low-k dielectrics," Reliability Physics Symposium (IRPS), 2012 IEEE International, pp.BD.1.1-1.6, 15-19 April 2012
5:15 AM - CC4.03
Mechanical Stress Effects on Electrical Breakdown and Thermal Conductivity of Low-k Dielectric Films
Tarek Alam 1 Kyle Maletto 1 Jeff Bielefeld 2 Sean King 2 Amanul Haque 1
1Penn State University University Park USA2Intel Corporation Portland USA
Show AbstractLow dielectric constant (low-k) materials show great promise for reducing the interconnect resistance-capacitance delay as the integrated circuit dimensions continue to shrink. Typical low-k materials consist of controlled levels of nano-porosity during the deposition of the material. This approach has produced a rich array of new candidate low-k barrier materials including a-SiOC:H and a-SiC:H. While the introduction of nano-porosity can significantly reduce the k value of a material, it also degrades the thermophysical properties, which is focus of this study.
In the first part of this paper, we investigate the dielectric breakdown properties of low-k a-SiOC:H and a-SiC:H films. In a typical experiment, the material is electrically stressed till the leakage current increases exponentially. The uniqueness of this study is that we added a mechanical stress field to the electrical field. The hypothesis is that mechanical field will influence the defect dynamics and density to influence the breakdown voltage. We performed simultaneous electrical and mechanical stressing experiments on low-k (3.3 to 2.3) specimens with porosity ranging from 8% to 33%. Effects of both tensile and compressive loading were studied. The results showed no appreciable effects of tensile loading on a-SiC:H with 10% porosity but 33% porous a-SiOC:H showed a remarkable decrease in breakdown field from 3 MV/cm to 0.75 MV/cm. Intriguingly a beneficial effect was observed in 8% porous a-SiOC:H, where breakdown field increased from 3 MV/cm to 4 MV/cm. Similar trends were observed for compressive stress fields. We are currently investigating the underlying mechanism behind the observations.
In the second part of this paper, thermal conductivity of low dielectric constant (low-k) SiOC:H and SiC:H thin films was measured as function of porosity using a heat transfer model based on a micro-fin geometry and infrared thermometry. Microscale specimens were patterned from blanket films, released from the substrate and subsequently integrated with the experimental setup. Results show that thermal conductivity of a dense specimen, 0.7 W/mK, can be reduced to as low as 0.1 W/mK by introducing 30% porosity in it. The measured thermal conductivity shows a nonlinear decrease with increased porosity, that approximately follows the porosity weighted simple medium model for porous materials. Conventional models could not accurately predict the density dependence of thermal conductivity. These results suggest that more careful consideration is for application of generic porous materials modeling to the low-k dielectrics.
5:30 AM - CC4.04
Neutral Atom Microscopy: Metrology of Low-k Dielectrics without Damage
Philip Witham 1
1Portland State University Portland USA
Show AbstractElectron and ion beam microscopes change the dimensions of materials such as low-k dielectrics by implanting charges within the penetration depth of the beam[1]. Neutral Atom Microscopy (NAM) refers to an imaging technique that uses a beam of non-charged thermal energy atoms or molecules such as 0.07 eV helium. The “pinhole” NAM at PSU is the first generating reflection mode images by helium scattering[2].
Images of low-k dielectric test samples will be presented. The near-term outlook for resolution and speed improvements will be described, with improved construction and known methods for improving helium detection efficiency potentially making this zero-charge beam imaging technique a very useful metrology for future generations of components.
[1] Ultra low-k shrinkage behavior when under electron beam in a scanning electron microscope, F. Lorut, A. Roggero, & G. Imbert, J. Appl. Phys. 114, 084508 (2013)
[2] Witham, P. J. and Sánchez, E. J. (2012), Increased resolution in neutral atom microscopy. J. Microsc, 248: 223-227
5:45 AM - CC4.05
Advanced Characterization of ULK Porous Thin Film with Combined Ellipsometric Porosimetry (EP) and in situ Heating Spectroscopic Ellipsometry Measurements.
Alexis Bondaz 1 Peter Basa 2 Daniel Selmeczi 2 Christophe Defranoux 2
1Semilab USA San Francisco USA2Semilab Semiconductor Physics Laboratory Co. Ltd. Budapest Hungary
Show AbstractMechanical strength and uniformity are important factors in engineering low-k materials for the field of interconnection technology. Such layers, especially in porous form, challenge to be optimized both for high density of ordered pores and a mechanically strong structure. In addition, integration in the device preparation process requires the layer to be stable in term of thickness and composition as a function of temperature. Such important parameters can be monitored by performing Ellipsometry Porosimety and uniaxial CTE (Coefficient of Thermal Expansion) measurements.
Ellipsometric porosimetry is a non contact, non destructive technique cited as a reference technique for porous thin film analysis. The technique combines spectroscopic ellipsometry (SE) measurements of single or multilayer porous thin film during different steps of an adsorption experiment. It allows access to all the information obtained by classic adsorption experiments (e.g. BET) on thin films with an excellent sensitivity: porosity, pore size distribution, hydrophobicity etc.... Such information are crucial parameters very important in ultra low k porous thin film manufacturing.
In addition, EP allows access to a multitude of information that the classic equipment does not. For example, following the variation of the sample thickness during the adsorption experiment, leading to the determination of the Young&’s Modulus for the thin films. The technique is also highly sensitive to the detection of interfaces, porosity gradient or to study a multilayer structure and thereafter simultaneously plot the two corresponding adsorption isotherms. In the same manner, the instrument permits the use of a range of different gases adsorptive in order to tailor the probe molecule to the morphology and to the chemistry of the porous layer at ambient temperature. We thus obtain information on the chemistry of the pores within the layer, before, during and after the adsorption experiment.
Uniaxial CTE is a crucial parameter to determine in case of an interconnect multilayer structure: each composing material should be compatible in terms of thermal expansion in order to avoid any mechanical interferences, cracks or delamination. CTE can be determined by measuring the layer thickness change as a function of temperature. We will present Semilab solution to integrate CTE measurements to an existing EP platform and the resulting benefits such as the desorption of the porous film, within the vacuum chamber prior to EP measurement, ability to map CTE parameters or see structural change of the film after repeated heat cycles...
Specifically, these fundamental techniques permit the thorough characterization of porous thin film samples. We will demonstrate some of the different features of Semilab EP and CTE techniques with regards to the morphological and chemical properties of the porous thin films. Additionally, we will illustrate the technique for various thin film applications.
CC1: Low-k / Plasma Damage
Session Chairs
Tuesday AM, April 22, 2014
Moscone West, Level 3, Room 3002
9:30 AM - *CC1.01
Plasma Damage of Porous Low-k Materials
Maxime Darnon 1 Thierry Chevolleau 1 Romain Hurand 1 David Fuard 1 Regis Bouyssou 1 Nicolas Posseme 2 Thibaut David 2 Nevine Rochat 2 Christophe Licitra 2 Geraud Dubois 3 Olivier Joubert 1
1CNRS Grenoble France2CEA Grenoble France3IBM Almaden USA
Show AbstractImproving the performance of integrated circuits necessitates the constant downscaling of the device dimensions. To avoid parasitic effects such as cross talk and delay in the small dimensions interconnects, current circuits integrate copper lines with low dielectric constant materials (low-k). Standard low-k dielectrics are porous SiCOH materials. The damascene process used for the interconnects fabrication involves a plasma etching step to define patterns in the low-k dielectrics. Plasma species such as radicals can diffuse inside the pores of the low-k and change its properties. The plasma induced damage has a dramatic effect on the final circuit performance.
To push further the integration of lower dielectric constant material, it is compulsory to characterize the damaged low-k dielectric in order to improve the understanding of the impact of plasma processes on porous materials, and therefore to develop processes or integration flows that do not damage the porous low-k. In this presentation, we will describe characterization techniques that have been specifically developed for porous low-k analysis and apply them to investigate the mechanisms of low-k damage. Finally, we will review recent solutions proposed to prevent porous low-k damage during interconnects fabrication.
The most appropriate techniques for porous low-k analysis are based on solvent absorption. Ellipsometric Porosimetry can be used to define the porosity of the low-k dielectrics and also the amount of plasma induced damage when water is chosen as a solvent. This technique was recently extended to patterned structures in the so-called scatterometric-porosimetry mode that determines the shape and the thickness of the damage at the sidewalls of low-k patterns. We have also developed infra-red spectroscopy systems to analyze the low-k structure when water adsorbs inside the pores of the low-k.
We used these techniques to analyze porous low-k films exposed to plasma processes. We quantified the extent of the plasma-induced damage after each step of the porous low-k patterning. In addition, we precised the absorption mechanisms of water inside the damaged part of the low-k. In moist ambient, the water condenses first as an icelike layer inside the pores, and then as liquid at larger relative pressure of water.
The best way to avoid plasma-induced damage is to block plasma species diffusion. Several solutions have been proposed in the literature and rely on pore filling or pore sealing. In the first case, a material fills the pores during the etching and the porosity is formed after the etching process. In the second case, a sealing layer is deposited during the etching process and prevents the diffusion of plasma species inside the pores.
10:00 AM - CC1.02
Plasma Damage and Inhibition in Terminal Methyl versus Inline Carbon Bridged Low-k Films - Differences in Bond Scission and Rate of Carbon Abstraction
Haseeb Kazi 1 Robinson James 1 Umesh Chiluwal 1 Jeffry Kelber 1
1University of North Texas Denton USA
Show AbstractIn-situ x-ray photoelectron spectroscopy (XPS) and ex-situ FTIR were used to study O2 plasma-induced carbon loss in organosilicate glass (OSG) films with high porosity/diffusivity (HPHD) and terminal methyl groups, compared to low porosity/diffusivity (LPLD) films with inline carbon bridged (Si—CH2—Si) groups. Bond scission and rate of carbon loss were studied for these films when exposed to vacuum ultraviolet (VUV) photons, VUV+O2 and O2 plasma. Previous studies have shown VUV+O2 induced reaction is the main mechanism for removal of terminal methyl groups in OSG films exposed to O2 plasma. HPHD OSG exposure to VUV photons (121nm) alone, yield Si-CH3 bond scission. Reactive -CH3 species redistribute themselves by recombining rapidly with available Si sites, resulting in minimal net carbon loss, but with an increase in Si dimethyl [Si-(CH3)2] and trimethyl [Si-(CH3)3] sites at the expense of monomethyl sites. The presence of O2 during VUV exposure interferes with Si/CH3 recombination, resulting in carbon loss dominated by diffusion kinetics. The loss profile—the degree of carbon removal with depth—is abrupt, resulting in rapid loss of carbon in both the surface and bulk regimes. Pretreatment of OSG with 900eV Ar ion bombardment results in formation of ~10Å thick SiO2-like overlayer. This overlayer greatly inhibits -CH3 loss during subsequent VUV, VUV+O2 or O2 plasma exposure. Formation of this dense overlayer inhibits the diffusion of molecular oxygen through the nanopores and therefore prevents the reaction of molecular oxygen with VUV-induced reactive sites. In contrast, inline carbon-containing LPLD films exhibit high resistance towards VUV and VUV+O2 damage without Ar ion bombardment. XPS indicates these films, when exposed to VUV+O2, show self-limiting, minimal net carbon loss and formation of Si-Ox and C-O bonds within the surface region. C-O bond formation is not observed for terminal CH3 groups, and suggests that VUV-induced Si-C bond rupture still occurs, but with a low probability of simultaneous rupture of both Si-C bonds required for abstraction of an in-line alkyl bridging group. Similarly, exposure of such films to O2 plasma indicates a substantial reduction in the rate of carbon loss compared to porous OSG films. FTIR measurements indicate under O2 plasma conditions the carbon loss saturates after creating an initial Si-Ox based damaged overlayer and no further carbon loss within the bulk is observed. Collectively, XPS and FTIR indicate that films with inline carbon groups exhibit a lower rate of carbon loss upon VUV+O2 exposure than OSG films with terminal carbon groups.
Acknowledgement: This work was supported by the Semiconductor Research Corporation under Task ID 2071.002. Lam Research Corp. is acknowledged for providing OSG films. David Graves acknowledged for helpful discussions.
10:15 AM - CC1.03
F Atoms Interaction with Nanoporous OSG Low-k Materials
T. V. Rakhimov 1 A. T. Rakhimov 1 S. M. Zyryanov 1 D. V. Lopaev 1 Yu A. Mankelevich 1 O. V. Proshina 1 N. N. Novikova 1 K. Kurchikov 1 M. R. Baklanov 2
1Moscow State University Moscow Russian Federation2IMEC Leuven Belgium
Show AbstractModification of organosilicate (OSG) based low-k materials by energetic ions, oxygen and hydrogen radicals, and VUV photons have been extensively studied during the last several years. The research results allow optimization of various technological processes such as resist strip, post CMP plasma cleaning, barrier deposition etc. However, the low-k materials modification caused by their interaction with fluorine atoms is important for understanding and optimization of plasma etch damage but not much information is available up to now. In this work, detailed study of F atoms interaction with various porous ultra-low-k (ULK) films (k-values from 1.8 to 2.5 and porosity from 20 to 45%) is carried out. A special experimental set-up was developed for this purpose. The F atoms were produced by ICP discharge (200W) using a carbon free (SF6) chemistry in a quartz tube of 20 mm inner diameter and 300 mm length. This quartz tube was assembled to a fast-flow 90mm-diameter quartz reactor where ULK films were placed. The reactor was screened from the discharge tube by a grid with 40x40 µm cell that allowed avoiding the plasma penetration into the reactor. The ULK films were exposed to F atoms in the far downstream region with excluding ions and VUV photons. Analysis of the films modification was carried out by using FTIR, SE, XPS and EDS methods after exposing to different fluorine doses. The S and SFx compounds are not found on the ULK surface, only some traces lower than monolayer were observed at the largest exposition.
Comparison of chemical bonds modification in ULK film with simulation of F atoms penetration by Monte Carlo method allows revealing the penetration profile and reaction mechanisms of F atoms inside low-k structure. Two pronounced steps in the modification evolution have been found. The first one is connected with fast abstraction of H atoms from Si-CH3 group by F atoms. This step is responsible for F- atoms penetration depth at the first stage while the F surface recombination goes rather slower. No thickness reduction and silanols formation were observed in this stage. After the transformation of Si-CH3 into Si-CH2F, the further slow extraction H by F atoms with producing CF2 and CF3 groups occurs that essentially increases the penetration depth of F atoms. The thickness reduction occurs due to the reaction of these CFx surface groups with O-Si-O skeleton that also leads to increasing F penetration into the film. Since this etching occurs by the balanced hydrophobic CFx layer like it happens for SiO2 in fluorocarbon plasma, the damage due to OH accumulation and respective increase in k-value are hardly detected. The dependence of plasma damage on porosity of OSG films is also analyzed.
10:30 AM - CC1.04
Ultra-Low Damage Integration of k= 2.3 Periodic Mesoporous Oxide Dielectric Material Using Cryogenic Etching
J. F. de Marneffe 1 L. Zhang 1 2 M. Krishtab 1 2 A. Goodyear 3 M. Cooke 3 N. Heylen 1 I. Ciofi 1 L. G. Wen 1 C. J. Wilson 1 T. Savage 4 K. Matsunaga 5 K. Nafus 5 J. Boemmels 1 Z. Tokei 1 M. R. Baklanov 1
1IMEC Leuven Belgium2KULeuven Leuven Belgium3Oxford Instruments Plasma Technologies Bristol United Kingdom4SBA Materials Inc. Albuquerque USA5Tokyo Electron Kyushu Limited Kumamoto Japan
Show AbstractContinuous decrease of feature size of transistors in accordance with Moore&’s law leads to the fact that ultra low-k materials (k < 2.5) should be used for technology nodes beyond 22 nm to maintain IC performance. Spin-on periodic mesoporous oxides (PMO) are considered as promising materials, due to the alkyl bridging reinforcing the matrix and giving exceptionally good mechanical properties and chemical resistance. Damage during plasma etching remains however a major concern for material integration, due to the very porous nature of the low-k [1].
In recent year, two innovative strategies have been proposed to decrease plasma-induced damage: the Post Porosity Plasma Protection or P4 approach by Frot et al. [2], and the cryogenic etch approach by Zhang et al. [3]. The P4 approach uses a extrinsic sacrificial filler, suppressing the porosity of the low-k film, allowing protection during plasma etching and subsequent metallization steps, but requires major changes and additional steps to be added into the interconnect process flow. The cryogenic etch approaches is simpler, since it is based on intrinsic pore filling by etch byproducts condensation and SiOFx sidewall passivation [3].
In this work, a k=2.3 PMO spin-on material from SBA inc. has been integrated using the cryogenic etch approach on 300mm substrates. The integration vehicle uses narrow-spacing structures, i.e. 30nm low-k lines at 180nm pitch, using a SiC/SOC/SOG hardmask. PMO is spin-coated, followed by a soft-bake at 150° C, then a hard-bake is performed at 400° C under N2 ambient for porogen removal and matrix hardening. After hardmask deposition and lithography, the hardmask is trimmed and opened using conventional (room temperature) plasma etching. Low-k etching is then performed by means of a SF6-based plasma chemistry in an ICP chamber equipped with variable temperature substrate holder covering an extended range going from -140° C to +20° C. Optimal base temperature as well as careful optimization of etch conditions (plasma power, bias voltage, pressure, chemistry) allows to considerably decrease the loss of Si-CH3 bonds, keeping an acceptable etch rate, good hardmask selectivity, reduced bottom roughness and negligible moisture absorption. After patterning and subsequent byproduct removal by annealing, a conventional Cu metallization is performed using TaNTa barrier, Cu seed and Cu electroplating. After chemical-mechanical polishing and SiC passivation, functional circuits gave integrated dielectric constant of keff = 2.38, i.e. showing a Δk = 0.07 relative to pristine reference.
[1] M. R. Baklanov, J.-F. de Marneffe, D. Shamiryan, A. M. Urbanowicz, H. Shi, T. V. Rakhimova, H. Huang and P. S. Ho, J. Appl. Phys. 113, 041101 (2013)
[2] T. Frot, W. Volksen, S. Purushothaman, R. Bruce and G. Dubois, Adv. Materials 23, 2828 (2011)
[3] L. Zhang, R. Ljazouli, P. Lefaucheux, T. Tillocher, R. Dussart, Y. A. Mankelevich, J.-F. de Marneffe, S. De Gendt and M. R. Baklanov, ECS Journal of Solid State Science and Technology 2 (6), N131 (2013)
10:45 AM - CC1.05
Post-Porosity Plasma Protection: Challenges and Applicability to PECVD Material
Krystelle Lionti 1 Willi Volksen 1 Teddie Magbitang 1 Geraud Dubois 1
1IBM Almaden Research Center San Jose USA
Show AbstractIncreasing the porosity of oxycarbosilane dielectrics is a promising approach to lower the interconnect signal delay and thus enable manufacturing of lower power consumption and higher performance microprocessors [1,2]. However, this path leads to excessive dielectric process damage as the industry adapts procedures developed for dense and microporous insulators to mesoporous materials, and currently ultralow-k (ULK) materials (k<2.4) cannot be integrated at the most aggressive pitch. To mitigate ULK process damage, we developed and previously reported the P4 (Post Porosity Plasma Protection) integration scheme that takes advantage of the increasing porosity and protects the ULK during BEOL integration [3,4,5,6]. This strategy consists of protecting the fully cured porous ULK material by filling the pores with a sacrificial agent then integrating an apparently non-porous dielectric. The pore filler is finally removed after integration via thermal means, fully restoring the initial properties.
This presentation will describe the steps necessary to apply this approach to a wide range of spin-on and PECVD materials at higher dielectric constant (k=2.4). The challenges, such as, surface de-wetting, inhomogeneous filling, filler wash-out, etc. will be discussed in the context of pushing this strategy into advanced development.
______________________________________________
IBM Almaden Research Center, 650 Harry Road, San Jose, CA 95120-6099
[1] W. Volksen, R. D. Miller, G. Dubois, Chem. Rev. 2010, 110, 56-110.
[2] G. Dubois, W. Volksen, T. Magbitang, R. D. Miller, D. M. Gage, R. H. Dauskardt, Adv. Mater.
2007, 19, 3989-94.
[3] T. Frot, W. Volksen, S. Purushothaman, R. Bruce, G. Dubois, Adv. Mater. 2011, 23, 2828-2832.
[4] T. Frot, W. Volksen, T. Magbitang, S. Purushothaman, R. Bruce, S. Cohen, M. Lofaro, G. Dubois, Future Fab Intl 2011, 39, 67.
[5] T. Frot, W. Volksen, S. Purushothaman, R. Bruce, T. Magbitang, D. Miller, V. Deline, G. Dubois, Adv. Funct. Mater. 2012, 22, 3043-3050.
[6] W. Volksen, K. Lionti, T. Magbitang, G. Dubois, Scripta Mater. 2013, in press.
CC2: Low-k / Metallization
Session Chairs
Tuesday AM, April 22, 2014
Moscone West, Level 3, Room 3002
11:30 AM - CC2.01
Porous Low-k Materials for Refill and Planarization in Sub-Etch Cu Lines
Teddie Magbitang 1 Robert L Bruce 2 Hiroyuki Miyazoe 2 Gregory M Fritz 2 Leslie Krupp 1 Willi Volksen 1 Geraud Dubois 1
1I.B.M. Almaden Research Center San Jose USA2I.B.M. TJ Watson Research Center Yorktown Heights USA
Show AbstractIn order to improve chip performance, the semiconductor industry has been exponentially increasing the number of active devices on a chip. However, the combination of this increase in device density and the shrinking dimensions leads to an increase in the RC (resistance and capacitance) signal delay in the back end of line (BEOL) interconnect wiring. Historically, the semiconductor industry took a three prong approach to address this issue. First, to decrease signal transit distances at the smallest wiring dimensions, more levels of wiring were added. Second, aluminum wiring was replaced with copper, a metal with ~30% lower resistivity. Finally, replacing the interconnect insulator with a lower dielectric constant material (low k) [1]. However, the continued drive to improve chip performance through device scaling has lead to additional challenges in the BEOL, specifically increase resistivity stemming form grain boundary scattering in the Cu wiring and damage to the porous low k material caused by patterning and integration. To mitigate both problems we developed and previously reported alternate processes to the typical damascene integration flow, implementing a subtractive copper etch (sub-etch) [2]. This disruptive approach can minimize electron scattering by starting from blanket Cu film with large crystal (>1 mu;m), in addition, by avoiding direct plasma etching/ashing of the porous low k material damage is minimized.
In this presentation we will describe the material requirements and challenges faced with the refill and planarization of sub-etch Cu lines. Key parameters, such as starting precursor molecular weights, viscosity, thermal stability, and various thin film processing and characterization techniques will be discussed. In addition we will demonstrate the efficacy of this approach by comparing the device reliability from time dependant dielectric breakdown (TDDB) test of structures built via conventional damascene versus sub-etch copper.
[1] W. Volksen et al., Chem Rev, 2010, 110, 56.
[2] E.A. Joseph et al., Proc. SPIE, 2013, 86850A-8.
11:45 AM - CC2.02
Cu, Ag, and Au Etching with H2- and CH4-Based Plasmas
Tae-Seop Choi 1 Dennis W Hess 1
1Georgia Institute of Technology Atlanta USA
Show AbstractCu has been utilized as an interconnect material in microelectronic devices and Integrated Circuits due to its low resistivity and reduced electromigration-related failures. However, the current Damascene process for Cu patterning suffers from the ‘size effect&’ where electrical resistivity increases significantly as the smallest device dimension falls below 100 nm. As part of our efforts to develop subtractive Cu plasma etching to alleviate the ‘size effect&’, H2-based plasmas were shown to etch Cu at low temperature; the etch process depended upon the chemical reaction between Cu and H radicals as well as ion and photon bombardment. In this paper, additional investigations into the H2-based plasma etching of Ag and Au are described, and the feasibility of using CH4-based plasmas to etch/pattern Cu, Ag, and Au layers is demonstrated. Furthermore, use of CH4 plasmas permits photoresist (PR) layers to be invoked as masking layers; in comparison, etching in H2-based plasmas precludes the use of PR masks due to high PR erosion rates in this reactive environment.
Under the same H2 plasma conditions, Ag and Au etch rates were higher than Cu etch rates but the etch rates increase as the atomic mass of plasma gas (H, He, and Ar) increases, indicating that the etch rates of Ag and Au depend significantly on ion bombardment relative to Cu etching. The Cu etch rate in a CH4 plasma was higher than that observed with an H2 plasma despite the expected deposition of hydrocarbons during etching in CH4 plasmas. However, the Cu sidewall slope generated using an SiO2 (hard) mask remained at ~80o as observed in an H2 plasma due to lateral degradation of SiO2 by reaction with CHx. Variation of rf power and gas pressure led to the conclusion that the higher Cu etch rate observed in a CH4 plasma was due to the formation of CH3Cu, the likely etch product. This methylated product has both lower decomposition probability than does CuHx, the likely Cu etch product in an H2 plasma, and an improved ion energy transfer efficiency of CH+ & C+ relative to H+, thereby promoting the desorption of etch product and thus enhancing etch rates. The fact that the likely etch product, CH3Cu, was not observed by optical emission spectroscopy, gives additional insight into the plasma energetics and etch mechanism. That is, energetic electron impact readily dissociates CH3Cu desorbed from the Cu surface, so that only Cu emission lines are observed. Preliminary Ag and Au film etching in CH4 plasmas indicates that similar to Cu etching, hydrocarbon deposition does not greatly diminish etch rates, suggesting that chemical aspects are important for Cu, Ag, and Au plasma etching.
12:00 PM - *CC2.03
Molecular Layer Deposition of Organic and Hybrid Films for Interconnect Technologies
Stacey Bent 1
1Stanford University Stanford USA
Show AbstractTo meet the aggressive demands of interconnect scaling, future devices will require smooth, conformal copper diffusion barriers and seed layers under 3 nm thick, motivating the development of new barrier layer materials. Organic films are one promising class of materials that have received interest as a possible solution. Moreover, there is a need for novel methods for depositing low-κ dielectrics in back-end processing. In this talk, the ability of molecular layer deposition (MLD) to address both of these applications will be explored. MLD is a technique that allows tailoring of the film characteristics to optimize desirable properties, such as density, adhesion, and thermal stability. MLD, like the analogous process of atomic layer deposition, utilizes an alternating sequence of self-limiting reactions and allows for sub-nanometer control over the thickness and composition of the organic or hybrid film. In our barrier layer studies, a variety of nanoscale organic films have been deposited by MLD via urea coupling chemistry and other related coupling chemistries. Different backbones have been used to tune the properties of the organic films, which are attached covalently to the dielectric surface. Incorporation of blended and nanolaminate films, which can allow for the copper barrier properties to be optimized at a molecular level, has also been developed. Copper diffusion barrier properties of the nanoscale organic films as tested by adhesion, annealing, and microscopy studies, will be described. A variation of the MLD method that incorporates both organic and inorganic substituents to grow hybrid materials was used to deposit ultrathin carbosiloxane films of potential application to low-κ dielectrics. We will show that the carbosiloxane films grown by MLD exhibit excellent thermal and chemical stability.
12:30 PM - CC2.04
Novel Cu Diffusion Barrier Layer Consisting of Organic Layer-by-Layer (LbL) Layers
Daekyun Jeong 1 Chiyoung Lee 1 Jaegab Lee 1
1Kookmin University Seoul Republic of Korea
Show AbstractRecently, through-silicon via (TSV) has been extensively investigated for high integrated and miniaturized circuits. But the physical damages of the extension and protrusion are the serious problems by the post thermal treatment.
In this work, to prevent the TSV damages caused by the Cu extension during the post thermal process, we have inserted organic multilayers underneath of Cu film using layer-by-layer (LbL) layerss. LbL layers consisted of alternative PAH (polyallylamine hydrochloride) and PSS (polystyrene sulfonate). And these layers were formed by dip coating method. To make the continuous and smooth LbL formation, we have controlled the dipping time, temperature, concentration and pH of each LbL solutions conditions.
We measured quartz crystal microbalance (QCM) and scanning electron microscope (SEM) to check the continuous LbL layer formation. And X-ray diffraction (XRD) and Rutherford backscattering spectroscopy (RBS) results of annealed samples showed the excellent diffusion barrier property of LbL interlayers. As the thickness of LbL layers was increased, adhesion property was enhanced in Cu/LbL/Si structure. In addition, nano-indenter was used to measure the mechanical property such as elasticity and damping capacity of LbL inserted film.
So LbL materials can be applied in via and TSV structures to prevent Cu extension during the post anneal process.
12:45 PM - CC2.05
Making Through Holes in Si for 3D IC Packaging by Metal-Catalyzed Wet Etching: Progress and Challenges
Koji Tatsumi 1 Ryoma Kawaguchi 1 Takashi Harada 1 Shigeru Ikeda 1 Michio Matsumura 1
1Osaka University Toyonaka Japan
Show AbstractWe found formation of nanoholes in Si wafers when we were studying texturization of Si surface for solar cells by wet etching [1]. In the study, we etched S in a solution containing hydrogen peroxide and HF using Ag nanoparticles, which were loaded on the surface of Si, as catalyst. The holes were formed in Si as the result of catalytic oxidation of Si at the Si/Ag interface followed by dissolution of the oxide in HF solution. Since then, this phenomenon has been used by many research groups for making nanoholes in Si and especially for making Si nanowires.
We thought it might be interesting to apply the phenomenon to the fabrication process of TSVs for 3D IC Packaging. By using Au particles with the size of about 1 mu;m, we were able to make through holes in Si with almost the same diameter [2]. However, it was impossible to make larger holes using larger metal particles as catalysts. This was because supply of etching solution to the Si/Au interface became difficult as the size of the Au particle increased. By using agglomerates of 1 mu;m-sized Au particles, holes with a size of about 10 mu;m were obtained because solution exchanged through the gaps of the particles. The problem of this method was that it was difficult to control the position and shape of holes formed in Si.
To control the position and shape of the holes and to make the process more practical, it was necessary to use lithographically patterned metal films as catalysts. Hence, in the present study, we used patterned metal films deposited by vacuum evaporation. When we deposited square Ag films with a side of 3.6 mu;m in length on Si, Si was etched only near the sides of the Ag films and Ag films were bent near the edges. By using Ag films with tiny square holes with a side of about 0.3 mu;m in length as the catalysts, holes with the size of 3.6 x 3.6 mu;m2 were formed in Si because, in this case, the solution exchanged thorough the tiny holes in the Ag film. The shape of the hole was exactly the same as that of the Ag film, i.e., tiny Si rods were formed at places where Si was not in contact with Ag due to the tiny holes formed in the Ag film. The depth of the holes was about 20 mu;m after processing for 60 min. Although we could not obtain deeper holes with well-defined morphology by using the Ag film, we found that the morphology was much improved by using catalyst films composed of stacked Ag and Au layers, in which the Au layer improved the chemical stability of the catalyst films. The depth of well-defined holes reached 40 mu;m. We expect that the method will be a practical process for making TSVs, if we can increase the depth of the holes to a level more than 100 mu;m and increase the hole making speed to about 3 mu;m /min; at present the speed is about 1 mu;m /min.
[1] K. Tsujino et al., Adv. Mater., 17, 1045 (2005).
[2] C.-L. Lee et al., J. Mater. Chem., 18, 1015 (2008).