Symposium Organizers
Cesar Clavero, Intermolecular, Inc.
Raul Gago-Fernandez, Instituto de Ciencia de Materiales de Madrid (CSIC)
David Horwat, Institut Jean Lamour-Universiteacute; de Lorraine
Mohan Sankaran, Case Western Reserve Univ
Symposium Support
U-C Components, Inc.
Kurt J. Lesker Company Ltd
QQ2: Optical and Electrical Properties of Plasma Synthesized and Functionalized Materials
Session Chairs
Jean-Francois Pierson
Lei Wang
Tuesday PM, April 07, 2015
Marriott Marquis, Yerba Buena Level, Salon 4/5
2:30 AM - *QQ2.01
Exploiting the Material-Specific Current-Voltage-Pressure Relationship of the Reactive Magnetron Discharge for the Growth of Transparent Conductive (Al,Ga) Doped ZnO and Nb Doped TiO2 Layers
Steffen Cornelius 1 Mykola Vinnichenko 2
1Helmholtz-Zentrum Dresden-Rossendorf e.V. Dresden Germany2Fraunhofer-Institut fuuml;r Keramische Technologien und Systeme Dresden Germany
Show AbstractReactive magnetron sputtering is an attractive technique for the fabrication of transparent conductive oxide thin films, due to several advantages compared to other PVD methods. These include the scalability to large substrate areas and the use of cost-efficient metallic alloy targets as well as
(pulsed) DC technology for plasma excitation. Besides these technological benefits reactive magnetron sputtering inherently offers great flexibility for the control of the film stoichiometry. In particular for transparent conductive oxide materials, the precise control of the oxygen deficiency of the layers is of utmost importance to achieve the desired electrical and optical properties.
The present work demonstrates that the material-specific shape of the current-voltage-pressure relationship of the reactive magnetron discharge may be used to tailor the electrical and optical properties of transparent conductors by controlling the metal to oxygen flux ratio towards the growing film. It is shown that two groups of metals with distinctly different reactive behavior in Ar/O2 magnetron plasma exist. Consequently, the reactive process control must be adapted in a material-specific way in order to stabilize the reactive discharge in the transition mode - enabling control of oxygen deficiency as well as high film growth rates. Model experiments for the reactive magnetron sputtering of transparent conductive (Al,Ga) doped ZnO and Nb doped TiO2 layers are presented in detail. The results demonstrate that a thorough understanding of the processes at the target-plasma interface during reactive magnetron sputtering are crucial to obtain a high free electron mobility in both materials.
3:00 AM - QQ2.02
High Power Impulse Magnetron Sputtering of Highly Conductive Aluminium Doped Zinc Oxide Films Near Room Temperature
Martin Mickan 1 2 Ulf Helmersson 2 David Horwat 1
1Institut Jean Lamour-Universiteacute; de Lorraine Nancy France2Linkouml;ping University Linkouml;ping Sweden
Show AbstractTransparent conductive oxides (TCO) are important materials that can be used in many applications such as solar cells or flat panel displays. Aluminium doped zinc oxide (AZO) is a possible alternative for the most common TCO material indium doped tin oxide. It offers advantages such as lower material cost and abundance of the raw materials. A common method to obtain thin films of AZO on large areas is reactive DC magnetron sputtering. High power impulse magnetron sputtering (HiPIMS) is an emerging technique that allows much higher instantaneous current densities than DC magnetron sputtering, which results in a high degree of ionization of the sputter gas as well as of the deposition material. This can lead to the growth of films with improved properties such as a lower resistivity. In the present work, thin films of AZO have been deposited on glass substrates without intentional heating using reactive HiPIMS with an alloyed Zn/Al target with 3 at.% Al. The structural, optical and electrical properties of the films were compared with those of AZO films deposited using reactive DC magnetron sputtering from the same target.
The HiPIMS grown films show a similar transparency as the DC sputtered films, while their electrical properties are better and more homogeneous than those of the DC sputtered films. A resistivity of 4x10-4 Omega;cm can be reached homogeneously across the substrate surface despite the low deposition temperature. The electrical properties can be further improved by annealing under nitrogen atmosphere. This leads to a mobility of about 10 cm2/Vs and a carrier concentration of about 1021 cm-3.
3:15 AM - QQ2.03
Nonthermal Plasma Synthesis of Aluminum-Doped Zinc Oxide Nanocyrstals: Doping Efficiency and Dopant Location
Benjamin Greenberg 1 Shreyashi Ganguly 1 Elijah Thimsen 2 Eray S. Aydil 1 Uwe Kortshagen 1
1University of Minnesota Minneapolis United States2Washington University in St. Louis St. Louis United States
Show AbstractColloidal synthesis of doped semiconductor nanocrystals (NCs) has often met with two significant challenges. First, doping efficiencies - the fractions of dopant atoms in the synthesis that are incorporated into the NCs as active donors - are low (<20%), resulting in dopant precursor waste and impurity phase formation. Second, dopants are excluded from the NC cores and segregate toward the surfaces. These issues are at least partially related and both have been attributed to nucleation and growth kinetics: relatively susceptible to resolvation, doped nuclei cannot compete with undoped nuclei, which grow and later incorporate dopants only after surpassing a critical size [1].
We hypothesize that plasma-based NC synthesis is a potential answer to these challenges, because the absence of solvation forces may result in different kinetics. In the plasma, the nucleation barrier, which depends on evaporation rather resolvation rates, may be surmountable by a sufficiently low-temperature doped nucleus, which would go on to form an efficiently and uniformly doped NC. To explore this hypothesis we investigate doping efficiency and dopant location in plasma-synthesized aluminum-doped zinc oxide (AZO) NCs. Using diethylzinc (DEZ) and trimethylaluminum (TMA) as precursors, we have developed a high-yield, high-throughput nonthermal plasma process for synthesizing transparent conductive AZO NCs with diameters between 3 and 9 nm, as measured by X-ray diffraction. By varying the ratio of the TMA and DEZ feed rates, we demonstrate control over the doping fraction and thus the electron concentration in the 1019 to 1020 cm-3 range, as indicated by the plasmon absorption features in the Fourier transform infrared (FTIR) spectra. We calculate doping efficiencies by comparing electron concentrations determined from FTIR to Al concentrations measured by energy-dispersive X-ray spectroscopy and inductively coupled mass spectroscopy; we find that, over a wide range of doping fractions, our doping efficiencies are significantly higher than those typically achieved in colloidal synthesis. To determine the degree of dopant exclusion from NC cores, we fix the ratio of the TMA and DEZ feed rates and compare doping fractions over a range of NC diameters. Assuming that the undoped volume fraction is inversely proportional to the overall doping fraction, our preliminary results are consistent with undoped cores smaller than those typically observed in solution-synthesized NCs.
This work is supported by BASF Corporation.
Reference:
[1] Bryan, J. D. & Gamelin, D. R. Doped Semiconductor Nanocrystals#8239;: Synthesis, Characterization, Physical Properties, and Applications. Prog. Inorg. Chem.54, 47-126 (2005).
3:30 AM - QQ2.04
Carbide Formation and Optical Properties in Carbon: Transition Metal Nanocomposites Thin Films
Irene Heras 1 Elena Guillen 1 Matthias Krause 3 Ainhoa Pardo 4 Jose L. Endrino 2 Ramon Escobar Galindo 1
1Abengoa Research Sevilla Spain2Cranfield University Cranfield United Kingdom3Helmholtz Zentrum Dresden Rossendorf Dresden Germany4Centre Tecnologic de Manresa Manresa Spain
Show AbstractTransition metals with carbon deposited by physical vapor deposition techniques, lead the formation of metal nano-clusters or nanocrystalline metallic carbides embedded in a carbon matrix. Interstitial carbides are stable at high temperature, have high melting points and possess a high reflectivity. In contrast, the resulting carbon: transition metal nanocomposites show optical selective properties such as good absorptance in the visible with high reflectance in the infrared. These properties make them very attractive for applications where high temperature resistant materials with selective optical properties are required.
In this study, carbon: transition metal nanocomposites were grown using a physical vapor deposition system incorporating two pulsed filtered cathodic arc sources, one provided with a graphite cathode and the other with a metallic cathode (Zr, V or Mo). The metal content in the composite was controlled by adjusting the pulse ratio between the two sources, and determined by Rutherford backscattering spectroscopy (RBS) and nuclear reaction analysis (NRA). Comprehensive structure characterization was carried out using a combination of X-ray diffraction (XRD), Raman spectroscopy and high resolution transmission electron microscopy (HRTEM). Optical characterization has been done using both ellipsometry and spectrophotometer measurements in order to obtain the optical constants and the reflectance spectra of the samples.
Together with experimental characterization, a computer program is used to simulate the reflectance spectra of different carbon: transition metal films. Bruggeman effective medium theory was used to average the dielectric functions of the two components which compose the film. According to our simulations, the resulting reflectance of the nanocomposite films is strongly affected by the metal content, independently if it results in metallic nano-clusters or nanocrystalline metallic carbides. Simulated spectra were compared with the measured reflectance of the deposited films obtaining good agreement between simulations and experimental results.
4:30 AM - *QQ2.06
Optical Studies on VO2 Thin Films
Ale Lukaszew 1
1College of William and Mary Williamsburg United States
Show AbstractWe have been interested in the plasmonic properties of alternative conducting materials to metals, such as conducting oxides and we have recently expanded our studies to include highly correlated oxlides, such as VO2 thin films. Vanadium dioxide exhibits a metal-insulator transition (MIT) just above ambient temperatue at ~ 340K. Interestingly, this transition can be induced thermally, optically or applying electric fields. Across the MIT, the optical properties are completely modified over a broad frequency range. I will present our recent optical investigations on the thermally induced transition correlated with transport studies on such films, as well as the optically induced transition of VO2 thin films in different substrates, addressing similarities and differencies related to microstructure.
5:00 AM - QQ2.07
Porous Carbon Sponge with Tunable Absorbability Fabricated Using High Pressure Plasma
Do-Hyun Kim 2 1 Heon Ju Lee 1 Kyu Hwan Oh 2 Myoung-Woon Moon 1 2
1Korea Inst. Sci. Tech. (KIST) Seoul Korea (the Republic of)2Seoul National University Seoul Korea (the Republic of)
Show AbstractAbstract:
Porous carbon materials with high specific surface areas and superhydrophobicity have attracted much research interest due to their potential application in the areas of water filtration, water/oil separation, and oil-spill cleanup. Most reported superhydrophobic porous carbon materials are fabricated by complex processes involving the use of catalysts and high temperatures but with low throughput. Here, we present a facile single-step method for fabricating porous carbon nanoparticle (CNP) networks with selective absorbability for water and oils via the glow discharge of hydrocarbon plasma without a catalyst at room temperature.[1] Porous CNP networks were grown by the continuous deposition of CNPs at a relatively high deposition pressure plasma. By varying the fluorine content, the porous CNP networks exhibited tunable repellence against liquids with various degrees of surface tension. These porous CNP networks could be applied for the separation of not only water/oil mixtures but also mixtures of liquids with different surface tension levels [2].
Reference
[1] W Dai, S J Kim, W-K Seong, S H Kim, K-R Lee, H-Y Kim, and M.-W. Moon, Porous carbon nanoparticle networks with tunable absorbability, Scientific Reports, 3(2013),2524.
[2] D H Kim, S-H Cho, S H Kim, M C Jung, H-Y Kim, K H Oh, M-W Moon, Janus nanocomposite with UV responsive switchable wettability for oil absorption and desorption, submitted.
5:15 AM - QQ2.08
Cesium-Ion Irradiated Semiconducting Single-Walled Carbon Nanotube Films for Infrared Solar Cell
Toshiro Kaneko 1 Toshiki Akama 1 Toshiaki Kato 1 Rikizo Hatakeyama 1
1Tohoku University Sendai Japan
Show AbstractSince the conventional silicon solar cell conversion is limited to a certain window of solar cell photon energies over 1 eV, a full use of the solar spectrum is one of the crucial issues in order to greatly increase the solar cell efficiency. In this sense, single-walled carbon nanotubes (SWNTs) [1] are attracting much interest for photovoltaic energy conversion [2,3] because of their broad absorption bands including the infrared range (0.2 ~ 1.3 eV) as well as other advantages such as large surface areas, high mobility of charge carrier, high mechanical strength, chemical stability, and so on. For the fabrication of solar cell with SWNTs [4,5], it is necessary to establish a method for carrier type-, density-, and position-controllable doping into SWNTs. Stability of the doping is also another important issue for the practical use of SWNTs in solar cells. Recently, we have demonstrated the controllable and stable n-type carrier doping into semiconducting SWNTs thin films by position selective Cs encapsulation into SWNTs with a plasma ion irradiation method [6]. In this study, the optoelectrical transport properties are investigated for the controllably carrier doped stable semiconducting SWNTs films. It is found that the clear rectifying drain-source current vs. drain-source voltage characteristics can be observed after the position selective Cs encapsulation into semiconducting SWNTs thin films. Furthermore, a short-circuit current and an open-circuit voltage can be also detected under light illumination using a solar simulator. Since pn junctions are formed along the tube axis, carrier loss caused by tube to tube junction can be minimized and high rate power generation can be expected with this pn junction embedded SWNTs solar cells.
[1] S. Iijima and T. Ichihashi, Nature,363 (1993) 603.
[2] J. U. Lee, Appl. Phys. Lett.,87 (2005) 073101.
[3] J. Wei, Y. Jia, Q. Shu, Z. Gu, K. Wang, D. Zhuang, G. Zhang, Z. Wang, J. Luo, A. Cao, and D. Wu, Nano Lett., 7 (2007) 2317.
[4] R. Hatakeyama, Y. F. Li, T. Y. Kato, and T. Kaneko, Appl. Phys. Lett., 97 (2010) 013104.
[5] Y. F. Li, S. Kodama, T. Kaneko, and R. Hatakeyama, Appl. Phys. Lett., 101 (2012) 083901.
[6] Y. Abiko, T. Kato, R. Hatakeyama, and T. Kaneko, J. Phys. Conf. Ser., 518 (2014) 012013.
5:30 AM - *QQ2.09
Thermochromic Thin Film as a Smart Absorbent Layer for Solar Collectors
Fabien Capon 1 David Mercs 2 Aurelien Didelot 1 Jean-Francois Pierson 1
1Institut Jean Lamour Nancy France2Viessmann Faulquemont SAS Faulquemont France
Show AbstractIn order to strongly reduce the problems associated to high stagnation temperature, we present a new generation of solar absorbent layers based on a smart thermochromic thin film that passively alters its performance as from a critical working temperature (Tc) between 80-100°C. The constitutive elements of the layer are based on vanadium oxides and are deposited by magnetron sputtering in reactive mode from a V target at room temperature. The current applied to the target was fixed at 1 A and thin films are grown on Al foils at 3 different working pressures 0.3, 1 and 1.5 Pa. We proceed to a subsequent annealing in air to form crystalline films of about 400 nm. X-ray diffraction were performed before and after annealing. DC electrical resistance and infrared reflectance were measured from room temperature to 300°C with a Linkam Examina Probe equipment using respectively the four-probe method scanning and a Fourier Transform InfraRed spectrometer. From the Kirchhoff&’s law of heat radiation, the reflectance (ρ) gives access to the emissivity (ε) whose value jumps around Tc when the temperature increases. SIMS measurements show a diffusion of the Al in the thermochromic layer during the thermal annealing for crystallization but it doesn&’t&’ affect the thermochromic effect, on the contrary, for an Al content equal to 7.5at%, an emissivity jump (Δε) of 28% is obtained. Another important parameter of the deposition process concerns the partial pressure of oxygen. The gas flow rates were controlled by digital MKS flowmeters. The argon flow rate was fixed at 100 sccm and 3 different oxygen flow rates have been used: 0.5, 0.65 and 0.8. sccm. At 0.5 sccm Δε reaches 35%, and we believe that a pre-oxidation of Al allows an easier oxidation of V. The optimized parameters have been used to build a protype of thermochromic selective layer, and compared to the standard product Al / CrN / SiO2. Both captors were exposed to sunlight with an average incident solar radiation of 950 W / m2, and the evolution of the temperatures was recorded in real time. Below Tc, the prototype shows similar behavior than the coatings prepared with the laboratory scale, above 70°C the thermochromic layer begins its transition phase and the infrared emissivity of the Al / Al+Vanadium oxydes / SiO2 increases while the standard captor maintains a constant absorbent infrared emissivity close to 5%. As a result, the overheating limit during the stagnation temperature is measured to ΔT=22°C. With such a new absorbent layer, high performances are achieved to heat domestic water whereas overheating problems are fully avoided.
QQ1: Physical Vapor Deposition
Session Chairs
David Horwat
Diederik Depla
Tuesday AM, April 07, 2015
Marriott Marquis, Yerba Buena Level, Salon 4/5
10:00 AM - QQ1.01
Nucleation and Electrical Resistivity of Ultrathin TiN Films Grown by High Power Impulse Magnetron Sputtering
Fridrik Magnus 2 Seyedmohammad Shayestehaminzadeh 1 Sveinn Olafsson 1 Jon Tomas Gudmundsson 1
1University of Iceland Reykjavik Iceland2Uppsala University Uppsala Sweden
Show AbstractWe report on the growth of TiN films on SiO2 by reactive high power impulse magnetron sputtering (HiPIMS) at temperatures of 22-600°C. The film resistance was monitored in-situ to determine the coalescence and continuity thicknesses which decrease with increasing growth temperature with a minimum of 0.38±0.05 nm and 1.7±0.2 nm, respectively, at 400°C. HiPIMS deposited films have a significantly lower resistivity than dc magnetron sputtered (dcMS) films on SiO2 at all growth temperatures due to reduced grain boundary scattering [1]. Thus, ultrathin continuous TiN films with superior electrical characteristics can be obtained with HiPIMS at reduced temperatures compared to dcMS. We also deposited the TiN films on SiO2 while varying the angle between the target and the substrate surface from 0° (on-axis growth) to 90° (off-axis growth). The dcMS grown films exhibit angle dependence in the density and surface roughness while the HiPIMS process creates denser and smoother films that are less angle dependent in all aspects [2]. It was observed that the HiPIMS grown films remain poly-crystalline for all angles of rotation while the dcMS grown films are somewhat amorphous after 60°. We observe that the discharge current waveform in the reactive Ar/N2 HiPIMS discharge is highly dependent on the pulse repetition frequency, unlike the non-reactive Ar discharge. The discharge current is found to increase significantly as the frequency is lowered [3]. This is attributed to an increase in the secondary electron emission yield during the self-sputtering phase, when the nitride forms on the target at low frequencies.
[1] F. Magnus, A. S. Ingason, S. Olafsson and J. T. Gudmundsson, Nucleation and resistivity of ultrathin TiN films grown by high power impulse magnetron sputtering, IEEE Electron Device Letters, 33(7) (2012) 1045 - 1047
[2] S. Shayestehaminzadeh, T. K. Tryggvason, L. Karlsson, S. Olafsson and J. T. Gudmundsson, The properties of TiN ultra-thin films grown on SiO2 substrate by reactive high power impulse magnetron sputtering under various growth angles, Thin Solid Films548(5) (2013) 354 - 357
[3] F. Magnus, O. B. Sveinsson, S. Olafsson and J. T. Gudmundsson, Current-voltage-time characteristics of the reactive Ar/N2 high power impulse magnetron sputtering discharge, Journal of Applied Physics, 110(8) (2011) 083306
10:15 AM - QQ1.02
Crystalline Ge Film Deposition Between Au Catalyst Film and Quartz Glass Substrate Using Magnetron Sputtering
Masaharu Shiratani 1 Daiki Ichida 1 Hyunwoong Seo 1 Naho Itagaki 1 Kazunori Koga 1
1Kyushu University Fukuoka Japan
Show AbstractWe report rapid formation of crystalline Ge thin film between Au catalyst film and quartz glass substrate using a radio frequency (RF) magnetron sputtering method. For the method, very thin Au films were deposited on SiO2 substrates and then Ge atoms were irradiated to the Au films by sputtering. By AES, EDX and SEM measurements, we found two kinds of Ge film growth taken place simultaneously: one is Ge film formation on Au films and the other is Ge film formed between Au films and SiO2 substrates. The latter film formation depends on crystallinity of Au films and is useful to deposite high quality Ge crystalline films on various kinds of substrate with aligned crystal orientation and a large grain size. XRD and Raman measurements show the films are Ge crystal and the better crystallinity for the higher substrate temperature. The Ge films show a high absorption coefficient for a wide light wavelength range from 400 nm to 1100 nm and photo generated current in the same wavelength range. Our deposition method is a novel way of crystalline film formation. The same approach can work well for crystalline Si films.
Acknowledgements
This work was partly supported by JSPS KAKENHI grant number 26246036.
10:30 AM - *QQ1.03
Texture Development during Thin Film Magnetron Sputter Deposition
Diederik Depla 1 Sigelinde Van Steenberge 1
1Ghent University Ghent Belgium
Show AbstractDue to the anisotropy of many material properties, thin film texture is an important criterion for applications. Thin films deposited by reactive sputter deposition[1] show often a clear fiber texture. This preferential out-of-plane crystal orientation depends, as expected, on the deposition parameters such as target-substrate distance, gas pressure and discharge power. A more general approach to link the texture with the deposition conditions is the use of the concept energy per deposited atom (EPA). The latter can be calculated from the ratio between the energy flux, measured with a thermal probe or by combining several plasma diagnostic tools, and the deposition rate. This approach will be shortly illustrated using a few straightforward examples, including metal and simple nitride thin film deposition[2][3]. This approach also works fine for oxides and more complex materials such as AZO (aluminum doped zinc oxide) and hydroxyapatite, but quite often deviations are noticed. Reactive sputter deposition of CeO2 is a typical example where the EPA concept seems not to work[4][5]. The interplay between negative oxygen ion bombardment and surface energy makes the texture development more complex. The example of CeO2 shows another important point, i.e. a clear difference between the influence of energy and momentum flux on the film growth. Other deviations of the EPA concept depends on the plasma chemistry as demonstrated during the growth of YSZ thin films.
When tilting the sample the fiber texture changes into a biaxial texture, i.e. the crystallites show not only a preferential out-of-plane but also in-plane orientation. Especially during dual reactive magnetron sputtering of mixed oxides the texture development becomes interesting as it depends on the thin film composition. This topic is shortly addressed during the example of YSZ thin film deposition[6][7].
[1] Reactive Sputter Deposition, Springer Series in Materials Science, ed. D. Depla, S. Mahieu, ISBN 978-3540766629
[2] Reactive sputter deposition of TiN layers: modelling the growth by characterization of particle fluxes towards the substrate, S. Mahieu, D. Depla, Journal of Physics D: Applied Physics 42 (2009) 053002
[3] Magnetrons, Reactive Gases and Sputtering, D. Depla, ISBN: 978-1304347817
[4] Momentum transfer driven textural changes of CeO2 thin films, S. Van Steenberge, W.P. Leroy, A. Hubbin, D. Depla, Applied Physics Letters 105 (2014) 111602
[5] Influence of oxygen flow and film thickness on the texture and microstructure of sputtered ceria thin films, S. Van Steenberge, W.P. Leroy, D. Depla Thin Solid Films 553 (2014) 2
[6] Influence of the target-substrate distance on the growth of YSZ thin films, J.S. Lamas, W.P. Leroy, D. Depla, Surface and Coatings Technology 241 (2014) 26
[7] Using the macroscopic scale to predict the nano-scale behavior of YSZ thin films, J.S. Lamas, W.P. Leroy, Y.-G. Lu, J. Verbeeck, G. Van Tendeloo, D. Depla, Surface and Coatings Technology 238 (2014) 45
11:30 AM - *QQ1.04
Local Epitaxial Growth in Sputtered Copper Oxide Films
Jean-Francois Pierson 1
1Institut Jean Lamour Nancy France
Show AbstractAs p-type semiconductors, binary copper oxides (Cu2O, Cu4O3 and CuO) have attracted much attention for their great potential applications in information display and energy conversion. These oxides can be selectively deposited using reactive magnetron sputtering by adjusting the oxygen flow rate introduced into the vacuum chamber [1].
In this presentation, the effect of some relevant parameters on the structure of copper oxide thin films deposited on glass and silicon substrates is detailed. For each phase, the preferred orientation can be tuned by adjusting the oxygen flow rate and the total pressure. For instance, Cu2O films grow with a [111] preferred orientation at high pressure while a [100] one is obtained at low total pressure. The use of the same pressures allows the change of the Cu4O3 preferred orientation from [101] to [100]. For CuO films, [-111] or [111] textures have been noticed. These results are discussed taking into account the surface energies of the different kinds of planes.
Using a two-step deposition procedure (deposition of a bilayer with conditions that induce two different preferred orientations) we have demonstrated that the texture of the top layer is determined by the texture of the bottom layer [2]. Then, the bottom layer acts as a seed layer for the growth of the top one. Among the three copper oxides, the cubic Cu2O phase exhibits the best ability for this epitaxial growth. Finally, the use of the epitaxial growth in copper oxide thin films is extended to other metal oxides to control their preferred orientation.
[1] J.F. Pierson, A. Thobor-Keck, A. Billard, Applied Surface Science 210 (2003) 359
[2] Y. Wang, J. Ghanbaja, F. Soldera, P. Boulet, D. Horwat, F. Mücklich, J.F. Pierson, Acta Materialia 76 (2014) 207
12:15 PM - QQ1.06
From Solid Solutions to Fully Phase Separated Interconnected Networks in Sputter Deposited Immiscible W-Cu Thin Films
Franz Vuellers 1 Ralph Spolenak 1
1ETH Zuuml;rich Zurich Switzerland
Show AbstractRefractory metals are an extremely interesting class of materials due to their high density, good mechanical performance and especially their thermal stability. These excelling properties, however, also determine their limited machinability and the need for powder metallurgic fabrication techniques. This also restricts the degree of freedom regarding the microstructural length scale, especially in higher order systems.
Through an alternative production technique, however, it is possible to fabricate refractory based coatings without restrictions regarding composition or a minimum limit of microstructural length scales. By sputter deposition, homogeneously mixed thin films can be produced, even in immiscible systems. Employing an additional thermal treatment, feature size can then be tuned without restrictions.
This study is focussed on investigating as-deposited “solid solutions” of W-Cu thin films and the microstructural tuning of said systems by utilization of an interesting phenomenon shown by immiscible systems, namely phase separation. The fabricated and annealed thin films are characterized by HR-SEM, BIB (cross sectioning), AFM, four-point-resistivity measurements, nanoindentation and XRD. Thus, electrical and mechanical thin film properties can be correlated with the degree of phase separation and microstructural coarsening.
12:30 PM - QQ1.07
Structure and Properties of Hard Oxidation Resistant Mo-Si-B Coatings Deposited by Magnetron Sputtering of Composite Targets
Philipp Vladimirovich Kiryukhantsev-Korneev 1 Yuriy Sergeevich Pogozhev 1 Evgeny Alexandrovich Levashov 1 Dmitry Vladimirovich Shtansky 1
1National University of Science and Technology MISIS Moscow Russian Federation
Show AbstractMoB coatings demonstrate relatively high hardness and wear resistance, low friction coefficient and good adhesion to the steel substrates but have low working temperature limited by 6000C. It&’s well known that oxidation resistance and thermal stability of the nitride and boride coatings can be enhanced by Si alloying. The goal of this work is a complex investigation of the Mo-Si-B coatings, including estimation of high-temperature tribological characteristics, thermal stability, long-time oxidation resistance, diffusion barrier properties, and thermo-cycling resistance.
The MoB, MoB0.3Si0.1, MoB0.2Si0.4, MoB0.12Si0.5, MoB0.05Si0.6, and MoSi2 composite targets produced by self-propagation high-temperature synthesis technology were subjected to magnetron sputtering in a pure Ar atmosphere. Alumina, Mo, Cr-Ni, WC-Co alloys and C/Si composites were used as substrate materials. To evaluate the high-temperature oxidation resistance, diffusion-barrier properties, and thermal stability, the coatings were isothermally annealed in air atmosphere or in vacuum at various temperatures range from 700 till 17000C. Kinetics and mechanism of coatings oxidation were studied for temperatures T=1000-17000C. The additional thermo-cycling experiments were fulfilled between 25 and 1000oC for 100 cycles. The structure of as-deposited and heat-treated coatings was studied by means of X-ray diffraction, scanning and transmission electron microscopy, GDOES, X-ray photoelectron spectroscopy, Raman and FTIR spectroscopy. The mechanical properties of the coatings were measured using nanoindentation and scratch-testing. The tribological properties were evaluated in air using a high-temperature tribometer. The properties of Mo-Si-B were compared with the MoN, MoB, and MoSix reference coatings.
The results obtained show that Mo-Si-B coatings contained nanocrystalline phases nc-MoSi2 and nc-MoB surrounded by amorphous a-Si phase. Mo-Si-B coatings demonstrated adhesion strength better than 30 N, hardness between 20 and 35 GPa, elastic recovery in range 50-65%, elastic modules less than 350 GPa, and wear rate less than 10-5 mm3N-1m-1. Decreasing of friction coefficient from 0.8 at RT down to 0.3 at 5000C can be explained by formation of MoO3 phase as a solid lubricant. Oxidation resistance of the Mo-Si-B coatings increased from 700 to 16000C when Si content was raised from 10 to 60 at. %. SiO2 top-layer protected the coatings from intensive oxidation at high temperatures in terms of slow heating and thermal impacts. Combination of relatively high hardness, remarkable tribological properties, and extremely high oxidation resistance makes Si-rich Mo-Si-B coatings promising candidates for protective purposes to be used in different high-temperature applications.
12:45 PM - QQ1.08
Reactive Plasma Synthesis of Superhard, Nanostructured Titanium-Boron-Nitrogen Thin Films
Alexios Spiliotis 1 Spyridon Kassavetis 1 2 Stelios Karamanidis 1 Panos A. Patsalas 1 2 George Vourlias 1 Stergios Logothetidis 1
1Aristotle University of Thessaloniki Thessaloniki Greece2University of Ioannina Ioannina Greece
Show AbstractTitanium borides are well known materials for their exceptional mechanical and tribological properties and have been in the center of recent studies as candidate materials for surface protection.
In this work we focus on the reactive plasma synthesis of titanium - boron - nitrogen (Ti-B-N) thin films using closed-field unbalanced magnetron sputtering, where nitrogen is used as the reactive gas for the fabrication of superhard, nanostructured Ti-B-N thin films. The aim is to study and reveal the effect of the nitrogen incorporation in the TiB2 structure and and surface, as well as as on the mechanical performance of titanium boride protective coatings. For this purpose, a wide variety of structure and surface sensitive characterization techniques such as X-rays diffraction, X-Rays reflectivity and X-Rays photoelectron spectroscopy and Auger Electron Spectroscopy are complimentary used, while the nanomechanical testing was performed via depth-sensing nanoindentation and scratch test. In addition the effect of the nitrogen presence to the plasma species (B+,Ti+, N+, N2+, TiN+, etc) was studied by Optical Emission Spectroscopy.
The Ti-B-N thin films were grown by varying during the growth either the nitrogen flow, while the working pressure was kept constant, or the substrate bias voltage Vb. The addition of nitrogen in low flow rates resulted to the formation of nanocrystalline titanium nitrade (nc-TiNx), which co-exists with nc-TiB2. But the crystallinity of the nc-TiB2 is greatly affected by the nitrogen presence during the growth, since the intensity of the TiB2 (001) peak decreases compared to this of the nitrogen-free process. Moreover, for the low flow rates of the nitrogen, the density of the nanocomposite Ti-B-N thin film increases. Further increase of the nitrogen flow rate (up to 6 sccm) caused downgrading of both the nanocrystalline phases and finally amorphization, which was accompanied with drastically decrease of the Ti-B-N thin film density. Similar was the effect to the nanomechanical properties of the Ti-B-N thin films as both their hardness and elastic modulus values increase (H > 40 GPa) for low flow rates of nitrogen and then gradually decreases as the increase of the nitrogen flow rate leads to the amorphisation of the Ti-B-N thin films.
Symposium Organizers
Cesar Clavero, Intermolecular, Inc.
Raul Gago-Fernandez, Instituto de Ciencia de Materiales de Madrid (CSIC)
David Horwat, Institut Jean Lamour-Universiteacute; de Lorraine
Mohan Sankaran, Case Western Reserve Univ
Symposium Support
U-C Components, Inc.
Kurt J. Lesker Company Ltd
QQ4: Plasma Synthesis and Treatment of Nanoparticles and Metal/Dielectric Nanocomposite Films II
Session Chairs
Cesar Clavero
Albano Cavaleiro
Wednesday PM, April 08, 2015
Marriott Marquis, Yerba Buena Level, Salon 4/5
2:30 AM - QQ4.01
Influence of Reactive Sputtering Synthesis Conditions on the Local Chemistry, Microstructure and Plasmon Resonance-Related Properties of Au-ZnO Nanocomposite Films
William Chamorro 1 David Horwat 1
1Institut Jean Lamour-Universiteacute; de Lorraine Nancy France
Show AbstractTo take advantage of the optical effects of noble metal nanoparticles (NPs) such as localized surface plasmon resonance (LSPR) or surface enhanced Raman spectroscopy (SERS), the NPs must be in contact with the medium to be probed. However, this interaction could affect the size, distribution or shape of the NPs, changing their properties. Moreover, if a temperature higher than room temperature is needed for the applications, the particles tend to grow by Ostwald ripening, which may lead to loss or weakening of the properties of interest. These problems can be solved using a very thin oxide coating to stabilize the NPs morphology.
In this communication we report on the synthesis by reactive magnetron sputtering and characterization of ZnO-Au nanocomposite thin films that show localized surface plasmon resonance (LSPR) absorption by Au NPs in the visible region. Thin Au-ZnO films have been synthesized with different gold loadings and under different reactive atmospheres using reactive magnetron co-sputtering. It is found that the optical response of the nanocomposite layers is connected to the change in the local chemistry and microstructure. Those are set through the reactive deposition conditions and thermal annealing. Strong LSPR absorption and related effects such as SERS or improved photoluminescence can be obtained after an annealing process that leads to an increase of the nanoparticle diameter above 5 nm (as probed by high resolution TEM). Chemical analyses indicate that it is possible to form, in highly oxidizing conditions, NPs with a shell/core structure probably due to a change of the ZnO matrix from a reducing to an oxidizing character depending on the reactive conditions and the associated chemical defects produced.
2:45 AM - *QQ4.02
Effect of Silver on the Phase Transition of Cathodic-Arc Deposited Titanium Oxide Films
Jose Luis Endrino 1 Adolfo Alfredo Mosquera 2 Violeta Navarro 3 Jose Albella 3
1Cranfield University Cranfield United Kingdom2Consejo Superior de Investigaciones Cientiacute;ficas Madrid Spain3Leiden University Leiden Netherlands
Show AbstractThe effect of silver on the structure and phase transition of titanium oxide films grown by pulsed cathodic arc has been investigated by XRD, AFM, and Raman spectroscopy. After successive annealing treatments (up to 10000C), structural analyses of Ag-TiO2 samples indicate that the presence of Ag strongly affects the transition temperature from the initial amorphous state of TiO2 films to stable anatase and rutile phases. It is shown that the increase of silver content in the films inhibits the amorphous to anatase transition, raising the temperature of the phase change and, at the same time reducing the transition temperature to rutile structure with further heating. The results have been interpreted in terms of the steric effects produced by the agglomeration of Ag atoms in larger nanoparticles with the annealing temperature, which hinders the ion diffusion of Ti and O for anatase formation. The growth in size of the Ag nanoparticles at higher temperatures eventually constrains the volume available for the anatase lattice, disrupting its structure to more stable and denser rutile structure.
QQ5: Plasma Surface Functionalization
Session Chairs
Raul Gago-Fernandez
Masaaki Nagatsu
Wednesday PM, April 08, 2015
Marriott Marquis, Yerba Buena Level, Salon 4/5
3:15 AM - QQ5.01
Taming The Polysulfide Shuttle in Li-S Batteries: A Functionalized Separator Obtained by Plasma Induced Graft Copolymerization
Joanna Conder 1 Sigita Urbonaite 1 Daniel Klaus Streich 1 Petr Novak 1 Lorenz Gubler 1
1Paul Scherrer Institute Villigen PSI Switzerland
Show AbstractIn the previous decades, various novel sulfur cathodes and electrolytes have been tested to improve Li-S battery performance. However, even though the problems with the poor sulfur conductivity have been alleviated, `dynamic` capacity fade of Li-S batteries arising from the polysulfide shuttle effect has still not been overcome. So far, the influence of the separator has rarely been considered [1, 2]. Therefore we propose to tackle the limitations of the Li-S battery by designing a Li-ion conducting polymer separator in the form of an asymmetric porous membrane with Sn2#8209; (n = 4-8) anion barrier functionality. The membrane consists of two layers: a very thin cation-exchange barrier (polymer skin) allowing for selective Li-ion transport and a porous support layer providing mechanical strength to the polymeric composite. The presented asymmetric porous membrane with -SO3- cation-exchange functional groups was synthesized by a one-step plasma-induced graft copolymerization of styrene sulfonate (SS) onto commercial porous polypropylene (PP) base film. The membrane&’s compositional asymmetry - the presence of SS-grafts in the surface-near regions of the polymeric composite - was confirmed by ATR-FTIR spectroscopic analysis. Morphological changes of the PP porous structure as a function of graft level (GL) were determined by scanning electron microscopy (SEM). Selected PP-g-PLiSS functionalized separators were tested with respect to their wettability, polysulfide-rejection ability and Li+ conductivity. Our preliminary results show that the asymmetric porous membrane is a promising separator material for the Li-S battery with polysulfide barrier functionality. The first electrochemical results as well as the conductivity measurements will be also reported.
References:
[1] H. Yao et al.: “Improved lithium-sulfur batteries with a conductive coating on the separator to prevent the accumulation of inactive S-related species at the cathode-separator interface”, Energy & Environmental Science 2014, 7, 3381 - 3390
[2] J. Bauer, S. Thieme, J. Brückner, H. Althues, S. Kaskel, “Reduced polysulfide shuttle in lithium-sulfur batteries using Nafion-based separators”, Journal of Power Sources 2014, 251, 417 - 422
3:30 AM - QQ5.02
Plasma-Based Fabrication of Biomolecule Films and 2D Nanostructures
Matthew B. Dickerson 1 Milana C. Vasudev 2 1 Lihi Adler-Abramovich 3 Ehud Gazit 3 Joseph Slocik 1 Patrick B. Dennis 1 Timothy J. Bunning 1 Rajesh Naik 1
1Air Force Research Laboratory Wpafb United States2University of Massachusetts Dartmouth Dartmoth United States3Tel-Aviv University Tel-Aviv Israel
Show AbstractPlasma enhanced chemical vapor deposition (PECVD) is a versatile fabrication method that can utilize a variety of precursors for the facile, rapid, and solvent free generation of inorganic, organic, and hybrid materials. In the PECVD process, cold plasma is utilized to activate vaporized monomers that subsequently polymerize to form uniform films on a variety of substrates. The low-temperature nature of the PECVD process allows for the coating of polymeric and biological templates as well as more traditional inorganic surfaces such as metals and ceramics. Furthermore, plasma-deposited films maybe pin hole free and strongly adherent to disparate substrates that are often difficult to coat by other techniques. The use of cold plasma in PECVD is significant as it enables the polymerization of monomers that are difficult to bond by in other process. This allows for the assembly of atypical monomer chemistries, including biomolecules such as porphyrins, amino acids, short peptides, and other small molecules. In this presentation we will discuss our efforts to generate functional and patterned thin films from a number of different biomolecules. The use of PECVD to produce high aspect ratio nanotubes and nanofibers from sublimed dipeptides will also be presented. Potential applications of PECVD polymerized biomolecules include biomedical implants coatings, biosensors, and bioelectronics.
3:45 AM - QQ5.03
micro;PlasmaPrinting Deposition of Amine-Containing Polymers by Means of 3-Aminopropyl Trimethoxysilane and the Application in Metal-Nanoparticle Immobilization
Jean-Paul Schalken 1 2 Peter Verhoeven 1 Alquin Stevens 1 Mariadriana Creatore 2
1InnoPhysics B.V. Eindhoven Netherlands2Eindhoven University of Technology Eindhoven Netherlands
Show AbstractThe modification of polymer surfaces by the introduction of specific functional groups, such as amine (-NH2) groups, allows exploring the potential of the modified surfaces, among others, a higher wettability, an improved adhesion to metal and coupling to biological cells, proteins and antibodies through the use of spacers. Here we present the plasma polymerization of amine-containing polymer films from 3-aminopropyl trimethoxysilane (APTMS), deposited by means of a µPlasmaPrint setup on fluorocarbon polymers. The µPlasmaPrint technology utilizes a multi-pin-to-plate dielectric barrier micro-discharge at atmospheric pressure and enables area-selective functionalization by means of a dot-wise patterning of the plasma treatment/deposition with a resolution down to 200 mm, according to a pattern which can be digitally programmed and changed. The present study addresses the identification of the chemical structure of the polymerized APTMS films as well as the interface development between the APTMS polymer and the fluorocarbon substrate using x-ray photoelectron spectroscopy (XPS), spectroscopic ellipsometry and surface energy analysis on layer-by-layer printed/deposited APTMS polymer. The layer-by-layer deposition and analysis of the APTMS polymer film by means of XPS has allowed determining their stoichiometry and chemical structure, predominantly consisting of siloxane chains with a relatively large retention of the amino-propyl chain, i.e. up to 70% for a single, printed layer. A detailed analysis of the F1s XPS peak has pointed out the interface formation between the APTMS polymer and the FEP substrate through Si-O-C bonds and partial etching of F/CFx functionalities. Fluorine is detected in the layer up to a number of layers equal to 5 and it is considered responsible for the limited oxidation occurring in the layers up to 5 printed layers. The analysis of the C1s and N1s peaks for an APTMS film deposited at 20 printed layers show that oxidation involves the hydrocarbon functionalities as well as the amine groups which are converted in amide-groups, thereby contributing to a decrease in surface energy of the FEP/APTMS samples.
Digital, patterned microplasma treatment can be applied to achieve a variety of surface engineering solutions for application in microfluidics, printed electronics, tissue engineering and biosensors. As an example the immobilization of metal-nanoparticles to enable electro-less deposition of nickel on patterned amine-containing polymer will be addressed.
4:30 AM - *QQ5.04
Plasma Surface Functionalization of Nanostructured Materials for Bio-Medical and Environmental Applications
Masaaki Nagatsu 6 7 Mihai Alexandru Ciolan 6 2 Tomy Abuzairi 6 1 Anchu Viswan 6 Akikazu Sakudo 3 Enbo Yang 6 Shubin Yang 6 4 Han Chou 7 Naoya Okada 7 Mitsuru Okada 7 Nji Raden Poespawati 5 Retno Wigajatri 5 Xiangke Wang 4 Dumitru Luca 2
1Universitas Indonesia Dupok Indonesia2Alexandru Ioan Cuza University Iasi Romania3University of the Ryukyu Naha Japan4Institute of Plasma Physics Hefei China5Universitas Indonesia Depok Indonesia6Shizuoka University Hamamatsu Japan7Shizuoka University Hamamatsu Japan
Show AbstractIn this talk, the recent experimental results on plasma surface functionalization of nano-structured materials for biomedical and environmental applications are presented. The main topics are; (1) to fabricate nano-structured materials by various plasma processing, (2) to modify their surfaces by plasma chemical modification, and (3) to immobilize the desired materials onto the surface of nano-structured materials for biomedical application. In order to achieve the subjects described above, graphite-encapsulated magnetic nanoparticles, vertically aligned carbon nanotube dot-arrays (for a biochip sensor) and ZnO nanoparticles (as fluorescent materials) were used to investigate the low temperature plasma processing.
With the graphite-encapsulated magnetic nanoparticles, we studied the plasma processing by using the two-step plasma treatment, Ar plasma pre-treatment followed by NH3 plasma post-treatment, to introduce the functional groups onto the surface of the nanoparticles. With conventional chemical procedures, the amino group population of magnetic nanoparticles having a typical diameter of 20 nm was evaluated to be 5~8 x 104 molecules per nanoparticle. Immobilization of the antibody of influenza or Dengue virus onto the surface of aminated magnetic nanoparticles has been carried out for aiming at developing the feasibility of the collection and condensation of virus. We observed a significant enhancement of collection rate of the influenza virus using the antibody-immobilized magnetic nanoparticles. The present result suggests the feasibility of the magnetic condensation method for rapid detection of influenza or Dengue virus. This surface modification processing was also utilized in the case of the ZnO nanoparticles as a fluorescent material for bioimaging application.
As the second topic, the selective ultrafine surface modification of functional groups onto the polymeric substrate or vertically aligned CNT dot-array with a dot size of 5 mu;m and 50 mu;m spacing was investigated using the atmospheric pressure plasma jet with a nano/micro-sized capillary. The micro-sized surface modification of amino or carboxyl groups introduced onto the substrate were confirmed by the fluorescence labelling technique. The present result supports the feasibility of future biochip sensor fabrication in atmospheric pressure. In addition to these results, the other experimental results are presented and discussed at the conference.
5:00 AM - QQ5.05
Selective Plasma Functionalization of Silicon Nitride Surface for Bio Sensing Application
Salva Salmani Rezaie 1 2 Manisha Gupta 3 2 Hiofan Hoi 1 2 Carlo Montemagno 1 2 4
1University of Alberta Edmonton Canada2Ingenuity Lab Edmonton Canada3University of Alberta Edmonton Canada4National Institute for Nanotechnology Edmonton Canada
Show AbstractSilicon nitride (SiNx) is a common material in electronic and sensor industry. It is inert and impermeable, insulator and chemically stable, which makes it a good candidate for applications such as AFM tip, cantilever based sensors, optical slot waveguide sensors, giant magnetoresistive sensor and nanopore based sensors. Biosensor applications usually require surface functionalization of SiNx for attaching the receptor. Direct immobilization of bio molecules to the surface of SiNx is not feasible and surface functionalization of surface is required. Moreover, majority of sensors have silicon oxide as insulator layer beside active SiNx active part therefore selective activation of surface is required. In this work selective plasma activation of SiNx surface has been studied.
100 nm of silicon rich SiNx have been grown using LPCVD on the surface of 200 nm SiO2 grown by PECVD. SiNx have been patterned using standard photolithography process and etched by RIE. Arrays of SiNx/SiO2 used for further activation. Native oxide of film first removed by vapor HF (49%) etching and surface functionalization have been done using ICP-RIE at hydrogen flow of 50 sccm at 1200 W and 2 WRF power. Different plasma exposure time have been tried and plasma treated surfaces have been characterized by XPS and contact angel measurement. Static contact angel measurement was conducted after each step. Plasma activated samples were immersed in 5% Glutaraldehyde solution in PBS in Argon atmosphere for 2 hours and then cleaned in PBS. Contact angel measurement showed alteration of angel from 36 to 55° for nitride and 32 to 15° for oxide film which is showing selective activation of surfaces. To characterize plasma activation with XPS, surfaces have been labeled by 10% solution of 4-(trifluoromethyl)benzaldehyde in Hexane for 2 hours and then sonicated in hexane to remove any physisorption. F1s/ Si 2p ratio have been calculated for different exposure time for both silicon oxide and nitride. XPS results showed larger F 1s/Si 2p ratio for nitride. Furthermore, for 15 min plasma exposure we observed F 1s/Si 2p ratio of 0.08 which is higher than the reported values.
To study bio molecule attachment to the surface we have attached immobilized homemade mCherry a red fluorescent protein via photoreactive ANB-NOS cross linker. To reach this aim we functionalized mCherry with ANB-NOS in solution of 10:1 molar ratio of cross linker/ protein in 10 mM NaP for 1 hr then we exchanged the buffer with PBS after reaction. For testing the cross linker/ protein functionality they were immobilized on 100 ul of carboxy link agarose bead and activated by UV lamp. Results indicate attachment of protein to agarose resin via crosslinker after washing off the unbounded reactant by PBS/0.1% tween-20. Same procedure applied for the plasma activated surface to attach mCherry protein to the amine functionalized surface of silicon nitride. Results from these studies will be presented.
5:15 AM - QQ5.06
Selective Biomolecules Immobilization onto Carbon Nanotubes for Biochip Sensor Applications Using Capillary Atmospheric Pressure Plasma Jet
Tomy Abuzairi 1 3 Mitsuru Okada 2 Nji Raden Poespawati 3 Retno Wigajatri 3 Masaaki Nagatsu 1 2
1Shizuoka University Hamamatsu Japan2Shizuoka University Hamamatsu Japan3Universitas Indonesia Depok Indonesia
Show AbstractPlasma technologies play an important role in the modifying surface properties for wide range of applications due to their remarkable properties of plasma based processes such as low temperature, non-polluting process and little damaging effects. Recently, plasma technology for biomedical applications, especially plasma produced at atmospheric pressure, has been attracting interests because of non-equilibrium, low temperature plasma features, which are very appropriate for a wide range of process, such as direct treatment of living organisms and modifying surface properties for biochip sensor applications.
On the other hand, there have been great interests in applying carbon nanotubes (CNTs) for the biochip sensor applications due to their outstanding biomolecular recognition. The successful realization of biochip sensor applications based on CNTs requires proper control of their functionalization and surface immobilization. For the surface functionalization, however, plasma technologies is an effective methods compared to other conventional wet chemical methods. When such superior biomolecular recognition capabilities of CNTs are utilized together with the remarkable properties of plasma based processes, it could be utilized for biochip sensor applications.
In this work, we studied the immobilization of biomolecules onto CNTs using a capillary atmospheric pressure plasma jet (APPJ) technique. The fabrication of CNTs was performed by electron beam lithography and a combined thermal and plasma-enhanced CVD method. The design of the biochip sensor based on CNTs, such as microarray type biosensor or FET type biosensor was also studied. For the surface functionalizaition of CNTs, an APPJ with a micro-capillary was used to functionalize amino groups. Plasma discharge was produced in the glass tube under the high voltage square-wave pulses at a low frequency of 5 kHz and 50 % duty ratio. To immobilize biomolecules selectively, we employed biotin-avidin system in place of antibody and antigen reaction. The selective biomolecules immobilization was confirmed by chemical derivatization with the fluorescent dye visualized by fluorescent microscope. Good spot uniformity of biomolecules immobilization was verified by fluorescence intensity line profile across CNT. The successful of CNTs surface functionalization using APPJ and simulating biotin-avidin system onto CNTs are valuable for future application of biochip sensor applications.
5:30 AM - QQ5.07
Macro and Microscopic Study of Plasma-Wood Interactions in Dielectric Barrier Discharges at Atmospheric-Pressure
Olivier Levasseur 1 Vincent Pellerin-Boudriau 1 Jacopo Profili 2 Nicolas Gherardi 2 3 Luc Stafford 1
1Universiteacute; de Montreacute;al Montreacute;al Canada2Universiteacute; de Toulouse - LAPLACE Toulouse Cedex 9 France3CNRS, LAPLACE Toulouse Cedex 9 France
Show AbstractThe immense potential of dielectric barrier discharges (DBD) at atmospheric pressure for treatment of heat-sensitive polymers no longer needs to be demonstrated as many studies have unveiled the usefulness of these plasmas for a wide array of surface modifications. Recently, interest has grown in applying DBD treatments to wood surfaces in order to improve its natural qualities and overcome drawbacks related to its ageing. However, most studies on plasma treatments of wood deal with change of the bulk or macroscopic aspect of this natural polymer without considering the microscopic modification of the wood cells. In this work, we aim to provide new insights into the physics driving plasma-wood interactions at both macro- and microscopic scales. More precisely, freshly-sanded sugar maple wood samples and 30mu;m microtomed sugar maple layers were placed on the bottom electrode of a plane-to-plane DBD operated in nominally pure He. Wettability with water measurements indicates that He plasma treatments led to more hydrophilic wood surfaces while no change was observed for microtomed samples. Scanning Electron Microscopy (SEM) analysis reveals very little or no damage to the cell walls, even after prolonged plasma exposure of up to 60 minutes, suggesting that the changes observed for bulk samples are essentially of chemical nature. This was confirmed by XPS analysis showing an increase in O/C ratio after plasma exposure due to the removal of weakly-bonded wood extractives. In presence of hexamethyldisiloxane (HMDSO) in the He plasma, freshly-sanded wood samples became highly hydrophobic, with contact angle >130 degrees and an excellent dimensional stability following natural weathering; a feature ascribed to the deposition of a SiOCH layer. Microtomed samples, which are naturally more hydrophobic due to the patterned layout of the wood cells, also show improved hydrophobicity through reduced water penetration. SEM analysis further indicates that the deposited layers consist of an agglomeration of smaller nanoparticles starting on the border of the cell walls. As deposition time increases, the size of the agglomerates and surface coverage increase. However, the lumens, which are small holes of approx. 10-20 mu;m diameter in the center of the wood cells, are never completely covered. Retention of the surface&’s natural patterning maintains the high water contact angle while the deposition of SiOCHx on the topmost sidewalls of the cells seems to reduce the water penetration dynamics. Although the results described above suggest that plasma deposition occurs through the grafting of nanoparticles formed in the gas phase, filtering of the discharge gas right after the plasma zone through Transmission Electron Microscopy grids 1000 mesh trapped no particles. Agglomeration of plasma-generated fragments thus occurs directly on the sample&’s surface or the stem particles are greatly smaller than the grid size.
5:45 AM - QQ5.08
Wood Surface Modification by Combining Plasma Coating and Liquid Flame Spray Methods: Temperature-Wetting Properties
Maziar Sedighi Moghaddam 1 4 Golrokh Heydari 2 Mikko Tuominen 1 Matthew Fielden 3 Magnus Walinder 4 Per Claesson 2 Agne Swerin 1
1SP-Technical Research Institute of Sweden Stockholm Sweden2KTH Royal Institute of Technology Stockholm Sweden3KTH Royal Institute of Technology Stockholm Sweden4KTH Royal Institute of Technology Stockholm Sweden
Show AbstractReducing the interaction of water with material surfaces is an important topic of the last decades. Altering surface properties toward (super)hydrophobicity by lowering the surface energy and modifying the surface roughness has raised attention. The interaction of wood as a porous material with water may result in dimensional instability and biological degradation affecting its properties. Modification of wood surface would reduce water penetration, and undesired drawbacks. Cold plasma treatment could be utilized as a dry and energy saving method for surface modification of wood. In addition, temperature stability of wetting properties of modified surfaces such as plasma modified wood surfaces could be critical for performances. In particular, there is limited understanding of how morphology and surface chemistry of modifications affect the wetting behavior at subzero temperatures.
In this study plasma coating and a thermal spraying method called liquid flame spray (LFS) were combined in order to hydrophobize wood surfaces. In order to study the effect of surface chemistry and surface roughness on the wetting properties of wood, different coating systems were prepared. First pine sapwood veneers and smooth silicon wafers (reference) were coated by LFS using two different metal oxide nanoparticles; titanium dioxide and cerium dioxide. The surfaces were further coated by plasma polymerization of perfluorohexane (PFH) and hexamethyldisiloxane (HMDSO). Multicycle Wilhelmy plate method was used to study wetting properties of the surfaces such as advancing and receding contact angles (CA) and dynamic sorption properties. Additionally, we explore the influence of multi-scale roughness on robustness of the wetting behavior of wood surfaces. Furthermore, we investigate how surface chemistry of the plasma layer affects the temperature-wetting behaviour by measuring the sessile drop CA as a function of temperature. Atomic force microscopy was also employed to investigate how morphology of the nanoparticles and plasma coatings affects the wetting behaviour.
The results show that though surfaces with the nanoparticle coatings present higher CA rather than uncoated wood surfaces, this water repellency effect is not stable. We conclude that plasma coating enhances the stability of the nanoparticles on the surfaces and higher water repellency could be achieved. The highest CA was obtained by LFS coated-TiO2 combined with fluorine polymer plasma coating. In general, the surfaces with fluorine plasma coating showed higher CA compared to the surfaces with plasma polymerized HMDSO. We also propose a positive effect of the multi-scale roughness achieved from LFS-coated nanoparticles and plasma treatment on hydrophobicity and temperature-wetting stability of rough hydrophobic wood surfaces. In other words, the micro-nano roughness enhances the wetting properties of the surfaces in comparison with the plasma modified micro-scale rough surfaces.
QQ3: Plasma Synthesis and Treatment of Nanoparticles and Metal/Dielectric Nanocomposite Films I
Session Chairs
Cesar Clavero
Albano Cavaleiro
Wednesday AM, April 08, 2015
Marriott Marquis, Yerba Buena Level, Salon 4/5
10:00 AM - QQ3.01
Synthesis and N-Doping In Situ of Silicon Nanoparticles by Inductive Coupled Plasma CVD
Rosa Ruggeri 1 Giovanni Mannino 1 Alessandra Alberti 1 Sebania Libertino 1 Filippo Giannazzo 1 Salvatore Francesco Lombardo 1 Agata Pennisi 2 Giuseppe Faraci 2 Antonino La Magna 1
1CNR-IMM Catania Italy2Universitagrave; di Catania Catania Italy
Show AbstractNowadays, the synthesis of nanostructures seems to be an appealing way to overcome the intrinsic limit in scaling down of electronic devices as it offers the possibility to obtain single crystal electronic device. In this sense, plasma deposition is an effective way since different nanostructures are possible by controlling plasma conditions. This approach provides also the great advantage to use low cost substrate.
Nevertheless, the capability to control the optical and electronic properties of such nanomaterials is still an open issue. Precise control on size distribution and shape of plasma deposited nanostructures is not trivial as well as introducing dopant species.
We have obtained single-crystal silicon nanoparticles (Si-NP) by using inductive coupled plasma (ICP) CVD. At a substrate temperature not exceeding 50°C, it was possible to have mono-dispersed size distributions in the range between 10 and 200 nm. Si-NP are spherical up to 20 nm in diameter. Above this size, the Si-NP are characterized by an octahedral shape faced along well-defined crystalline planes, mainly {111}. On isolated Si-NP light trapping effect was probed by an enormous amplification of Raman peak up to 108 times the value of a similar bulk Si volume. Moreover, thanks to the flat surfaces of the octahedra, Si-NP produce a very efficient light scattering effect for the non-trapped portion of impinging light. Thanks to this latter property, we obtained the amplification of PL signal of any luminescent substrate such as Kapton® on which the Si-NP were deposited. When clustered, the efficient trapping in Si-NP enhances the phonon production and heating so that a huge a broad PL spectrum at room temperature is observed. Furthermore, we also synthesized in situ n-doped Si-NP using phosphine as precursor gases. The doped particles, nucleated and grown in plasma, are still octahedra but surrounded by a defective shell. Thanks to geometrical interpretation of the chemical profile was possible to understand the spatial distribution of phosphorus which is essentially confined at the surface. Here, its concentration is around 1 %. Since phosphorus was detected even in spherical particle of 10 nm in size, we guess that phosphorus segregates toward the surface during the growth. Thanks to scanning capacitance microscopy technique we observed that phosphorus is electrically active in both octahedral and spherical particles.
Having control of size, shape and doping of nanostructures represent a significative step towards a wide integration of plasma based nanostructures in photonics and photovoltaic applications.
10:15 AM - QQ3.02
Size Control of Gas Phase Grown Silicon Nanocrystals by Varying the Plasma OFF Time in Silane Pulsed Plasma
Akshatha Mohan 1 Ioannis Poulios 1 Ruud Schropp 2 3 Jatin Rath 1
1Utrecht University Eindhoven Netherlands2Energy Research Center of the Netherlands (ECN) Eindhoven Netherlands3Eindhoven University of Technology Eindhoven Netherlands
Show AbstractSilicon is the backbone material in the modern semiconductor era owing to its abundance and non-toxicity. However bulk c-Si is a poor light emitter even at liquid helium temperatures rendering it inefficient for optical applications. By downscaling bulk silicon to sizes less than the electron-Bohr radius (4.2 nm) quantum confinement effects can be exploited and band gaps can be tailored by varying the size of the nanocrystal.
The use of pulsed plasmas has shown to be very effective in obtaining precise size control of monodisperse particles [1]. Growth time of the nanoparticles is determined by the plasma ON times (Ton). Plasma OFF times (Toff) plays a very important role in disrupting the growth of particles by circulating the process gases. In this study, we vary Toff, hence change the density of growth precursors to control their size and size distribution.
The particles reported here are grown in a dedicated very high frequency Plasma Enhanced Chemical Vapor Deposition reactor for a single step synthesis of silicon nanoparticles in the gas phase. We use a square wave modulated (SQWM) discharge with a constant Ton of 25 ms for a series of Toff. In addition to size distribution studies by TEM, time resolved optical emission spectroscopy (TROES) gives an insight into growth precursor dynamics during plasma modulation.
The residence time of particles in this reactor is 500 ms for the studied parameter regime. Toff was varied from 55 ms to 1 s, timescales which are above and less than the residence time. For timescales less than the residence time, two distinct types of particles are formed; the small particles of size 10-15 nm and large clusters of 50 nm. We hypothesize that the small particles are obtained during one plasma cycle. However, as the Toff is small, some particles which are not pumped out of the reactor stay between the electrodes and their growth continues for several pulses.
Interestingly, for timescales more than the residence time, only free standing mono disperse particles with sizes 2-4 nm are produced. Most of these nanoparticles created in the gas phase is found in the vicinity or embedded in flakes of silicon due to the layer growth on the surface of the substrate.
TROES studies show that, at the start of each modulation pulse, a sharp peak in light intensity is observed, caused by heating of electrons at the onset of pulsed plasma [2]. For shorter Toff, this OES intensity peak is higher and broader, than for longer Toff times. The higher light intensity can be attributed to higher electron temperature resulting in higher number of radicals, leading to larger particle sizes and higher deposition rate, complying with our TEM studies. The study shows a way to produce nanoparticles by increasing Toff time.
[1] Th. Nguyen-Tran, P. Roca i Cabarrocas, and G. Patriarche. Appl. Phys. Lett. 91,
111501 (2007)
[2] A. A. Howling, L. Sansonnens, J.#8208;L. Dorier and Ch. Hollenstein J. Appl. Phys. 75 , 1340 (1994)
10:30 AM - *QQ3.03
One-Step Generation of Alloyed, Core@Shell and Core@Shell Nanoparticles by Gas Aggregation
Yves Huttel 1
1Instituto de Ciencia de Materiales de Madrid Madrid Spain
Show AbstractThere is an increasing interest in the generation of well-defined nanoparticles (NPs) not only because of their particular properties resulting from their reduced dimensions, but also because they are promising building blocks for more complex materials in the fast growing nanotechnology [1]. As a consequence, the development of fabrication methods of high quality NPs is a key issue to follow the increasing demand of complex multifunctional nanoparticles for advanced applications [2].
We will present a bottom-up fabrication route based on the magnetron sputtering gas aggregation source that allows the generation of nanoparticles with controllable and tunable chemical composition and structure while keeping the control of their size. This technique, called Multiple Ion Cluster Source (MICS) [3], is an evolution of standard Ion Cluster Sources (ICS) [4].
Through examples, we will show that, apart from the generation of alloyed nanoparticles [5], the technique allows the generation of core-shell and core@shell@shell nanoparticles [6]. In particular, it is demonstrated that the atoms of the core and the shell of the nanoparticles can be easily inverted, avoiding intrinsic constraints of chemical methods. All these possible combinations are generated in one single step process under highly controlled atmosphere, which leads to the formation of high purity NPs.
11:30 AM - *QQ3.04
Plasma Deposition Processes for Synthesis of Nanocrystals In Dielectric Matrices: Fine Control of the Nanocomposite Properties for a Broad Spectrum of Applications
Kremena Makasheva 1
1LAPLACE, University of Toulouse Toulouse France
Show AbstractPlasma deposition of thin films is nowadays widely used for technological applications. Starting in the early 80&’s in the microelectronics domain, the plasma deposition processes have now place in different areas of our everyday&’s life, like for example in optics for coating films, for surface treatment in the food industry, for biomedical applications, in photovoltaic&’s, etc. Depending on the type of operated gas discharge, the main plasma parameters (electron density and electron energy), the reactive gases used as precursors and the gas pressure, one can obtain a large variety of composition of the deposited thin films, including nanocomposite materials. The plasma deposited films can be ceramic (SiOx, SiNx, SiOxNy, etc.), organic (different types of carbon or hydrocarbon films), organometallic, organosilicon, etc. Their electrical properties can cover the whole range from dielectric to conductive ones with a smooth transition between them. They can be nanostructured by inclusion, for example, of metallic, silicon or carbon nanoparticles. The possibility to design complex nanocomposite films is determined by the complexity of the medium itself. The properties of non-equilibrium plasmas as media actually represent the key elements in the synthesis of nanocomposite thin films giving a lot of freedom in their elaboration.
The presentation will start with a general overview of the plasmas, their properties and applications. It will be followed by a discussion on the specificities of the film deposition in radiofrequency (RF) capacitively-coupled discharges maintained in reactive gas mixtures which are in the basis of Plasma Enhanced Chemical Vapour Deposition (PECVD) methods. The modified plasma behavior due to the spontaneous formation and growth of dust particles (powders) in the plasma gas phase will be presented for a short time. The later process is largely exploited for elaboration of dielectric matrices containing Si nanocrystals. Special attention will be paid to an asymmetric RF capacitively-coupled discharge giving the opportunity to combine metal sputtering with plasma polymerization phase in order to obtain nanocomposite films. Diagnostic methods applied to control the deposition process, such as Optical Emission Spectroscopy, will be briefly discussed as well.
The possibility to open the realm of a large use of nanocomposites materials deposited in plasma processes will be demonstrated through a range of applications of these materials for optical, in particular plasmonic structures, non-volatile memory devices, efficient photovoltaics based on quantum confinement effect, RF MEMS switches, HVDC electrical engineering, as well as in the conception of biocompatible nanocomposite coatings containing silver nanoparticles.
12:00 PM - QQ3.05
Plasma-Induced In-Flight Coating of Silicon Nanoparticles with a Polyaniline Shell
Ozgul Yasar-Inceoglu 2 Lanlan Zhong 1 Lorenzo Mangolini 2 1
1University of California, Riverside Riverside United States2University of California, Riverside Riverside United States
Show AbstractSilicon (Si) has been recently considered as a great alternative for graphite as an anode material for rechargeable battery applications. Despite the many advantages of Si nanoparticles, the large volume expansion, agglomeration of particles, and undesirable chemical reactions of the core material are still significant challenges for Li-ion batteries. We will discuss a plasma-induced polymerization process which aims at overcoming some of these limitations. The properties of silicon-containing anode materials can be enhanced by using composite structures incorporating polymers. A conductive polymer shell is expected to successfully buffer the severe the volume changes due to Li ion insertion into the silicon crystals and offers high binding strength and flexibility, which inhibits cracking, hence improving the cyclability of anode. While most of polymer coatings are realized via liquid phase techniques, we have explored the use of an in-flight polymerization technique to controllably coat silicon nanoparticles with a polymer shell.
We have selected polyaniline (PANI), which has high conductivity, low cost, and high chemical stability polymer, as shell material. Si/PANI core/shell nanoparticles were synthesized using continuous flow non-thermal plasma system which consists of two serially connected plasma reactors. Immediately after the silicon nanoparticles are synthesized in the first reactor [1, 2], they are dragged into a second reactor and coated in a second discharge to which aniline is added as precursor. TEM analysis confirms that the core-shell structure is realized successfully. We have also found that the structure of the polymer shell can be tuned from pernigraniline (which consists of only quinoid units) to emeraldine, which is the most conductive state of PANI, by varying the plasma chemistry in the second reactor. Extensive FTIR and XPS data confirm the structural change.
Lithium-ion batteries based on the plasma-produced core-shell structure have been tested and the influence of the processing conditions on the anode performance will be discussed.
[1] L. Mangolini, E. Thimsen, and U. Kortshagen, Nano Letters, 2005. 5(4): p. 655-659.
[2] O. Yasar-Inceoglu,T. Lopez, E. Farshihagro, and L. Mangolini, Nanotechnology, 2012. 23, 255604
12:15 PM - *QQ3.06
Sputtering as Base Technique for the Production of Noble Metal - Oxide Nanocomposite Coatings
Albano Cavaleiro 1
1University of Coimbra Coimbra Portugal
Show AbstractWhen noble metal nanoparticles are placed in a dielectric matrix, changes in the so-called localized surface plasmon resonance (LSPR) can influence the properties of the nanocomposite materials which depend strongly not only on their own size and shape but also on the surrounding environment. LSPR has been used to improve the optical, electrical, thermal and catalytic properties of thin films for applications ranging from optics and photovoltaics to biological and chemical sensors.
With the final aim to control the size, shape and distribution of the noble metal nanoparticles different procedures have been adopted for the sputter deposition of nanocomposite thin films. In this talk, we will present our approaches on this subject, particularly in relation to Ag and Au nanoparticles embedded in different oxide matrixes (WO3, Al2O3 and TiO2), as follows: (i) co-sputtering noble metal and matrix element targets in reactive atmosphere followed by thermal annealing at increasing temperatures for the controlled precipitation of the Au and Ag nanoparticles; (ii) sequential sputtering deposition of the dielectric matrix and the noble metal using conditions favoring the agglomeration and coalescence of Ag and Au elements; (iii) pre-formation of Ag,Au nanoparticles in a plasma gas condensation (PGC) cluster sources, their transfer to the main deposition chamber and their co-deposition with the reactive sputtered oxide matrix.
Examples of the structural arrangement of the nanocomposite coatings will be presented with focus either on the size and distribution of the Ag, Au nanoparticles or on the structural transformation of the oxide matrix. The optical behavior of the coatings will be discussed and the LSPR absorption peaks position will be analysed as a function of the structure and chemical composition results; optical modeling will support the experimental results. The potential interest of the coatings will be demonstrated through examples in the decorative coatings field. Functional properties of the coatings for this application, such as color and mechanical behavior, will be shown. The advantages and drawbacks of each deposition procedure will be presented and discussed.
12:45 PM - QQ3.07
Single Step Plasma Synthesis of Carbon-Coated, Sub-10-nm Si, 3C-SiC, Ge, and beta;-Sn Nanocrystals
Rohan P Chaukulkar 1 Koen de Peuter 3 Paul Stradins 2 Sumit Agarwal 1
1Colorado School of Mines Golden United States2National Renewable Energy Lab Golden United States3ASML Eindhoven Netherlands
Show AbstractThere has been an increased interest in group IV nanocrystals (NCs) for a variety of applications including lithium ion batteries (LIBs) and bio-imaging. The properties of these quantum-confined NCs are governed by their size as well as the surface passivating layer. Si, 3C-SiC, Ge, and There has been an increased interest in group IV nanocrystals (NCs) for a variety of applications including lithium ion batteries (LIBs) and bio-imaging. The properties of these quantum-confined NCs are governed by their size as well as the surface passivating layer. Si, 3C-SiC, Ge, and β-Sn NCs, ~4-7 nm in size, were synthesized in a tubular flow reactor with two capacitively-coupled, radio-frequency plasmas in series. Nanocrystal growth was at pressures ranging from 5-8 Torr. Si, Ge, and β-Sn NCs were synthesized in first upstream plasma using SiH4, GeCl4, and SnCl4, respectively, heavily diluted in Ar. The chloride precursors were additionally diluted in H2 to scavenge the Cl atoms in the plasma, which prevented the nucleation and growth of NCs. These NCs were transported downstream by gas flow, and coated or carburized in a second C2H2/Ar plasma. Carbon-coated 3C-SiC NPs were synthesized via the complete in-flight carburization of Si NCs. However, due to the negligible solubility of C in Ge and Sn, no carburization was observed for these materials. The carbon-coated NCs are also extensively characterized using in situ attenuated total reflection Fourier transform infrared spectroscopy. Ex situ characterization involved x-ray diffraction, photoluminescence and Raman spectroscopy, and transmission electron microscopy (TEM). The thickness of the carbon coating determined from TEM was ~2 nm. We gratefully acknowledge support from the NSF CAREER program (Grant No. CBET 0846923). P.S. acknowledges support from U.S. Department of Energy under Contract No. DE-AC36-08-GO28308 with the National Renewable Energy Laboratory.
Symposium Organizers
Cesar Clavero, Intermolecular, Inc.
Raul Gago-Fernandez, Instituto de Ciencia de Materiales de Madrid (CSIC)
David Horwat, Institut Jean Lamour-Universiteacute; de Lorraine
Mohan Sankaran, Case Western Reserve Univ
Symposium Support
U-C Components, Inc.
Kurt J. Lesker Company Ltd
QQ7: In-Liquid and Atmospheric Plasma
Session Chairs
Mohan Sankaran
Francois Reniers
Thursday PM, April 09, 2015
Marriott Marquis, Yerba Buena Level, Salon 4/5
2:30 AM - QQ7.01
Correlations of In-Line Analytical Investigations of Atmospheric Pressure Plasma Processes with Surface Analysis
Sandra Richter 1 Nico Teuscher 1 Andreas Kiesow 1 Andreas Heilmann 1
1Fraunhofer Institute for Mechanics of Materials Halle (Saale) Germany
Show AbstractDue to the excellent ability to be integrated in technological processes, atmospheric pressure plasma discharges are an often used tool to modify polymer surfaces. Chemical changes of the outermost polymer surface layers are obtained by this short, intensive plasma treatment. Tailor-made surface properties will be achieved by defined changes in the treatment atmosphere depending on supplied gases, aerosols or also precursors within a carrier gas. Besides the pre-treatment of polymer foils by DBD, plasma jet systems are used for applications in biology or dermatology, nowadays]. It is in general interest to correlate plasma parameters with the resulting surface modification and there is a great demand from foil-producing and foil-converting companies to find parameters for inline process control.
The aim of the presented investigation is to find correlations between the reactions in the gaseous phase and the resulting surface properties and evaluate the gained information as a process controlling tool. First, different atmospheric pressure plasma devices are compared and evaluated concerning their efficiency of surface activation on different types of polymers. Simultaneously, optical emission spectroscopy (OES) is applied to study the reactive species in the plasma. The surface modification of the different polymer surfaces is analysed in particular by the determination of the polarity by contact angle measurements. Additionally, X-ray photoelectron spectroscopy (XPS) and atomic force microscopy (AFM) are applied to investigate and evaluate the plasma modified surfaces. By means of peeling tests, the adhesion behaviour of the plasma treated polymer surfaces is tested.
Beside the high intensity of the nitrogen atomic emission, the intensity of the weak oxygen emission peak can be used as parameters for plasma characterization and it can be correlated with surface properties like oxygen content determined by XPS and the polar component of surface tension. Therefore, the peak intensity can be used for inline monitoring of the plasma parameters and the resulting surface modification.
2:45 AM - QQ7.02
Atmospheric Plasma Deposition of Transparent Organosilicate Multifunctional Coatings on Plastics in Air
Siming Dong 1 Zhenlin Zhao 1 Reinhold H. Dauskardt 1
1Stanford University Stanford United States
Show AbstractAtmospheric plasma deposition is a versatile coating process that enables deposition on large and/or complex shapes in air. The low temperature plasma and solvent free process allows deposition on, and simultaneous functionalization of plastic substrates in a single step. Building on our previous studies, we demonstrate a highly efficient deposition method using two precursors, an inorganic tetraethoxysilane (TEOS) and an organic 1, 5-cyclooctadiene (CYC), to deposit multi-layer organosilicate transparent coatings on poly methyl methacrylate (PMMA) and silicon (Si) substrates with atmospheric plasma deposition in air. The coatings deposition rate, transparency, chemical composition and adhesion with the PMMA substrate were investigated. Using only the TEOS precursor, high density and elastic modulus coatings were deposited on PMMA but with poor adhesion. The addition of the organic CYC precursor allowed controlled incorporation of organic components into the coating molecular network which significantly improved adhesion. The deposition rate increased from ~65 nm/min for the single precursor to ~130 nm/min for the two precursor process. The coatings exhibited ~100% transmittance in the visible wavelength range. FTIR and Raman spectroscopy of the coatings showed that the organic component (-C-C-)n in the coatings can be incorporated to form an organosilicate molecular network. This incorporation increased the coating deposition rate and also resulted in mechanical plasticity in the coatings. The adhesion of coatings with PMMA increased from ~2 J/m2 to ~10 J/m2 and the Young&’s modulus ranged from 22GPa to 34GPa. Coatings structures including composition and coating thickness to achieve optimized hardness and adhesive properties are reported.
3:00 AM - QQ7.03
Electrocatalytic Property and Durability of Pt Nanoparticles-Supported Nanographene Synthesized by In-Liquid Plasma
Masaru Hori 1 Hiroki Kondo 1 Tomoki Amano 1 Kenji Ishikawa 1 Makoto Sekine 1 Masafumi Ito 2 Mineo Hiramatsu 2
1Nagoya University Nagoya Japan2Meijo University Nagoya Japan
Show AbstractNanographene materials have attracted much attention recently due to their excellent and unique electrical, physical, and morphological properties. One of the most promising applications of them is electrode in fuel cell, since they also have high chemical durability. Fuel cells have been attracting attention as a clean energy source. Degradation of catalytic electrodes consisting of carbon black supported with Pt nanoparticles is a serious issue to prevent further development of polymer electrolyte fuel cell. Generally, such nanographene materials have been synthesized using chemical vapor deposition (CVD) or arc discharge methods. Although these methods produce nanomaterials with good crystallinity, the high equipment and processing costs make them unattractive for practical applications. In addition, in most cases, their synthesis rates are relatively low (less than 0.1 mg/min.). Recently, we have realized the high-speed synthesis of the nanographene more than 1 micro-gram/min using in-liquid plasma. They also have good crystalline properties comparable to bulk graphite, such as high orientated pyrolytic graphite (HOPG). In this study, we synthesized two types of nanographene materials with different crystallinity and supported platinum nanoparticles on them by chemical reduction method in the liquid-phase. Then, their electrocatalytic properties and durability were investigated by cyclic voltammetry (CV) and potential cycling test.
Nanographene materials were synthesized by the in-liquid plasma using ethanol and 1-butanol. After the synthesis, Pt nanoparticles were supported on their surfaces reducing the H2PtCl6 8wt% in H2O. Raman spectra indicated synthesis of nanographene materials. It was also confirmed that nanographene materials synthesized using ethanol have higher crystallinity compared with those synthesized using 1-butanol. X-ray diffraction patterns of Pt nanoparticles-supported nanographene materials show diffraction peaks crrespond to Pt111 and Pt200 planes at 39.7° and 46.2°, respectively. Furthermore, using Scherrer&’s formula, sizes of Pt nanoparticles were estimated to be 4.5 and 3.9nm for the cease using ethanol and 1-butanol, respectively. In the CV characteristics using Pt-supported nanographene materials, peaks related to adsorption and desorption of hydrogen were clearly found in the both cases. The platinum effective areas were estimated to be 208 and 148 m2/g for the cases using ethanol and 1-butanol, respectively. In addition, even after the potential cycling test for 10,000 cycles, nanographene materials synthesized using ethanol show only 20% degradation. Therefore, these results indicate that the higher-density Pt nanoparticles can be supported on the higher-crystallinity nanographene material and they show higher durability. These knowledge obtained in this study will open the way to the next-generation high performance catalytic electrode for the fuel cell.
3:15 AM - QQ7.04
Atmospheric Pressure Plasma Enhanced Reduction in Hardness of Single Crystal Sapphire for Precision Polishing
Pavan Anirudh Poosarla 1 Ashraf Bastawros 1 Abhijit Chandra 1
1Iowa State University Ames United States
Show AbstractSingle crystal sapphire wafers with high quality surface finish are utilized as substrates for epitaxial growth of GaN for LEDs, Silicon-on-Sapphire (SoS) devices and in optical windows. However, high hardness and high chemical inertness of sapphire render the chemical mechanical polishing (CMP) process of sapphire to be expensive, and to involve highly alkaline and corrosive slurries.
In this work, Helium-Water vapor atmospheric pressure plasma is used for hydroxylation of a control layer in single crystal sapphire, resulting in a reduction of hardness from 9 Moh to 3 Moh. This softening effect is then utilized for effective polishing of sapphire substrates with significant increase in material removal rate (MRR). A plasma torch is designed and integrated with a polishing Buhler Automat polishing system to allow variable control of the plasma power, He-H2O gas flow rate, as well as the plasma plume dwell time on the wafer. The plasma torch is attached to an indexing head to control the plasma plume angle with the wafer. A set of C-plane (0 0 0 1) single crystal Sapphire wafers were utilized in the as-ground as well as the polished state. The effect of exposing the sapphire surface to plasma effluent for varying times on the surface hardness and modulus is measured using nanoindentation, employing the continuous stiffness measurement mode.
The nanoindentation measurements show that the plasma softened depth varies from 19-55 nm as the exposure time is increased from 5s to 120s. The extrapolation of these measurements indicates the possibility of achieving a softening depth of 6nm in 50ms. This result points to a prospective material removal rate of 120nm/min in polishing, which is almost an order of magnitude higher than the aggressive slurry based CMP of sapphire. Preliminary results of atmospheric plasma assisted buffing (with no abrasives) of sapphire showed 65nm/min effective MRR. Additionally, the buffing process without the use of toxic chemicals or abrasive slurry obviates the post polishing cleaning steps. The developed system will enable further studies of the interaction between the polishing process parameters and the plasma torch parameters on the enhancement of the MRR and the resulting surface planarity as measured by the expanse of the step-terrace scale. These detailed measurements would enable the development of process predictive modeling tools for the plasma-surface interactions.
3:30 AM - *QQ7.05
Synthesis and Texturization Processes of (Super)hydrophobic Fluorinated Surfaces by Atmospheric Plasma
Francois Reniers 1 N. Vandencasteele 1 J. Mertens 1 J. Hubert 1
1Universite Libre de Bruxelles Brussels Belgium
Show AbstractFluorinated compounds such as PTFE have a low surface energy which is intensively exploited in many applications. However, in order to obtain specific properties such as self cleaning, antifouling or biocompatibility, superhydrophobic surfaces must be obtained through a selective texturization of the polymer. It is shown that cold atmospheric plasma is an efficient technology to obtain easily such surfaces. Fluorinated surfaces are exposed to either the post-discharge of a RF plasma (He or Ar based with or without O2) or to a dielectric barrier discharge plasma (He or Ar). This research presents an integrated study of both the plasma phase and the resulting material surface. The plasmas and the post-discharge are studied by optical emission spectroscopy, absorption spectrometry and atmospheric mass spectrometry, and the polymer are analyzed by XPS, dynamic water contact angle, Atomic force microscopy, profilometry and mass loss measurements. Depending on the plasma gas mixture and the parameters, the WCA can be tuned from lower than 100° to above 155 °. The mechanisms for surface texturization are evidenced and the species responsible for it are identified. For instance, it is shown that although a very high surface etching can be obtained with a pure Helium discharge, texturization can only be added if oxygen is added to Helium, which simultaneously decreases the total etching.
For the direct synthesis of textured surfaces, the crucial role of the plasma main gas is identified, showing that argon leads to more hydrophobic surfaces than helium.
These results are compared to superhydrophobic hybrid coatings made of nanoparticles covered by a fluorinated layers deposited by a dielectric barrier discharge.
4:30 AM - QQ7.06
Scalable Production of Nanowire Arrays and Powders Using Plasmas
Mahendra K. Sunkara 1 Tu Nguyen 1 2 Maria Carreon 1 Venkat Vendra 1
1University of Louisville Louisville United States2Advanced Energy Materials, LLC Louisville United States
Show AbstractThis presentation will highlight our group&’s efforts with various scalable methods for continuous manufacturing of nanowires powders and arrays with help of plasmas. These scalable methods can be categorized in to the following: (a) scalable production of nanowires of low-melting metal oxide nanowire powders using atmospheric plasma flame based oxidation of metal powders; (b) scalable production of nanowire arrays and powders for titania and related oxides using plasma oxidation of metals or metal oxides in the presence of alkali-salts; and (c) low-temperature growth of nanowires of silicon and related materials.
Scalable production method for nanowires of low-melting metal oxides: A novel atmospheric microwave plasma reactor is designed to process about a kilogram of nanowires per day and has already been demonstrated for the synthesis of tin oxide, zinc oxide and aluminum oxide nanowires.1 The resulting nanowires are 50-100 nm in diameter and several microns long. We will discuss our ongoing work on the optimization of reactor in terms of powder feeder and collection and quality of resulting nanowire powders.
Scalable production of titania and related metal oxide nanowires: We report a new ultrafast (reaction time on the scale of a minute) gas-phase method for synthesizing highly crystalline TiO2 nanowires (NWs) using direct oxidation of either Ti metal (foils or powders) or spherical TiO2 powders using an atmospheric pressure microwave plasma reactor.2 The current state of the art methods involve long reaction time scales (~1 day) for synthesis of TiO2 NWs. This method has shown to work with a variety of materials systems such as Manganese Oxide, Tin Oxide, etc. These results will be discussed along with the role of plasma oxidation and alkalu salts.
Low-temperature synthesis of nanowire arrays of silicon and related materials: This presentation will also highlight our recent experiments on the synthesis of silicon nanowire arrays at temperatures lower than 150 C.3 These experiments also reveal interesting plasma-liquid metal synergistic effects to promote the dissolution of silicon from the gas phase in to low-melting metal molten clusters at low temperatures.
References:
1. V. Kumar, J-H. Kim, C. Pendyala, B. Chernomordik, and M.K. Sunkara, “Gas-Phase, Bulk Production of Metal Oxide Nanowires and Nanoparticles Using a Microwave Plasma Jet Reactor”, J. Phys. Chem. C., 112, 46, 17750-17754 (2008).
2. V. Kumar, J-H. Kim, J.B. Jasinski, E.L. Clark, and M.K. Sunkara, “Alkali assisted, atmospheric plasma production titania nanowire powders and arrays”, Crystal Growth and Design, 11 (7), 2913 (2011).
3. M. Carreon, J. Jasinski and M.K. Sunkara, "Low temperature synthesis of silicon nanowire arrays", Mater. Res. Express 1 (2014) 045006
4:45 AM - QQ7.07
Atmospheric Pressure Plasma Based Fabrication of Paper Sensors
Ramprasad Gandhiraman 1 Dennis Nordlund 2 Vivek Jayan 1 M Meyyappan 1 Jessica E Koehne 1
1NASA Ames Research Center Moffett Field United States2SLAC National Accelerator Laboratory Menlo Park United States
Show AbstractIn-space manufacturing of devices as and when the need arises is crucial for long duration space missions. Paper based disposable biosensors are a recent development in medical diagnostics that has enabled low cost diagnosis of diseases in resource limited countries. Two important characteristics of paper sensors viz., i) lower weight and ii) ease of fabrication compared to the plastic and glass based biosensors are extremely attractive for long duration space missions. Paper sensors are ideal for micro-gravity environment as the flow of liquid is based on capillary action. Controlled integration of features that enhance the analytical performance of a sensor chip is a challenging task in the fabrication of paper sensors. Activation of the sensor surface and incorporation of signal transduction and/or signal amplification elements in a reliable and controllable manner is a key issue.
Aerosol-assisted atmospheric plasma based process has been developed for fabrication of paper sensors. Functionalization of cellulose papers is carried out using the atmospheric plasma process for immobilization of biomolecules and for reduction of non-specific binding. Deposition of nanostructured materials for signal transduction/amplification is also carried out. The deposition system presented here could be modified for depositing multiple materials either simultaneously or sequentially using multiple jets. A thorough characterization of the plasma fabricated paper sensors is carried out using synchrotron x ray source. A detailed analysis of the Near Edge X ray Absorption Fine Structure (NEXAFS) and its sensitivity to the local electronic structure of the carbon and nitrogen functionalities is presented. The applicability of the sensors in detecting DNA hybridization is demonstrated. The ability to create hydrophilic and hydrophobic regions, site selective surface functionalization and incorporation of nanostructured materials opens up a range of capabilities and applications of paper based biosensors in micro-gravity environment.
5:00 AM - QQ7.08
Plasma Electrolytic Oxidation: From Coating Growth to Physics of Plasma in Liquids
Alexandre Nomine 1 2 Julien Martin 2 Gerard Henrion 2 Thierry Belmonte 2 Cedric Noel 2 Jaafar Ghanbaja 2 Sylvie Migot 2 Bill Clyne 3 Nicholas St John Braithwaite 1
1The Open University Milton Keynes United Kingdom2Institut Jean Lamour - CNRS -Universiteacute; de Lorraine Nancy France3University of Cambridge Cambridge United Kingdom
Show AbstractPlasma Electrolytic Oxidation (PEO) is a promising plasma-assisted surface treatment in order to grow oxide coatings with interesting corrosion and wear resistant properties on light metallic alloys (e.g. Al, Mg, Ti).
The process is carried out in a liquid electrolyte and high current density (some 10s of A.dm-2) and voltage (some 100s V) are applied. This lead to the development of micro-discharges (MDs) on the surface of the sample due to dielectric breakdown. It is admitted that MDs are the main contributor to the oxidation. However the understanding of the breakdown mechanism is complex because it occurs in a multi-phase medium with multiple interphases.
The present contribution aims at combining plasma diagnostics of MDs with characterization of oxide layers. The results will be discussed in terms of breakdown and growth mechanisms.
By working in AC mode, it was shown that a judicious setting of the cathodic half-period parameters (amplitude, duration) with respect to the anodic ones allows the coating compactness and growth kinetics to be strongly improved. Since it is associated with a quasi-disappearing of MDs and a significant voltage drop this regime is called “soft regime”. After switching to soft regime the surface of aluminium samples exhibits a thin sponge-like phase that covers a thick alumina (α- and eta;-Al2O3) layer.
Transmission electron microscopy (TEM) investigation of the layer cross section shows that the glass phase is composed of amorphous SiO2 with sodium and potassium inclusions. Silicon, sodium and potassium come from the electrolyte that is composed of KOH and Na2SiO3 diluted in deionized water.
More surprisingly we also lighted on that, what was previously considered as dense alumina layer is in fact composed of 80 nm alumina sublayers with 10-15 nm silica sublayers in between.
Although no cathodic MD was observed, ultra-high speed camera investigations showed that the cathodic current settings strongly influence the anodic micro-discharge behaviour. By reducing cathodic charge quantity, life-time and size of anodic MDs will increase from 20 to 50 µs and 0.07 to 0.2 mm2 respectively. Therefore the cathodic current settings also influence coating properties since large and long living MDs have a detrimental effect on the growth rate, the roughness and the homogeneity of the coatings.
Optical emission spectroscopy (OES) reveals either the presence of two populations of MDs or that discharges are composed of two regions with different physical properties. Indeed, depending on the emission lines used for the determination of plasma parameters, different values of the electron density (1015 and 1017 cm-3) and temperatures (8000 and 35000 K) are obtained. The implications of both hypotheses will be discussed with the results of current investigations on the high-speed video recordings of a single PEO discharge.
QQ8: Poster Session: Plasma-Based Materials Science and Engineering
Session Chairs
Thursday PM, April 09, 2015
Marriott Marquis, Yerba Buena Level, Salon 7/8/9
9:00 AM - QQ8.01
Electrical Conductivity and Corrosion Protection Properties of Amorphous Carbon Films Deposited on Stainless Steel
Junho Choi 1
1The University of Tokyo Tokyo Japan
Show AbstractThe electrical conductivity and corrosion protection properties of amorphous carbon (a-C:H) films deposited on stainless steel were investigated. The a-C:H films were prepared by bipolar plasma based ion implantation and deposition (bipolar PBII&D), and the negative pulse bias voltages were varied from -1.0 kV to -15.0 kV when the positive pulse bias voltage was fixed to 1.5 kV. The surface temperature of the films during deposition was monitored using an infrared radiation thermometer. The electric resistivity of the prepared a-C:H films was measured by using 4-probe method. Also, potentiodynamic polarization experiments were conducted to evaluate the anti-corrosion performance of a-C:H films on stainless steel in aqueous 0.5 wt.% sulfuric acid solution. Pt sheet and saturated Ag/AgCl were used as counter and reference electrodes, respectively. The film structure was evaluated using X-ray photoelectron spectroscopy (XPS) and Raman spectroscopy. The hardness and adhesion strength of the films were measured by nanoindentation and micro-scratch testers.
In a result, the electric resistivity of a-C:H films decreases to 12 mOmega;cm due to 6 at.% nitrogen addition into the film at a negative voltage of -12.5 kV. From the analysis of chemical bonding using XPS N1s and C1s peaks, it was found that the nitrogen incorporation results in increase of aromatic C3N structure in the films. Also, the electric resistivity of the films further decreases as increasing negative pulse voltages to -15kV, whereas the hardness of the films slightly decreases due to graphitization of the films. The graphitization of the electrically conductive films was confirmed by Raman analysis. The anti-corrosion properties of stainless steel were drastically improved due to the protective a-C:H films. The optimum film thickness and negative pulse bias voltage exist for high anti-corrosion performance, high electrical conductivity and good adhesion strength of the films.
9:00 AM - QQ8.02
Self-Organization of Plasma and Material Processes in the Carbon Arc Discharge for Nanosynthesis
Yevgeny Raitses 1 Jonathan Ng 1 Yao-Wen Yeh 2 James Mitrani 1 Mikhail Shneider 2 Brent Stratton 1 Bruce E. Koel 2 Nan Yao 2
1Princeton Plasma Physics Laboratory Princeton United States2Princeton University Princeton United States
Show AbstractThe atmospheric pressure anodic arc discharge is an important method for the production of various nanomaterials, including carbon, boron nitride and metal nanoparticles. For production of carbon nanoparticles, the arc operates in a dc mode between a graphite anode, which is consumed, and a cathode which may be a lower melting point material. During the arc operation, a carbon deposit is formed on the cathode surface and plays a crucial role in conducting the arc current [1]. The deposit has a complex inhomogeneous morphology with tubular nanostructures at the center and spherical micron-size particles at the periphery of the deposit. Recent electrode temperature measurements demonstrated that a sufficiently large area of this deposit is hot enough for thermionic emission to be the source of most of the arc current. Experiments and a theoretical modeling of the arc discharge suggests that the evaporation of the graphite anode and the formation of the carbon deposit on the cathode are self-organized to maintain the current conduction in the arc. In this work, this new result on self-organization is future studied using in-situ diagnostics of plasma and nanoparticles, and ex-situ material evaluation. [1] J. Ng and Y. Raitses, Carbon, 77, 80 (2014).
9:00 AM - QQ8.03
Electrocatalytic Characteristic of Pt Nanoparticles-Supported Carbon Nanowalls for Fuel Cell Applications
Hiroki Kondo 1 Shun Imai 1 Kenji Ishikawa 1 Makoto Sekine 1 Masaru Hori 1 Mineo Hiramatsu 2
1Nagoya University Nagoya Japan2Meijo University Nagoya Japan
Show AbstractCarbon nanowalls (CNWs) are one of carbon nanomaterials and contain stacks of graphene sheets vertically standing on a substrate. Each wall with the top edge is continuous crystallographically through bending or branching and composed of nanographite domains. Recently, we have developed the formation method of the ultra-high-density over 1013 cm-2 Pt nanoparticles on the whole surface area of the CNWs with a diameter of 2-3 nm employing metal-organic chemical fluid deposition (MOCFD) method in supercritical fluid (SCF). They are promising as a catalytic electrodes for a polymer electrolyte fuel cell because of its high-specific-surface-area and high aspect ratio. In this study, we synthesized CNWs and supported Pt nanoparticles on them by the SCF-MOCFD method. Then, their electrocatalytic properties and durability were investigated by cyclic voltammetry (CV) and potential cycling test.
CNWs were synthesized on Si substrates using a radical-injection plasma-enhanced chemical vapor deposition (RI-PECVD) system. This system consisted of a parallel-plate VHF (100 MHz) capacitively coupled plasma (CCP) region and a surface wave-excited microwave (2.45 GHz) H2 plasma (SWP) region as a remote H radical injection source. A carbon source gas (CH4) was introduced into the CCP chamber to generate CHx radicals. On the other hand, H2 was introduced into the upper SWP region, and the generated H radicals are irradiated onto the substrate surface. Therefore, in this system, fluxes of the CHx and H radicals can be independently controlled by controlling CCP and SWP powers, and gas flux. After the synthesis of CNWs, Pt nanoparticles were supported on the CNWs employing the SCF-MOCFD system and 1wt% (CH3C5H4)(CH3)3Pt solution (2 ml). The precursor was diluted by n-hexane [CH3(CH2)4CH3].
According to the scanning electron microscope (SEM) images of the CNWs after the supporting, it is found that the nanoparticles are supported on the entire surface area of each CNWs. Number densities of Pt nanoparticles synthesized for 10 and 30 min were 3x1012 and 8x1012 cm-2, respectively. In the CV characteristics using Pt-supported CNWs, peaks related to adsorption and desorption of hydrogen were clearly found in the both cases. The platinum effective areas were estimated to be 2.1 and 4.6 cm2 for the cases of 10 and 30 min syntheses, respectively. In addition, no degradation was found even after the potential cycling test up to 12000 cycles. These knowledge obtained in this study will open the way to the next-generation high performance catalytic electrode using CNWs for the fuel cell.
9:00 AM - QQ8.04
Atmospheric Plasma Synthesis of Platinum NP on Carbon Electrodes for Fuel Cell Applications
Francois Reniers 1
1Universite Libre de Bruxelles Brussels Belgium
Show AbstractAn organometallic powder (Platinum (II) acetylacetonate [Pt(acac)2]) has been decomposed in the post-discharge of an RF plasma torch operating at atmospheric pressure in an open environment in order to graft Pt nanoparticles on carbon black supports. This simple, fast and robust technique has been carried out in only one single step and can easily be transposed for the Pt grafting of large area carbon substrates to synthesize catalysts for fuel cells applications. The resulting nanohybrid materials have been studied through FEG-SEM, TEM and XPS techniques to highlight their elevated loading in Pt, their oxidation degree and the dispersion of the Pt nanoparticles on the substrate. Electrochemical measurements evidence a good catalytic activity of the particles.
9:00 AM - QQ8.05
Low-Energy Ion Damage Overrides Initial Atomic Structural Disorder in Silicon Nano-Patterning
Ana Moreno-Barrado 3 Raul Gago-Fernandez 1 Andres Redondo-Cubero 2 Luis Vazquez 1 Javier Munoz-Garcia 4 Rodolfo Cuerno 4 Mario Castro 5
1Instituto de Ciencia de Materiales de Madrid (CSIC) Madrid Spain2Universidad Autoacute;noma de Madrid Madrid Spain3Universidad Pontificia Comillas Madrid Spain4Universidad Carlos III de Madrid Madrid Spain5Universidad Pontificia Comillas Madrid Spain
Show AbstractIon beam sputtering (IBS) nanopatterning of Si has attracted a large interest both experimentally (due to its technological relevance) and theoretically (as a paradigmatic monoelemental system) [1]. In monocrystalline targets, the stress induced in the damaged layer and the formation of an amorphous/crystalline interface seem to play a key role in the pattern formation. However, it has been shown that the pattern dynamics may be also affected by the initial target conditions, such as the different roughness [2]. Recently, it has been also suggested that the thickness of a pre-existing amorphous layer underneath the surface [3] might influence the pattern amplitude. To deepen into this issue, we analyze here the influence of the initial atomic disorder of the target on the formation and further dynamics of the IBS pattern.
In the current work we study ripple nanopatterns produced by low-energy IBS on four different Si systems: bulk single-crystal, amorphous thin film grown by DC magnetron sputtering, implanted with 200 keV Ar+ ions, and self-implanted with 150 keV Si+ ions. Both implanted samples were designed to have an equivalent amorphized layer of ~200 nm, using a relatively low fluence of 5middot;1015 ions/cm2 to avoid microstructural modification (e.g., gas bubbles). The IBS nanopatterning was carried out from 2 min up to 120 min using a 500 eV Ar+ beam from a CSC Kaufman-type ion gun impinging at 650 with respect to the target normal. Surface topography was imaged ex-situ by atomic force microscopy. Despite the different sample preparation, results show a similar morphological evolution of both the ripple wavelength and the roughness in the four cases, with a marked pattern already developed at 20 min. Molecular Dynamics simulations show that the low-energy IBS overrides the initial atomic arrangement in all the cases, generating a shallow damaged Si layer with significant differences, in terms of local order and density, with the as-prepared amorphous systems. Thus, our results evidence the formation of an effective damaged surface layer also in non-crystalline targets, supporting current viscous flow models [4].
[1] J. Muñoz-García et al., Mater. Sci. Eng. R-Rep. 86, 1 (2014)
[2] P. Karmakar et al., Appl. Phys. Lett. 93, 103102 (2008)
[3] T. Kumar et al., Nanoscale Research Letters 8, 336 (2013)
[4] M. Castro et al., Phys. Rev. B. 86, 214107 (2012)
9:00 AM - QQ8.06
N and Cr (co-)Doping of TiO2 Thin Films Prepared by Reactive Magnetron Sputtering
Raul Gago-Fernandez 1 Slawomir Prucnal 3 F.J. Palomares 1 Ignacio Caretti 4 Andres Redondo-Cubero 2 Mykola Vinnichenko 5
1Instituto de Ciencia de Materiales de Madrid (CSIC) Madrid Spain2Universidad Autoacute;noma de Madrid Madrid Spain3Institute of Ion Beam Physics and Materials Research, Helmholtz Zentrum Dresden-Rossendorf Dresden Germany4Universiteit Antwerpen Wilrijk Belgium5Fraunhofer-Institut fuuml;r Keramische Technologien und Systeme (IKTS) Dresden Germany
Show AbstractThe photoactivity of TiO2 has been exploited in many applications ranging from photocatalysis, hydrogen production, pigments or solar cells [1]. However, optical absorption in TiO2 is mostly limited to the ultraviolet region of the solar spectrum (band-gap > 3 eV), triggering strong efforts to achieve visible-light (VISL) response by band-gap narrowing [1]. Non-metal (anion) doping seems to be a promising approach, as shown for the case of nitrogen (N) doped films [2]. However, it is unclear if the effective optical absorption of N-doped TiO2 is based on real band-gap narrowing or the formation of intragap localized states [3]. Recently, it has been argued that narrow-gap TiO2 would require heavy doping, relating VISL absorption to oxygen vacancies and color centers [4]. Another obstacle is the low thermodynamic solubility of dopants at substitutional sites [4]. This situation does not only compromise the effectiveness of band-gap narrowing but also provide recombination centers that are responsible for the loss of photogenerated electron-hole pairs [4]. A recent concept relies on N and Cr co-doping [5] to increase the solubility limit by non-compensated dopants where the opposite charge state of p- and n-type sites substantially enhances the thermodynamic kinetics of dopant pairs. In any case, a critical aspect of cation (co)doping relies in the introduction of large structural distortions in the host TiO2 matrix [4], needing processing or post-processing thermal treatments at moderate temperatures (~5000C).
In this work, we address the production and characterization of TiO2 (co)doped films by magnetron sputtering. We also compare different thermal annealing methods for further dopant activation and enhancement/design of the structural order, with special attention to the influence of as-grown films. The potential of novel rapid thermal processing such as flash-lamp annealing is also explored. The electronic structure of as-grown and modified films is assessed by means of X-ray absorption fine-structure and photoelectron spectroscopy, which permits the analysis of either (nano)crystalline or disordered structures. The optical response is derived from spectroscopic ellipsometry and transmission measurements. Finally, the structural, optical and electronic properties are correlated with the photocatalytic response of the samples.
REFS: [1] M.A. Henderson, Surf. Sci. Rep. 66, 185 (2011); [2] R. Asahi et al. Science 293, 269 (2001) ; [3] M. Batzill et al. Phys. Rev. Lett. 96, 026103 (2006); [4] N. Serpone et al., J. Phys. Chem. B 110, 24287 (2006); [5] W. Zhu et al., Phys. Rev. Lett. 103, 226401 (2009)
9:00 AM - QQ8.07
Morphology of TaN Thin Films Grown on SiO2 by Reactive High Power Impulse Magnetron Sputtering
Jon Tomas Gudmundsson 1 David Orn Thorsteinsson 1
1University of Iceland Reykjavik Iceland
Show AbstractThin TaN films were grown on SiO2 by reactive high power impulse magnetron sputtering (HiPIMS) at a range of temperatures from 45 to 600oC and various nitrogen partial pressures. The film properties were compared to films grown by conventional dc magnetron sputtering (dcMS) at similar conditions. Structural characterization was carried out using X-ray diffraction and reflection methods. For the lowest growth temperature the HiPIMS grown film exhibits the cubic δ-TaN phase and shows diffraction and as the growth temperature is increased the film exhibits the hexagonal γ-Ta2N phase. The HiPIMS process produces denser films at lower growth temperature than does dcMS. Furthermore, the surface is much smoother for films grown by the HiPIMS process. The grain sizes of both orientations are smaller in HiPIMS grown films than in dcMS grown films. However, the deposition rate is significantly lower for the the HiPIMS process.
9:00 AM - QQ8.08
Nanocomposite Wear Resistant TiCrBN Thin Films Produced by Magnetron Sputtering and Pulsed Arc Evaporation of Ceramic SHS-Targets
Philipp Vladimirovich Kiryukhantsev-Korneev 1 Konstantin Kuptsov 1 Alexander Sheveiko 1 Dmitry Vladimirovich Shtansky 1
1National University of Science amp; Technology MISIS Moscow Russian Federation
Show AbstractThe ceramic targets produced by self-propagating high-temperature synthesis (SHS) can be successfully used for deposition of various nanocomposite films: wear-, heat-, and corrosion-resistant, bioactive, reflective, and resistive [1-3]. In previous studies, SHS-targets were subjected to the magnetron sputtering, whereas the information about their arc evaporation is still limited. The comparative investigation of nanocomposite films obtained by magnetron sputtering and arc evaporation of SHS-target was a main goal of present study. TiB-38%Cr4Ti9B-4%Cr2Ti SHS-target has been chosen for this work.
Depositions were performed using Pinnacle Plus 5x5 (Advanced Energy) and Sokol-50-20 (Kurchatov Inst.) power supplies. The bias voltage, target-substrate distance, total pressure, and N2 partial pressure were varied in the experiments. Special attention was paid to the spectroscopy characterization of the magnetron and arc plasmas. The films were studied by means of X-ray diffraction, transmission and scanning electron microscopy, optical profilometry and microscopy, glow discharge optical emission and Raman spectroscopy, nanoindentation, scratch- & tribo-testing. Oxidation experiments were also fulfilled.
The results obtained showed that nc-TiCrN/a-BN films produced by arc evaporation under optimal deposition parameters possessed hardness <25 GPa, elastic recovery <53%, wear rate ~1.7middot;10-6 mm3N-1m-1 and oxidation resistance up to 7000C. Magnetron films had hardness up to 35 GPa, elastic recovery >60%, wear rate <5middot;10-7 mm3N-1m-1 and oxidation resistance up to 1000C. The arc evaporation of composite targets is particularly useful for improving the adhesion strength between film and substrate, which was shown to be 1.5-2 times higher compared with magnetron sputtering method.
References
[1] Ph.V. Kiryukhantsev-Korneev, J.F. Pierson, M.I. Petrzhik et al., Thin Solid Films, 517, 2675 (2009)
[2] D.V. Shtansky, Ph.V. Kiryukhantsev-Korneev, I.A. Bashkova et al., Int. Journal of Refractory Metals & Hard Materials, 28, 32 (2010)
[3] E.A. Levashov, V.I. Kosayanin, L.M. Krukova et al., Surface and Coatings Technology, 92, 34 (1997)
9:00 AM - QQ8.09
Tribological Coatings in Cu-B and Cu-C Systems
Philipp Vladimirovich Kiryukhantsev-Korneev 1 Andrey Bondarev 1
1National University of Science amp; Technology MISIS Moscow Russian Federation
Show AbstractCu-B and Cu-C coatings were produced using d.c. non-reactive magnetron sputtering of the mosaic Cu/CuB and Cu/C cathodes, respectively. Coatings with boron content in the range of 7-27 at % and carbon content between 1 and 10 at % demonstrated uniform depth element distribution. The growth rate of the coatings dropped with an increase in the boron or carbon content. Copper coatings possessed a loose structure and consisted of the fcc phase with crystallite sizes ~40 nm. The introduction of boron and carbon led to an increase in the density of coatings and to the formation of the nanocomposite structure consisting of fccphase crystallites ~8 nm (Cu-B) and ~20 nm (Cu-C) in size separated by amorphous a-B and DLC interlayers. The hardness of Cu-B and Cu-C coatings exceeded that of Cu coatings by 4-20 times. The maximum level of mechanical properties was demonstrated by samples with 27 at % B and 4 at % C. The introduction of carbon or boron led to a noticeable decrease in the coefficient of friction and reduced wear of basic coatings without additions, which was explained by the positive influence of sp2-bounded carbon or H3BO3 layer that is formed in the contact zone in the process of friction in air and plays the role of solid lubrication.
9:00 AM - QQ8.10
A Comparative Analysis of PECVD Passivation Layers for Integrated PZT Thin Film Capacitors
Warda Benhadjala 1 Gwenael Le Rhun 1 Thierry Mourier 1 Nelly Bosio 1 Christel Dieppedale 1 Anne Roule 1 Sandrine Perraudeau 1 Jennifer Guillaume 1 Florence Sonnerat 1 Henri Sibuet 1 Christophe Billard 1
1CEA LETI Grenoble France
Show AbstractWith the continuous trend of electronic device miniaturization, the need for low-cost, high-performance and reliable next-generation integrated capacitors is a prominent issue for various applications such as frequency tuning or dc power storage. Lead zirconate titanate (PZT) thin films are especially well-known candidates for integrated capacitors due to their remarkable ferroelectric properties and their high dielectric constant. During the capacitors integration, a protective coating called passivation layer is deposited on the PZT-based metal-insulator-metal (MIM) structures. After decades of improving PZT materials and processes, the passivation layer has become one of the most important challenges in device fabrication. It has been proven that hydrogen generated during the backend of the line processes, including passivation, significantly deteriorates the ferroelectric properties. This degradation has been explained by oxygen deficiencies and the pinning of ferroelectric domains due to [OH] bonding. Thus, passivation layer quality has a crucial influence on device performances. In this presentation, the impact of passivation processes on electrical properties of integrated PZT thin film capacitors has been investigated.
200-nm-thick PZT layers were deposited on Pt/TiO2/SiO2/Si substrates in a sol-gel process. PZT thin films were then crystallized by rapid thermal annealing at 700°C for 1 minute in air. A ruthenium (Ru) layer deposition by dc sputtering followed by photolithographic and dry etching processes were performed to define the Pt/PZT/Ru capacitors. After a SiH4-based adhesion treatment, passivation layers were grown by a plasma-enhanced chemical vapor deposition (PECVD) technique using silane (SiH4) and tetraethylorthosilicate (TEOS) oxide based sources, extensively used in microelectronic device fabrication. The effects of N2O plasma treatment and the influence of process conditions such as temperature and deposition rate were also investigated in this work. Hydrogen content was measured by Fourier transform infrared spectrometry (FTIR). On-wafer electrical analyses were carried out with a particular attention to leakage current characterization and breakdown voltage measurements.
Lower voltage resistance of PZT capacitors was observed with SiH4 passivation layer in comparison with TEOS layers. Films passivated at 400°C with a low deposition rate exhibit a low breakdown voltage and high dc leakage currents achieving 2.43nA@10VDC. Improvement in degradation was obtained by performing the N2O plasma treatment since these currents have been reduced by two orders of magnitude. We demonstrated that N2O plasma allow the [Si-O] bond content, previously affected by the adhesion treatment, to be recovered. In the full-length paper, the relationship between FTIR spectra and electrical properties will be described in details. Conduction mechanisms will also be discussed.
9:00 AM - QQ8.11
Modeling and Characterizing the Optical Properties of Solar Selective Coatings
Irene Heras 1 Gonzalo Rincon 1 Elena Guillen 1 Ramon Escobar Galindo 1
1Abengoa Research Sevilla Spain
Show AbstractThe design of solar selective coatings for photo-thermal conversion applications requires of a complex study of the coating materials layers and substrate. In particular, this type of coatings must have suitable optical properties (high solar absorptance in the solar spectrum and low thermal emittance in the infrared wavelength range) and should be stable at high temperatures and have good adhesion between layers as well.
To obtain the ideal configuration of the coatings deposited using physical vapor deposition approaches, optical simulations have been carried out. The computer simulation program CODE has been used to calculate solar absorptance and thermal emittance from the simulated reflectance spectrum of various multilayers coatings combinations. The optical properties of the heterogeneous composite material were simulated with different effective medium theories which average the dielectric function of the components of the composite. This allows the composite system to be treated as an effective medium.
To verify the reliability of those simulations, the optical properties of the deposited coatings were characterized by a combination of spectroscopic ellipsometry, UV-VIS spectrophotometer and FTIR measurements. With these techniques is possible to obtain the optical constants and the reflectance spectra in the whole wavelength range of the samples.
Simulated spectra are then compared with the measured reflectance of the deposited films to check the agreement between simulations and experimental results.
9:00 AM - QQ8.12
Inductively Coupled Plasma-Enhanced Atomic Layer Deposition of Platinum Thin Films for In-Situ Metal-Catalyzed Applications
Wen-Hao Cho 1 Bo-Heng Liu 1 Shih-Hao Chan 2 Chi-Chung Kei 1 Chia-Liang Hsu 3 Wei-Chih Peng 3 Ai-Sen Liu 3 Sheng-Hui Chen 2 Chien-Cheng Kuo 2 4 Fong-Zhi Chen 1
1Instrument Technology Research Center, NARL Hsinchu Taiwan2National Central University Jhongli Taiwan3EPISTAR Corporation Hsinchu Taiwan4National Central University Chung-Li Taiwan
Show AbstractPlatinum has a stronger catalytic ability for hydrocarbon decomposition especially for graphitization. Therefore, the properties of Pt films have significant effect on the growth of subsequent graphitization. In this study, Pt thin films were deposited on the quartz substrates by inductively coupled plasma-enhanced atomic layer deposition using (methylcyclopentadienyl) trimethyl platinum (MeCpPtMe3) as the Pt precursor. In this PEALD process, the Ar/O2 reaction plasma was applied to decompose the ligands of MeCpPtMe3 at various substrate temperatures between 150oC to 300oC. The Pt nano-particles were easy to obtain at higher working pressure around 2 torr. In contrast, the lower pressure is suitable for the formation of Pt films. During the PEALD process, the pressure ratio of Ar/O2 was maintained a constant. The growth rate of Pt thin films is about 0.04 nm per cycle. The Pt thin films 4f XPS spectra consist with the Pt 4f data that revealed the metallic Pt deposited at 200oC on Si wafer at a plasma power of 400 W. The resistivity of the Pt films deposited with 200 cycles was 1.62 ×10-5 Omega;-cm. The microstructure and surface morphology were observed by using transmission electron microscopy and atomic force microscopy, respectively.
9:00 AM - QQ8.13
Nanocrystalline 3C-SiC Film as Electrochemical Sensor for Heavy Metal Ion Detection
Shih-Ming Chiu 1 Chi-Young Lee 1 Hsin-Tien Chiu 2 I-Nan Lin 3
1National Tsing Hua University Hsinchu Taiwan2National Chiao Tung Univ Hsinchu Taiwan3Tamkang Univerisity New Taipei City Taiwan
Show AbstractNanocrystalline 3C-silicon carbide (SiC) film is fabricated by microwave plasma enhanced chemical vapor deposition (MPECVD), in which the processing pressure plays an important role to the growth direction of 3C-SiC film. In low processing pressure the 3C-SiC film is polycrystalline without prefer orientation, whereas, in high processing pressure, the 3C-SiC film grow along (111) direction. These films with good electric conductivity about 160 Omega;-1 cm-1 act as a novel electrode material for heavy metal ion detection due to their good electrochemical stability. They display a wide potential window of ~3 V in sulfuric acid. For both Cu2+ and Pb2+
detection, differential pulse voltammetry (DPV) measurement exhibits a detection limit of 1 ppm. Therefore, nanocrystalline 3C-SiC film is a promising material for electrochemical sensor.
9:00 AM - QQ8.14
In-Situ Spectroscopic Ellipsometry Study of Oxidation of Ag\Al2O3 Thin Films During Exposure to Oxygen Plasma
Amir Afshar 1 Kaveh Ahadi 1 Kenneth Charles Cadien 1
1University of Alberta Edmonton Canada
Show AbstractPlasma-enhanced atomic layer deposition (PEALD) of oxides has several advantages over thermal ALD (TALD) due to lower ALD temperature window, and higher density and purity of oxides. However, PEALD of oxides on metals are challenging due to the oxidation of the metallic substrates and formation of native oxides during the plasma pulse. The formation of the native oxide would limit application of PEALD for fabrication of metal-insulator-metal (MIM) diodes and metamaterials. In this work, we show that covering the silver (Ag) thin film with 4nm TALD alumina (Al2O3) layer prevents oxidation of the metallic layer during the PEALD process. We use in-situ spectroscopic ellipsometry to investigate the effect of the alumina thickness (0 - 6nm) and temperature (100 - 250 °C) on the oxidation behavior of underlying Ag layer during exposure to oxygen plasma.
20 nm Ag layers are sputtered on piranha cleaned silicon (100) wafers. The wafers are then transferred to an ALD chamber thorough a load-lock (< 10-7 torr) without exposing to the air. TALD Al2O3 are deposited by sequences of 0.02s TMA-5.00s Ar purge-0.50s H2O-10.00s Ar purge at 100 °C. Bare Ag and Ag\Al2O3 samples are then exposed to oxygen plasma while the oxide formation is monitored in-situ with a spectroscopic ellipsometer. Atomic force microscopy (AFM) and scanning electron microscopy (SEM) are used to study the microstructure and morphology of the thin films before and after the oxidation. The bare silver thin films are instantly oxidized within the first few seconds of exposure to oxygen plasma. However, a 4nm TALD Al2O3 completely prevents oxidation of silver during the exposure to the plasma. By applying appropriate optical models to the metal, the TALD oxide, and silver oxide, the mechanism of the oxidation of silver is determined and the diffusivity of oxygen in TALD Al2O3 is calculated.
9:00 AM - QQ8.15
Arc Plasma and Laser Processing of Novel CaO Added Mg Alloy
Cheolhee Kim 1
1KITECH Incheonsi Korea (the Republic of)
Show AbstractRecently novel “ECO” Magnesium alloy has been suggested as CaO added Mg alloys, which has oxidation and evaporation resistance during melting process even without Beryllium element or toxic protection gas such as SF6. The previous studies revealed that CaO addition could bring about formation of Mg2Ca and Al2Ca phases in Mg alloy, and these phases could improve materials properties. The researches for ECO Mg alloy are still continuing and its application is evolving.
In this study, arc plasma and laser processing characteristics was investigated. During arc plasma and laser processing accompanied by melting, metal vapor and plasma generation is an obstacle to develop and stabilize new processes. Melting behavior and interaction with arc plasma and laser were analyzed by using high speed photography during arc plasma and laser processing of ECO Mg wire and plate. Vapor and plasma generation was successfully controlled by virtue of excellent evaporation resistance of ECO Mg alloy.
9:00 AM - QQ8.16
Numerical Simulations for Feature Profile Formation during Plasma Etching
Chang Zhong-Long 1 Kun-Dar Li 1 Jiann-Shing Jeng 1
1National University of Tainan Tainan Taiwan
Show Abstract
Plasma etching is widely used to manufacture integrated circuit in the semiconductor industry. With the growing requirement of device density, advanced plasma etching techniques is a key to increase the patterning capability. In this study, a phase field model was established to investigate the feature evolution during the plasma etching. The mechanisms including physical and chemical reactions are considered in the theoretical model. The experiment parameters, such as ion flux, ion energy, adsorption rate and temperature are all involved in the kinetics during profile formation. In the results, the evolutions of surface morphology by the plasma etching are presented, and various surface structures can be obtained for different conditions. The quantitative analysis on the simulation results, such as surface roughness, and characteristic wavelength of surface structures are also examined. The numerical simulations provide a better understanding on the mechanisms of plasma etching process, and a guidance to control the feature morphology with different characteristic structures.
9:00 AM - QQ8.18
Toward Uniform Deposition of Zirconium Dioxide Layer by Plasma-Enhanced Chemical Vapor Deposition under Atmospheric Pressure
WooSeok Kang 1 2 Jae-Ok Lee 1 Min Hur 1 Young-Hoon Song 1 2
1Korea Institute of Machinery amp; Materials Daejeon Korea (the Republic of)2Korea University of Science amp; Technology Daejeon Korea (the Republic of)
Show AbstractAchieving uniform layer by plasma-enhanced chemical vapor deposition (PECVD) under atmospheric pressure has been a challenging topic. Here we report a mechanism of atmospheric-pressure PECVD to enhance uniformity of zirconium dioxide (ZrO2) deposition layer.
Based on the dielectric barrier discharge (DBD) concept, a novel reactor with L-shaped electrodes was developed for generation of homogeneous plasmas in a deposition system consisted of the plasma reactor, process chamber, gas supply/exhaust, and a substrate stage which exhibits sweeping motion.
By optical and electrical measurements, we found that the precursor, tetrakis(ethylmethylamido)zirconium (TEMAZr), contributes to sustain homogeneous plasmas. When the stage is in stationary mode, various deposition steps were observed along the gas flow direction. The deposition steps were closely related to the depletion of precursor under flow dependent phenomena. By changing the gas flow streamlines under moving substrates, thin uniform film growth was achieved. Detailed deposition mechanism will be discussed comparing economic and technical aspects of the developed atmospheric-pressure PECVD concept comparing to the conventional low-pressure PECVD.
9:00 AM - QQ8.19
Effect of Plasma Polymerized Zinc Oxide Interlayer on the Water Vapor Barrier Properties of Polyethylene Naphthalate Film
Jun Yong Song 1 Jung-Yong Kim 1 Hee-Jin Lee 1 Won-Kook Choi 2 Sung Ryong Kim 1
1Korea National University of Transportation Chungju Korea (the Republic of)2Korea Institute of Science and Technology Seoul Korea (the Republic of)
Show AbstractZinc oxide (ZnO) thin films were deposited on polyethylene naphthalate (PEN) substrate by plasma polymerization and the effects of ZnO middle layer on the water vapor transmission rate (WVTR) were investigated. Diethylzinc were used as precursor and oxygen and argon gases were used as reaction gas and carrier gas, respectively. The deposition rate, surface morphology, WVTR of ZnO thin films were characterized as a function of the equivalence ratio and substrate temperature. The deposition rate of ZnO film was decreased with increasing substrate temperature and oxygen flow rate. Also, the crystalline structure was varied with the equivalence ratio and substrate temperature. WVTR of single layer ZnO was higher than that of single layer SiOx. However, multi-layered structure of SiOx/ZnO/SiOx/PEN system showed a significantly improved WVTR.
QQ6: Plasma Surface Interactions
Session Chairs
Stefan Facsko
Richard Mark Bradley
Thursday AM, April 09, 2015
Marriott Marquis, Yerba Buena Level, Salon 4/5
9:30 AM - *QQ6.01
Nanoscale Patterns Produced by Concurrent Deposition and Ion Bombardment
Richard Mark Bradley 1
1Colorado State University Fort Collins United States
Show AbstractBombarding a solid with a broad ion beam can produce a remarkable variety of nanoscale patterns on its surface. The spontaneous emergence of these patterns is not just fascinating in its own right, since in the future ion bombardment may prove to be an important tool in the fabrication of nanostructures.
The deposition of impurities during ion erosion of a solid has a profound and unforeseen effect on the patterns that are formed. Recently we advanced a theory that shows that if the impurity flux exceeds a critical value, a surface that would otherwise remain flat develops into a disordered array of nanodots, in agreement with experiment [1]. We have also demonstrated that oblique-incidence impurity deposition during ion bombardment can lead to surface ripple formation through a new physical mechanism that stems from the interaction between the surface composition and morphology [2].
An analogous theory applies to the ion-assisted deposition of a binary material [3]. During this process, the ion beam can induce the formation of nanoscale ripples on the surface of the growing thin film and compositional banding within its bulk. We have shown that this remains true even if the usual sources of instability (the curvature dependence of the sputter yields, ballistic mass redistribution and phase separation) are absent or negligible. Depending on the angles of incidence of the two atomic species, the incident flux of atoms with the higher sputter yield can either stabilize or destabilize the initially flat surface of the thin film.
[1] R. M. Bradley, Phys. Rev. B 83, 195410 (2011).
[2] R. M. Bradley, Phys. Rev. B 85, 115419 (2012).
[3] R. M. Bradley, J. Appl. Phys. 114, 224306 (2013).
10:00 AM - QQ6.02
Submicronic Etched Features of Silicon with High Aspect Ratio Obtained by Cryogenic Plasma Deep-Etching through Perforated Polymer Thin Films
Alexane Vital 1 2 Mohamed Boufnichel 3 Remi Dussart 2 Nicolas Gosset 2 Philippe Lefaucheux 2 Christophe Sinturel 1 Thomas Tillocher 2 Marylene Vayer 1
1CRMD CNRS/Universiteacute; d'Orleacute;ans Orleacute;ans France2GREMI CNRS/Universiteacute; d'Orleacute;ans Orleacute;ans France3STMicroelectronics Tours France
Show AbstractThe minimal dimensions of the elementary etched features attained in microelectronic have been continuously reduced over the recent years, reaching in the most advanced methods only a few ten of nanometers. This necessity has been driven by the miniaturization of the devices, increasing in the meantime their capabilities like processing speed or memory capacity. For some specific applications like 3D integration (Through-Silicon-Vias (TSV) used as electrical interconnects for die stacks in 3D packaging, or high specific capacities 3D capacitors), the problem is even more challenging because the shrinking of critical dimensions in pattern transfer is combined to the necessity of reaching high aspect ratio structures. In this paper, we will present a robust process capable to produce submicronic etched features with high aspect ratio. This work is twofold, and focuses on the elaboration of new type of nanomasks (i.e. masks with submicronic apertures) as well as the conditions of etching.
We will report a simple preparation of nanomasks which is not based on full top-down approaches such as conventional lithographic process that faces today resolution and cost limitations. Alternatively, we used laterally phase separated polymers thin films (30 to 100 nm thick) obtained from immiscible polymer blends of poly(styrene) PS and poly(lactide) PLA, with a composition asymmetry (PS being the major component), simply spin-casted onto a silicon substrate. Despite the relatively high incompatibility of the two polymers, submicronic domains as small as 70 nm in size were obtained in the film. The selective extraction of the minor components (PLA) easily led to the formation of a perforated layer of PS at the top of the silicon substrate, and was further used as a mask for the selective etching of the silicon. For that purpose, we used a cryogenic etching process where the silicon substrate was cooled at a cryogenic temperature (~-120°C) and exposed to a monocyclic SF6/O2 plasma. The SiOxFy passivation layer, which prevents lateral etching and thus promotes vertical etching, is stable only at low temperature. With etching conditions close to the limit of black silicon onset (which appears in over-passivating regime), it was possible to etch anisotropic profiles with vertical sidewalls and minimal defects. Feature size of 300 nm large and 2.1 µm deep (i.e. an aspect ratio of 7) were obtained in these conditions. We determined that the selectivity of the polystyrene mask was (30:1), with a silicon etched rate of 2.5 µm/min. The selectivity of these masks was further increased when using the inorganic replicas of the polymer template (50:1) or with chemical modifications of the PS matrix like fluorination or staining by RuO4 (80:1), allowing for enhanced aspect ratio etched features. Modified etching processes (such as STiGer process) were also used in order to improve the reproducibility and robustness of the method.
10:15 AM - QQ6.03
Novel Approach to Generate Nitrogen-Doped Graphene: Microwave Plasma and Reduction Expansion Synthesis
Pedro J. Arias-Monje 1 2 Sarath Menon 1 Hugo Zea 2 Claudia C. Luhrs 1
1Naval Postgraduate School Monterey United States2Universidad Nacional de Colombia Bogota Colombia
Show Abstract
Nitrogen-doped graphene sheets with controlled amounts of dopant were produced by combining the advantages of using a Microwave Plasma system with those of employing a Reduction Expansion agent.
Plasma methods have been widely used to modify surfaces and, with a lesser extent, to produce individual particulates. Spherical metals, alloys, nitrides, oxides, core-shell structures with diverse compositions and graphene sheets, among others, have been produced by atmospheric pressure microwave plasma (ATP) approaches. The quality of the plasma self standing particulates seem to be outstanding, however, the yields tend to be very low. The Reduction Expansion Synthesis (RES) method employs agents that decompose producing reducing gases that provide a local shock that helps exfoliate or pulverize the product while generating reduced forms of the original precursors. The process can achieve high yields but the products seem to form agglomerates with relatively low surface areas.
In this study graphite oxide (GO) and urea were used as precursor materials to generate nitrogen-doped sheets of graphene. Diverse amounts of urea mixed with GO were processed by combining them with a carrier gas and sending them through the discharge zone of a microwave argon plasma system working at atmospheric pressure to generate samples with controlled levels of doping. The doped graphene samples were characterized by XRD, TEM, SEM, EELS and the surface areas determined by BET methods. The later showed that the surface area values for these samples double those of similar sheets produced only by RES methods, while their yields greatly surpassed those of plasma generated graphene sheets. This remarkable result places the plasma - RES combination as a premier approach for the generation of functionalized graphene.
10:30 AM - *QQ6.04
Nanoripple Patterning under Medium Energy Implantation using Metal Foreign Atoms
Andres Redondo-Cubero 1 Francisco Javier Palomares 3 Katharina Lorenz 2 Arndt Muecklich 4 Rene Huebner 4 Luis Vazquez 3
1Autonomous University of Madrid Madrid Spain2Univ Tecnica-Lisboa Sacavem Portugal3CSIC Madrid Spain4Helmholtz-Zentrum Dresden-Rossendorf Dresden Germany
Show AbstractIon beam sputtering (IBS) is a universal phenomenon that can be used for the production of nanopatterns in a wide range of materials and scales. Many semiconductor systems are suitable for this kind of processing, but Si is certainly the most studied one due to its technological relevance and mono-elemental nature [1]. In the last years, the key role of metal impurities for the initial formation of the pattern has been clearly established [2], changing the field in a significant way. Still, several questions remain open, such as the segregation effect of metal silicides [3], the relevance of preferential sputtering for the different metal species [4], or the threshold metal concentration needed for nanopatterning at given experimental conditions. Most of these works are restricted to low energetic beams (0.5-5 keV) produced with conventional ion guns and different set-ups to induce indirect metal co-deposition [5]. However, in order to have an appropriate control of the metal species more dedicated systems, where metal could be also directly incorporated, are becoming essential.
In this communication, we will present our recent experimental works on IBS nanopatterning of Si at medium energies (40 keV) with simultaneous metal incorporation [6]. In order to understand the influence of the metal on the pattern formation we study three different experimental systems produced with (a) direct metal implantation, (b) indirect metal co-deposition, i.e., with simultaneous irradiation of a metallic plate adjacent to the target, and (c) with non-metal implantation (used as a reference). In all cases, irradiation was carried out in a high-flux ion implanter using an incidence angle of 600 with respect to the target surface normal and for different ion fluences. The dynamics of the pattern is studied using atomic force microscopy (AFM) to characterize the pattern morphology, and particularly to quantify the surface roughness and pattern wavelength. Metal content was determined with Rutherford backscattering spectrometry and the formation of silicides mapped with X-ray photoelectron spectroscopy. In addition, we performed current sensing AFM as well as transmission electron microscopy analysis of the metal containing samples in order to disclose the formation of any compositional pattern and its eventual correlation with the morphological one. We will discuss the main differences arising from the different metal incorporation paths, paying special attention to effects such as geometrical shadowing, the threshold contents required to trigger the pattern in every case and the formation of metal silicides.
[1] J. Muñoz-García et al., Mater. Sci. Eng. R-Rep. 86, 1 (2014)
[2] C. Madi et al., Phys. Rev. Lett. 101, 246102 (2008)
[3] M. Engler et al., Nanotechnology 25, 115303 (2014)
[4] R. Gago et al., Nanotechnology 25, 415301 (2014)
[5] K. Zhang et al., Nanotechnology 25, 085301 (2014)
[6] A. Redondo-Cubero et al. Phys. Rev. B 86, 085436 (2012)
11:30 AM - QQ6.05
Plasma-Reactor Wall Interactions: Bromine-Fluorine Chemistry Duality In An Industrial Dry Etch Process
Maria Rizquez 1 2 Agnes Roussy 1 Benjamin Bortoloti 2 Jacques Pinaton 2 Yoann Goasduff 2
1EMSE-CMP Gardanne France2ST Microelectronics Rousset France
Show Abstract
Successful integrated circuits require several process steps in semiconductor manufacturing. One of the most critical steps is plasma etching, where the difficulty arises from the complexity of the mechanism itself. The control of this step in an industrial process fabrication is very important to achieve a good wafer-to-wafer reproducibility which is highly related to the conditioning of the reactor walls. Developed by Joubert and al [1], the Floating Sample technique enables us to characterize the deposits formed on the reactor walls during the process. This quasi-in-situ characterization is performed by using X-ray Photoelectron Spectroscopy (XPS) to analyze a sample simulating the reactor wall conditions. The sample is set on the top of the wafer to be etched by small Kapton rolls creating a space large enough to be electrically floating to ensure that the bias on the sample surface is the same to the ion bombardment on the wall.
We have implemented this method for the first time in an industrial environment (ST Microelectronics Rousset site) without opening the equipment and hence without spoiling the chamber, allowing us to understand the reactions which are certainly happening inside. This study is aimed to understand the reactions AlFx throughout an industrial process which is divided in two steps, where the chemistries are CF4/CH2F2 followed by HBr/O2. Based on our previous studies [2], where traces of F has been detected through the plasma and over the wafer even during the second chemistry, we analyzed the formation of coatings on the Al2O3 chamber regarding the reactions produced between Al and F which have been responsible of the AlFx. To this end, we characterized the coating on the walls after each step of the process including the cleaning between wafers based on SF6/O2 in order to figure out the level of F after each step and to understand the reminiscence of this element even by using O2.
Using physics laws as Child-Lagnmuir, chemical analyses as XPS and the process characteristics during plasma etching, we have performed some trends between Al and F that allow us to describe the reactions mechanism. Moreover, since this presence of F during all the process is the source of process drift and wafer to wafer non-reproducibility, this study is the starting point to propose new plasma cleaning strategies.
REFERENCES
[1] O. Joubert, G. Cunge, B. Pelissier, L. Vallier, M. Kogelschatz, and E. Pargon, “Monitoring chamber walls coating deposited during plasma processes: Application to silicon gate etch processes,” J. Vac. Sci. Technol. A Vacuum, Surfaces, Film., vol. 22, no. 3, p. 553, 2004.
[2] M. Rizquez, A. James, A. Roussy, J. Pinaton, and Y. Goasduff, “Comparison study between optical emission spectroscopy and x-ray photoelectron spectroscopy techniques during process etch plasma,” IEEE, ASMC 25th Annual SEMI, pp. 417-422, 2014.
11:45 AM - QQ6.06
Incorporation of Atoms in Nanomaterials Using the Flowing Afterglow of Microwave Plasmas at Reduced-Pressure
Luc Stafford 1 Leron Vandsburger 1 Richard Leonelli 1 Jason Ferrara 1
1Universiteacute; de Montreacute;al Montreacute;al Canada
Show AbstractIn conventional low-pressure plasma reactors used for deposition and etching of thin films in the microelectronic and optical industries, energetic ions accelerated in the sheath surrounding the sample are known to produce extensive defects in materials following their impact with the surface. For treatments of sensitive nanomaterials such as nanowires, nanolayers or macromolecular nanostructures, these highly energetic species are too aggressive, making plasma-based processes inappropriate. In this context, there is a need for new, plasma-based methods for post-growth functionalization of nanomaterials. The unique combination of N atoms and N2 metastable species with minimal populations of charged species in the flowing afterglow of microwave plasmas at reduced pressure is a promising medium for such applications [1]. In this context, InGaN/GaN dot-in-a-wire nanostructures and graphene samples have been successfully treated, with minimal damage being caused on both crystalline and molecular structures. The most significant achievement of this study is that plasma-generated N atoms can be covalently added into nanomaterials, without inducing excessive damage or rearrangement to the host structure, under conditions that are easy to maintain and with minimal energy requirements. Maintaining the native structure is exceedingly important, for preserving the desirable properties of the host material, such as thermal or electrical conductivity.
More precisely, InGaN/GaN dot-in-a-wire nanostructures were exposed to the flowing afterglow of a microwave N2 plasmas and then analyzed by photoluminescence measurements. While the band edge emission from GaN nanowires and the GaN matrix of the InGaN/GaN nanowires decreased due to the creation of non-radiative recombination centers in the near-surface region, the emission from the InGaN dots strongly increased. Photoluminescence excitation measurements indicate that such an increase cannot be explained by a plasma-induced shift of the GaN absorption edge. It is rather ascribed to the passivation of grown-in defects and ultrafast dynamic annealing due to the presence of plasma-generated N atoms and N2 metastables. We have also examined the potential of this approach for post-growth doping of pristine graphene. Samples were exposed to the flowing afterglow and plasma-induced modification was analyzed by XPS and Raman, and modeled by molecular dynamics and DFT calculations. Aromatic and chemisorbed surface N groups are uniformly introduced directly into graphene films in a mechanism that is facilitated by three-body surface reactions, energized by the de-excitation of N2 metastable species.
[1] L. Stafford, L . Vandburger, R. Leonelli, J. Afonso-Ferreira, METHODS FOR ATOM INCORPORATION INTO MATERIALS USING A PLASMA AFTERGLOW, U.S. Provisional Patent Application 62/028789
12:00 PM - *QQ6.07
Interaction of Highly Charged Ions with Surfaces and Nanomembranes
Stefan Facsko 2 Richard Wilhelm 2 Elisabeth Gruber 1 Robert Ritter 2 Rene Heller 2 Fritz Aumayr 1
1TU Wien - Vienna University of Technology Vienna Austria2Helmholtz-Zentrum Dresden-Rossendorf Dresden Germany
Show AbstractHighly charged ions (HCI) release a large amount of potential energy (the stored ionization energy) when interacting with solids. This energy is deposited into a very small volume directly at the surface via multiple charge exchanges on a fs time scale leading to a highly excited electronic system. Especially ionic crystals have shown a predisposition to potential energy effects due to their low conductivity and their strong electron phonon coupling. On CaF2 surfaces the formation of hillocks induced by the potential energy of a single highly charged Xeq+ ion has been observed for charge states higher than q > 27. The formation of these hillocks can be attributed to local melting [1]. In contrast, on surfaces of KBr one monolayer deep pits are formed by defect mediated desorption also showing a threshold behavior in the pit formation [2].
The interaction of HCI with thin membranes is particularly interesting because the pre-equilibrium interaction regime can be accessed for thicknesses below a few nm. In 1 nm carbon nano membranes (CNM) for instance, holes are produced by the passage of highly charged Xeq+ ions [3]. For the formation of these holes a threshold in the potential energy of the HCI exists that depends on the kinetic energy. In order to elucidate the formation mechanism we examined the charge state and the energy loss of the highly charged Xe ions after their passage through the CNM. Surprisingly, two distinct exit charge distributions were observed [4]. Part of the ions are passing the membrane with almost now charge loss, whereas the other part looses most of their charge. Apparently, the measured charge distribution reflects two different impact parameter regimes. Ions with trajectories far away of any C atom of the membrane can stabilize only few electrons and exit therefore in a high charge state, whereas ions with trajectories close to a C atom can capture a large amount of electrons and exit the membrane in a low charge state. The different impact parameter regimes are also connected to different energy losses: ions with large impact parameters are practically not stopped, whereas ions in close collisions exhibit high stopping force which is strongly dependent on the incident charge state.
[1] A. El-Said, R. Wilhelm, R. Heller, S. Facsko, C. Lemell, G. Wachter, J. Burgdorfer, R. Ritter, and F. Aumayr, Phys. Rev. Lett. 109, 117602 (2012).
[2] R. Heller, S. Facsko, R.A. Wilhelm, and W. Moller, Phys. Rev. Lett. 101, 096102 (2008).
[3] R. Ritter, R.A. Wilhelm, M. Stöger-Pollach, R. Heller, A. Mücklich, U. Werner, H. Vieker, A. Beyer, S. Facsko, A. Gölzhäuser, F. Aumayr, Appl. Phys. Lett. 102, 063112 (2013).
[4] R.A. Wilhelm, E. Gruber, R. Ritter, R. Heller, S. Facsko, F. Aumayr, Phys. Rev. Lett. 112, 153201 (2014).