Meetings & Events

Publishing Alliance

MRS publishes with Springer Nature

 

 

spring 1997 logo1997 MRS Spring Meeting & Exhibit

March 31 - April 4, 1997 | San Francisco
Meeting Chairs: Linda G. Griffith-Cima, David J. Eaglesham, Alexander H. King

Symposium D—Gallium Nitride and Related Materials

Chairs

Cammy Abernathy, Univ of Florida 
Hiroshi Amano, Meijo Univ
John Zolper, Sandia National Laboratories

Symposium Support

  • Office of Naval Research
  • Army Research Office

Proceedings published as Volume 468
of the Materials Research Society
Symposium Proceedings Series.

In the sessions below "*" indicates an invited paper.

SESSION D1: GROWTH: MECHANISMS 
AND CHARACTERIZATION 
Chairs: Hiroshi Amano and John C. Zolper 
Tuesday Morning, April 1, 1997
Salon 7

8:30 AM *D1.1 
MOCVD GROWTH OF GROUP-III NITRIDES FOR HIGH QUALITY PHOTONIC AND ELECTRONIC DEVICES, Steven P. DenBaars, S. Keller, Univ of California-S Barbara, Dept of Materials, Santa Barbara, CA; Yi-Feng Wu, Peter Kozodoy, Amber C. Abare, Univ of California-S Barbara, Dept of E&CE, Santa Barbara, CA; Y. Wu, M. Minsky, Kehl Sink, Univ of California-S Barbara, Dept of Materials, Santa Barbara, CA; Michael P. Mack, Univ of California-S Barbara, Dept of E&CE, Santa Barbara, CA; D. Kapolnek, Univ of California-S Barbara, Dept of Materials, Santa Barbara, CA; John E. Bowers, Univ of California-S Barbara, Dept of E&CE, Santa Barbara, CA; L. A. Coldren, Univ of California-S Barbara, Depts of Materials, Santa Barbara, CA; Evelyn L. Hu, Univ of California-S Barbara, Dept of E&CE, Santa Barbara, CA; J. S. Speck, Univ of California-S Barbara, Dept of Materials, Santa Barbara, CA; Umesh K. Mishra, Univ of California-S Barbara, Dept of E&CE, Santa Barbara, CA.

MOCVD growth conditions have been found to have a critical influence on the performance of electronic and optoeletronic devices. Nitridation of the sapphire surface and deposition conditions of the low temperature buffer layer was found to highly influence the performance of GaN/AlGaN modulation doped field effect transistors (MODFETs). Using optimized growth conditions we have observed high 2DEG mobilities or 1500 cm/V*sec and 6000 cm/V*sec at 300 K and 20 K, respectively. These materials have been incorporated into modulation doped field effect transistors (MODFETs) which displayed high transconductance (140 mS/mm), and large gate to drain breakdown of 340 V for Lgd = 3 microns. The sheet carrier density was 8(10)12 cm which resulted in a high channel current of 60 mA/mm. Microwave power performance of 1.1 W/mm at 2 GHz was measured, which is quite promising given that the device was uncooled and deposited on sapphire. Multiple quantum well (MQW) indium gallium nitride (InGaN) light-emitting diodes (LEDs) have also been grown and emit bright blue direct gap luminescence at a peak wavelength of 450 nm. The luminescence peaked at 450 nm and the external quantum efficiency was 4.5 for the MQW structure which is among the highest reported for GaN LEDs.

9:00 AM D1.2 
HOW DOES GaN GROW?, Daniel David Koleske, Naval Research Laboratory, Electronic Sci & Technology Div, Washington, DC; Alma E. Wickenden, Naval Research Laboratory, Electronic Science & Tech Div, Washington, DC.

Optimization of GaN growth is currently achieved by empirically varying the growth parameters to optimize the physical characteristics of the GaN. This iterative process can be lengthy, and once achieved the physical characteristics of the resulting GaN films may still vary from run to run. In this presentation, a relationship will be demonstrated between the V/III molar flux ratio used for growth, the growth temperature, and resultant surface morphology [1], thereby linking two of the growth parameters to the film morphology. This relationship was established using the V/III ratios and growth temperatures from our work and 37 other references. Extending this relationship, a growth mechanism is proposed for GaN based upon growth parameters including V/III molar flux, temperature, and parameters which describe GaN decomposition [2, 3], Ga and N surface desorption, and Ga surface diffusion [4]. Using these parameters, we show that at temperatures above 800 °C, GaN growth occurs simultaneously with GaN decomposition, and that the V/III molar flux ratio must be greater than the V/III molar desorption ratio to achieve specular GaN growth. As the V/III molar desorption ratio is greater than 1000 for temperatures above 1020 °C, the large V/III molar flux ratio used in MOVPE growth of GaN is necessary to replace the N desorbing from the surface, and not because the N in NH3 is only activated at high temperatures. Consequences of the proposed growth mechanism relating to crystalline ordering of the lattice and incorporation of N vacancy defects in the film will also be presented.

9:15 AM D1.3 
IMPURITY CONTAMINATION OF GaN EPITAXIAL FILMS FROM THE SAPPHIRE, SiC AND ZnO SUBSTRATES, Galina Popovici, W. Kim, A. Botchkarev, H. Tang, H. Morkoc, Univ of Illinois-Urbana, Coordinated Science Lab, Urbana, IL.

The lack of an ideal substrate presents a major problem in GaN growth. Good quality GaN layers have been grown heteroepitaxially on sapphire (AlO), 6H-SiC, ZnO in an effort to optimaze layer performance. Using substrates other than native GaN substrate presents not only formidable challenges in terms of: the lattice and thermal mismatch, but also a contamination from substrates during growth. While the influence of the thermal and lattice mismatches of non native substrates on the GaN crystal structure and defect content have been studied extensively, there are no, for our knowledge, reports on the possible contamination of the GaN layers from the substrates during growth. In the current investigation, the contamination of GaN films by impurities from the AlO, SiC and ZnO substrates during growth has been studied by secondary ion mass spectrometry. analysis. The amount of all measured impurities is larger near the interface with the substrate within the distance of 0.3-0.4 mm. This near the substrate region has many dislocations and stacking faults as determined by TEM. This highly defective region allows impurities to incorporate more readily in the as compared to the equilibrium solubility in a perfect crystal at a given temperature. SIMS measurements in GaN layers grown on SiC and sapphire showed large amounts of Si and O, respectively, within a region wider than the highly disordered near the interface pointing to the possibility of the impurity diffusion from the substrate during growth. The qualitative trend observed is quite clear and significant. This result points once more to the necessity of the developing native GaN substrates.

10:00 AM D1.4 
RELIABLE, REPRODUCIBLE AND EFFICIENT MOCVD OF III-NITRIDES IN PRODUCTION SCALE REACTORS, R. Beccard, O. Schon, Bernd Wachtendorf, Ditmar Schmitz, Holger Jurgensen, Egbert Woelk, Aixtron GmbH, Aachen, GERMANY.

The worldwide demand for Ultra-High-Brightness blue and green LEDs has driven the development of MOCVD for Al-Ga-In-N alloy systems toward efficient multiwafer technology. We present a class of MOCVD reactors with loading capacities between one and seven 2-inch wafers. Both reactors and processes are strictly designed for the mass production of LED structures. Key features of the reactors are: flexibility in the choice of the carrier gas in each single step of the structures, extremely low thermal mass in order to allow quick adjustment of different growth temperatures for each layer, and real two-flow injection of the group III and group V reactants to minimize undesired prereactions. The MOCVD process is also designed in a way to ensure maximum reliability and reproducibility. Especially the initial deposition steps which are commonly known to have a great influence on the layer quality have been optimized This results in an excellent reproducibility from wafer to wafer, run to run, and machine to machine. The design provides material with abrupt interfaces, also while using different substrates like AlO, SiC, Si. Our processes yield device quality GaN with x-ray FWEM of 30 arcsec and excellent PL uniformities better than 1 nm across a 2-inch wafer. Key to the excellent results in the high flexibility of this unique MOCVD process that can be used between 10 and 1,000 mbar, a variety of total flow rates and extremely precise temperature control and uniformity across the entire reactor and the substrates, by means of a multicoil heater system. Using all these flexible parameters in appropriate way allows to adjust the required growth rates and thicknesses in the nucleation layers and the active layers and, furthermore, to obtain the necessary control of the In composition in InGaN. Finally, we present full 2-inch wafer mapping data (High Resolution Photoluminescence Wafer Scanning) revealing the excellent uniformity of the nitride compounds. Wavelength uniformities below 0.5 nm for GaN and 1 nm for GaInN are achieved as well as intensity uniformitites below 10%.

10:15 AM *D1.5 
GROWTH AND CHARACTERIZATION OF In-BASED NITRIDE COMPOUNDS AND THEIR DOUBLE HETEROSTRUCTURES, S. M. Bedair, North Carolina State Univ, Dept of MS&E, Raleigh, NC.

InGaN and AlGaInN compounds play a critical role in the potential applications of the nitride material system. These In-based nitride compounds lag behind their corresponding GaN and AlGaN counterpart due to several problems such as weak In-N bond, In atoms surface segregation, formation of In metal droplets, deep levels with the bandgap, potential lack of solid solubility, and high background doping. The incorporation of In in the growing films is affected by several factors: growth temperature, H and NH flow rates, reactor design, and the partial pressure of the organometallic sources. Optimization of these factors and others that led to device quality InGaN and AlInGaN and AlGaN/InGaN double heterostructures will be presented.

10:45 AM D1.6 
BLUE PHOTOLUMINESENCE FROM InGaN BASED MULTIPLE QUANTUM WELL STRUCTURES GROWN BY PRODUCTION SCALE MOCVD, Matthew J. Schurman, Tom Salagaj, EMCORE Corp, Somerset, NJ; Chuong A. Tran, EMCORE Corp, Dept of R&D, Somerset, NJ; Zhe Chuan Feng, Robert F. Karlicek, Richard A. Stall, EMCORE Corp, Somerset, NJ.

We have grown several different multiple quantum well (MQW) structures consisting of high content InGaN wells (where x > .2) and low content InGaN barriers utilizing production scale MOCVD equipment. Double crystal x-ray diffraction of these structures show clear superlattice fringes indicating the high quality of the well/barrier interfaces both with and without a high temperature GaN cap on top. Photoluminescence (PL) measurements show peak wavelengths from 400 nm - 480 nm for various growth conditions with a typical full width at half max of 25 nm at peak wavelength of 450 nm. Both growth rate and growth temperature have been found to have a pronounced effect on MQW quality and their effect on the appearance or disappearance of defect bands in the luminescence will be discussed.

11:00 AM D1.7 
CHARACTERISTICS OF InGaN FILMS GROWN BY PLASMA-ASSISTED MOLECULAR BEAM EPITAXY, Jae Min Myoung, Univ of Illinois-Urbana, Dept of MS&E, Urbana, IL; Chin Kyo Kim, Univ of Illinois-Urbana, Dept of Physics, Urbana, IL; Kyu-Hwan Shim, Univ of Illinois-Urbana, Dept of MSE, Urbana, IL; Oleg Gluschenkov, Kyekyoon Kim, Univ of Illinois-Urbana, Dept of ECE, Urbana, IL; Sangsig Kim, Stephen G. Bishop, Univ of Illinois-Urbana, Dept of E&CE, Urbana, IL.

Thin (0.1-0.2 m) InN films having good crystalline quality were grown on GaN films by radio-frequency (RF) PAMBE. In order to prevent the dissociation of InN during growth, the film was grown at relatively low temperatures. No In droplets on the film surface were observed, even for films with high In mole fraction. Using cathodoluminescence (CL) imaging, the spatial uniformity of the film luminescence was investigated. Band edge emission from InN films was confirmed by photoluminescence (PL) measurement. By examining the dependence of PL on the escitation laser power density at 6 and 300 K, origins of emissions were also identified. Furthermore, photoluminescence excitation (PLE) measurements were done on InN dilms having different In mole fractions. Based on these analyses, the relation between crystalline quality and optical properties of InN films will be presented.

11:15 AM D1.8 
MOVPE GROWTH AND OPTICAL CHARACTERIZATION OF AlGaN LAYERS ON SAPPHIRE, S. Clur, Univ de Montpellier II, GES, Montpellier 5, FRANCE; O. Briot, Univ de Montpellier II, CNRS-GES, Montpellier, FRANCE; A. Andenet, Y-M Le Vaillant, Univ de Montpellier II, GES, Montpellier 5, FRANCE; Bernard Gil, R. L. Aulombard, Univ de Montpellier II, CNRS-GES, Montpellier, FRANCE.

AlGaN is an important material for the realization of nitride heterostructures, involved in most device designs. We have studied the growth of this alloy using low pressure MOVPE (76 Torr), using triethyl gallium (TEGa), trimethyl-aluminium (TMAl) and ammonia (NH) as precursors. First the solid-gas aluminium segregation was studied in order to calibrate the incorporation of Al in the solid phase. We found that aluminium is more readily incorporated than gallium in the solid, leading to an apparent Al segregation coefficient greater than unity. A simple kinetic model is used to fit the experimental data and is discussed versus structural arguments (bond lengths in AlN and GaN, atomic and ionic radii, ...). Scanning electron microscopy has been used to investigate the morphology of the samples through the whole range of Al content (x = 0 to 0.9), and we observe a clear evolution of the surface features versus aluminium concentration: at low Al contents, small (below 1 um) hexagonal holes are observed while at high Al, acicular features are observed, with a sudden transition between those morphologies around x = 0.5. This is discussed in terms of growth kinetics. Finally, the samples were studied by low temperature (2K) reflectivity and we will report the evolution of the optical quality of samples (x < 0.4) versus growth parameters, as evaluated from the broadening of the observed excitonic transitions in the 2K reflectivity.

11:30 AM D1.9 
SPATIALLY RESOLVED LUMINESCENCE STUDIES OF DEFECTS AND STRESS IN ALUMINUM GALLIUM NITRIDE FILMS, Lawrence H. Robins, NIST, Gaithersburg, MD; Dennis K. Wickenden, Johns Hopkins Univ, Applied Physics Lab, Laurel, MD.

Aluminum gallium nitride (AlGa1-xN) films with compositions from x = 0 to x = 0.333 were characterized by cathodoluminescence scanning electron microscopy (CL-SEM), optical microscopy, and photoluminescence (PL). The films were grown by MOCVD on (00.1) oriented sapphire. Two types of large-scale defects were observed both by optical microscopy and by CL-SEM: networks of microcracks along 3-fold symmetry directions, and hexagonal closed-loop defects. The closed-loop defects occur only in the lower-x films, while the microcracks are most prevalent in the higher-x films. Spatially resolved CL spectra taken near these defects show large shifts in the energy of the band-edge emission peak, which are attributed to inhomogeneous stresses. UV-laser-excited PL spectra were obtained from the top surface and bottom surface (film substrate interface) of each film. The PL spectra were compared to CL spectra obtained at several electron energies from 5 to 30 keV. The results of this comparison suggest that defect densities and stresses are larger near the top and bottom surfaces than in the middle of the films. Spectra of the higher-x films show a monotonic decrease of the peak energy with increasing excitation depth, which may arise from a stress gradient in the direction normal to the surface.

11:45 AM D1.10 
GROWTH OF TERNARY SILICON CARBON NITRIDE AS A NEW WIDE BANDGAP MATERIAL, Li Chyong Chen, C. K. Chen, National Taiwan Univ, Ctr for Condensed Matter Sci, Taipei, TAIWAN; Dhananjay Manohar Bhusari, K. H. Chen, Y. F. Chen, Y. C. Jong, Inst of Atomic & Molecular Sci, Taipei, TAIWAN.

Synthesis of a hypothetical crystalline carbon nitride (c-CN) has attracted significant interest recently. However, growth of pure c-CN with crystal sizes large enough to enable measurement of its properties has not been achieved so far. We report here that incorporation of silicon in the growth of CN can promote formation of large (> 10m), well faceted crystallites. Crystalline thin films of Si-containing CN have been grown by microwave plasma-enhanced chemical vapor deposition, using CH4, NH, and SiHgases. X-ray photoelectron spectroscopy (XPS), Auger electron spectroscopy (AES), and electron microscopies (both SEM and TEM) have been employed to characterize the bonding configuration, the composition, and the structure of the films. The new crystalline ternary compound (C;Si)N consists of a predominantly CN network wherein the Si is believed to substitute some of the C sites. While the N content of the compound is about 50, the extent of Si substitution varies from crystal to crystal. In some crystals, the Si content can be as low as 5. Optical properties of the SiCN compounds have been studied by photoluminescence (PL) and contactless electroreflectance (CER) spectroscopies. From the CER measurement, we determine the direct bandgap of the new crystals. It is found that the compounds have a strong sub-bandgap emission centered around 2.8 eV at room temperature, which can be attributed to the effect of defects containing in the crystals.

SESSION D2: PROCESSING 
Chairs: P. Gillis and Richard J. Molnar 
Tuesday Afternoon, April 1, 1997
Salon 7

1:30 PM *D2.1 
PROCESSING CHALLENGES FOR GaN-BASED PHOTONIC AND ELECTRONIC DEVICES, Stephen J. Pearton, Univ of Florida, Dept of MS&E, Gainesville, FL; John C. Zolper, Randy J. Shul, Sandia National Laboratories, Albuquerque, NM; Fan Ren, Bell Labs, Lucent Technologies, Murray Hill, NJ; A. Katz, EPRI, Palo Alto, CA.

We will outline the remaining processing challenges for advanced high power/high temperature GaN rectifiers, thyristors and blue/UV laser diodes. These include lower specific contact resistance ohmic contacts, especially to p-type material (which might be alleviated with use of pInGaN graded layers), creation of p-n junctions with high breakdown by ion implantation, development of a gate dielectric for MOS-type transistors, avoidance of striations on laser mesa sidewalls during dry etching, high temperature stable Schottky metallization (especially based on W and related alloys) and the continuing search for room temperature, non-electrolytic or photonically enhanced wet etching solutions. The current state of the art in these areas will be outlined, and suggestions for the most promising approaches discussed. The GaN surface appears somewhat more sensitive to process-induced changes, especially during high temperature annealing, PECVD and dry etching than originally envisioned, and may show p-to-n conversion, or become more highly conducting n-type during some of these steps. Approaches for minimizing these changes are also discussed.

2:00 PM D2.2 
EFFECT OF PHOTOASSISTED DRY ETCHING ON THE OPTICAL PROPERTIES OF GaN, R. T. Leonard, North Carolina State Univ, Dept of E&CE, Raleigh, NC; V. Yoshkin, North Carolina State Univ, Dept of MS&E, Raleigh, NC; J. C. Roberts, North Carolina State Univ, Dept of E&CE, Raleigh, NC; S. M. Bedair, North Carolina State Univ, Dept of MS&E, Raleigh, NC.

It has been reported that ion beam etching of GaN can result in reduction of photoluminescence intensity with an increase in surface conductivity. Photoassisted dry etching can offer a potential way to reduce these effects by substituting the ion beam by an energetic photon beam to aid in material removal. GaN (unintentional n-type ) was subjected to an ArF excimer laser (193 nm) and HCl ambient with a background pressure of 0.1 mTorr. The etch rate is 60 /min with a total etch depth of near 1500 is relatively low compared to etch rates and depths from ion beam etching. Photoluminescence from the laser assisted etched material reveals little difference in intensity between the etched and unetched regions. By using the same material for both laser assisted etching and reactive ion beam etching (RIE), a direct comparison between these two processes can be made by eliminating material quality/property differences which can obscure the etch damage assessment. We will report on the optical and electrical properties affected by laser assisted etching as compared to RIE.

2:15 PM D2.3 
PHOTOELECTROCHEMICAL ETCHING OF GaN, C. Youtsey, Ilesami Adesida, Univ of Illinois-Urbana, Dept of E&CE, Urbana, IL; Gary E. Bulman, Cree Research Inc, Durham, NC.

The need for effective processing methods for device fabrication in the GaN material system has grown, as high quality epitaxial layers have recently become available. The wide bandgap and high chemical stability of GaN make it an excellent candidate for high temperature/high power devices and short wavelength emitters and detectors. While conventional dry etching techniques have been successfully applied towards etching of the group-III nitrides, very few wet etchants have been identified, a consequence of the unusual chemical inertness of the nitrides. Room temperature etching of AlN has been reported using AZ200K developer, while InN films may be etched in aqueous KOH and NaOH at elevated temperatures. GaN has been found to etch only very slowly (2 nm/min) in NHOH solutions. Since dry etching processes possess a number of significant drawbacks compared to wet etching techniques (such as the formation of ion-induced damage, difficulty in etching layers selectively, and relative complexity), it is important to explore alternative patterning methods. Photochemical (PEC) etching of GaN has recently been demonstrated by Minsky et al. using KOH solution and HeCd laser illumination (325 nm). Wet PEC etching has been explored for a variety of semiconductor materials and shown to produce high etch rates, good anisotropy, as well as high selectivity between materials of different doping and bandgap. In this work we report on the use of broad-area Hg lamp illumination to achieve PEC etching of GaN and AlGaN materials. Results will be presented for different doping types and their application towards achieving dopant selective etchings of heterostructure layers. Additionally, the significance of material defects on and their influence on the etched surface morphology will be discussed.

2:30 PM D2.4 
ETCH CHARACTERISTICS OF GaN USING INDUCTIVELY COUPLED C1/HBr/Ar PLASMAS, Geun-Young Yeom, Hyeon-Soo Kim, Sung Kyun Kwan Univ, Dept of Materials Engr, Suwon, SOUTH KOREA; Jae-Won Lee, Samsung Advanced Inst of Tech, Photonics Semiconductor Lab, Suwon, SOUTH KOREA; Tae-II Kim, Samsung Advanced Inst of Tech, Materials & Devices Research Ctr, Suwon, SOUTH KOREA; Young-Jun Lee, Sung Kyun Kwan Univ, Dept of Materials Engr, Suwon, SOUTH KOREA; Myung-Cheol Yoo, Samsung Advanced Inst of Tech, Photonics Semiconductor Lab, Suwon, SOUTH KOREA.

Recently, the dry etchings techniques using high density plasmas or chemically assisted ion beam have been reported as promising techniques in the fabrication of GaN-based optoelectronic devices. In this study, GaN epitaxial layers grown by plasma assisted molecular beam epitaxy were etched using inductively coupled ClHBr/Ar plasmas. Cl was used as the main gas and Ar or HBr varied from 0 to 50 was added to etch GaN while the operating pressures were kept less than 20 mTorr. Inductive power was varied from 200 to 600 Watts, and dc self bias voltage from 0 to 150 volts. The GaN etch rates were changed from 100 to 3000 /min as the inductive power, bias voltage, and gas chemistry were varied. The etch selectivities over mask layers (photoresist or SiO) decreased with the increase of inductive power and bias voltage. The results on etch rates and etch selectivities were related to the measured ion density and radical density using a Langmuir probe and quadrupole mass spectrometry. In addition, surface interactions between plasmas and GaN were measured by x-ray photoelectron spectroscopy. By optimizing process conditions, etch profiles with vertical sidewall and low surface roughness were obtained and these etch conditions were applied to the fabrication of mirror facets of GaN laser diode.

2:45 PM D2.5 
CHARACTERIZATION AND CHEMICAL ETCHING OF THE THERMALLY GROWN OXIDE ON GALLIUM NITRIDE, Scott D. Wolter, Suzanne E. Mohney, Debra L. Waltemyer, Pennsylvania State Univ, Dept of MS&E, University Park, PA; Brian P. Luther, Pennsylvania State Univ, Dept of Electrical Engr, University Park, PA; Richard J. Molnar, MIT Lincoln Laboratory, Lexington, MA; Alma E. Wickenden, Naval Research Laboratory, Electronic Science & Tech Div, Washington, DC; Daniel David Koleske, Naval Research Laboratory, Electronic Sci & Technology Div, Washington, DC.

Both oxidation and removal of the thermal oxide are important processing steps in the fabrication of devices from many semiconductors. For GaN, these processes may be useful in the preparation of the GaN surface prior to metallization or in the future for the removal of surface damage from GaN wafers. Unfortunately, very little information about the thermal oxide on GaN is currency available. In this study, thick GaN epilayers and GaN powders were exposed to dry air at 450C, 750C, 900C, 925C, and 950C for periods of 1-25 hours. Following oxidation, the epilayers were analyzed by x-ray photoelectron spectroscopy and glancing incidence x-ray diffraction, and the powders were analyzed by conventional x-ray diffraction. For both the GaN films and powders, significant oxidation was observed at 900C, and the oxide was identified as monoclinic -GaO. In the temperature range 900-950C, linear followed by parabolic growth kinetics were observed. The -GaO/(0001) GaN films prepared by thermal oxidation in dry air were not attacked by NHOH:DI(1:10), HCl:DI(1:1), and HPO:DI(1:1) after even 4 hours, although slow removal of the oxide in HF:DI(1:1) was observed. These studies, as well as ongoing work in our laboratory on the wet oxidation of GaN, will be described.

3:30 PM *D2.6 
PATTERNING OF GaN IN HIGH-DENSITY Cl AND BCl-BASED PLASMAS, Randy J. Shul, Ron D. Briggs, Jung Han, Sandia National Laboratories, Albuquerque, NM; Stephen J. Pearton, Cathy B. Vartuli, Univ of Florida, Dept of MS&E, Gainesville, FL; J. W. Lee, Univ of Florida, Dept of Mat Sci & Eng, Gainesville FL, 32611.

Fabrication of group-III nitride electronic and photonic devices relies heavily on the ability to pattern features with anisotropic profiles, smooth surface morphologies, etch rates often exceeding 1 m/min, and a low degree of plasma-induced damage. Patterning these materials has been especially difficult due to the high bond energies and the relatively inert chemical nature of the group-III nitrides as compared to other compound semiconductors. However, high-density plasma etching has been an effective patterning technique due to ion fluxes which are 3 to 4 orders of magnitude higher than conventional RIE systems. For example, GaN etch rates of 1.2 m/min have been reported in ECR generated ICl plasmas at -150 V dc-bias. ICP and ECR etch systems can effectively decouple ion energy and ion density, thus establishing a highly flexible etch platform where etch characteristics including rate, profile, and selectivity may be more effectively controlled for specific device applications. In this study, we report high-density GaN etch results for ECR- and ICP-generated plasmas as a function of plasma chemistry. Surface roughness and near-surface stoichiometry evaluated from atomic force microscopy and Auger emission spectroscopy, respectively, will be used to evaluate etch results. Optical emission spectroscopy (OES) will also be used to identify plasma species and possible GaN etch mechanisms.

4:00 PM D2.7 
DRY ETCHING OF GaN USING REACTIVE ION BEAM ETCHING AND CHEMICALLY ASSISTED ION BEAM ETCHING, Jae-Won Lee, Myung-Cheol Yoo, Samsung Advanced Inst of Tech, Photonics Semiconductor Lab, Suwon, SOUTH KOREA; Tae-II Kim, Samsung Advanced Inst of Tech, Materials & Devices Research Ctr, Suwon, SOUTH KOREA.

Etching characteristics of GaN thin films have been investigated using chemically assisted ion beam etching (CAIBE) and reactive ion bean etching (RIBE). Mixture of Cl, BCl, HCl, and N gases were used as reactive gases. Highly collimated uniform and directional ion beam generated from inductively coupled plasma was extracted and accelerated through optically aligned triple grid. The acceleration voltage was varied from 300 V to 700 V and the beam current was varied from 100 mA to 400 mA. The tilt angle of the substrate with respect to the incident beam was also varied from O to 60 . The substrate temperature was changed from 20C to 300C using specially designed heating and cooling stage. The etch rate of GaN films was linearly dependent on the beam acceleration voltage and the beam current in both RIBE and CAIBE processes. More than 250 nm/min of the etch rate was obtained in both cases. In the CAIBE process, etch selectivity of photoresist mask was typically 3:1, while that of sputter-deposited SiO mask was 8:1. In the RIBE process. the selectivity was decreased with increasing substrate tilt angle. The highest etch rate we obtained when the substrate was tilted by 30 . Dependence of the gas mixture and the substrate temperature is more likely in the CAIBE than in the RIBE. Highly anisotropic etch profile with smooth surface was obtained when the substrate was tilted by 30 and heated above 70C with CAIBE process. The rms of roughness of etched base line was less than 5 nm and the vertical angle of etched sidewall was 90+/-2 . These results are believed to be suitable for forming facet of GaN-based laser diode.

4:15 PM D2.8 
IMPLANTATION ACTIVATION ANNEAL OF GALLIUM NITRIDE AT TEMPERATURES >1100C, John C. Zolper, Jung Han, Robert M. Biefeld, Sandia National Laboratories, Albuquerque, NM; Stephen J. Pearton, Univ of Florida, Dept of MS&E, Gainesville, FL; J. S. Williams, H. H. Tan, Australian National Univ, Dept of Electronic Matls Engr, Canberra, AUSTRALIA; R. F. Karlecek, EMCORE Corp, Somerset, NJ.

With the development of GaN-based electronics for high-power operation, the reduction of the transistor access resistance becomes a more critical issue. The two approaches taken to reduce this resistance in other III-V semiconductor transistors are recessed gate designs and self-aligned implanted structures. Structures based on selective area implantation may be the preferred approach for GaN-based transistors due to the present difficulty in controllable wet etching of GaN.
Although implantation doping of GaN has already been demonstrated and used to make GaN junction field effect transistors, more work is needed to optimize the implant activation annealing process. In particular, recent studies on the thermal stability of implantation-induced defects in GaN suggests that the annealing temperature must be pushed significantly above 1100C. In this work, eve present structural and electrical data for Si-implanted GaN annealed at temperatures up to 1700C. Surface and bulk chemical analysis of annealed samples is also performed to attempt to quantify any N-loss occurring during the anneal sequence.

4:30 PM D2.9 
RECOVERY OF STRUCTURAL DEFECTS IN GaN AFTER HEAVY ION IMPLANTATION, Carsten Ronning, Michael Dalmer, Markus Restle, Hans C. Hofsass, Univ Konstanz, Fakultat fur Physik, Konstanz, GERMANY; Mike D. Bremser, Robert F. Davis, North Carolina State Univ, Dept of MS&E, Raleigh, NC.

We have implanted radioactive In at room temperature with an ion energy of 100 keV and a dose of 10 cm into sIngle crystalline GaN films grown by MOCVD on SiC substrates. For the samples, we have measured the emission channeling effects of the conversion electrons emitted in the radioactive decay, which allows us to determine the lattice site of the implanted atoms and the quality of the crystalline structure [1]. Furthermore, we have determined the defect structure in the immediate neighborhood of the probe atoms by perturbed- a ngular- c orrelation (PAC) measurements using the emitted radiation [2]. Since isoelectronic In occupies substitutional Ga sites, we have an ideal probe atom to study the annealing behavior of the implantation damage. We find In on substitutional sites even directly after implantation, but within a heavily disturbed surrounding. For isochronal annealing treatments in vacuum, both techniques reveal a gradual recovery of the damage between 600 K and 900 K. After 900 K annealing, over 75 of the probe atoms occupy undisturbed lattice sites. This demonstrates that damage created by ion implantation In GaN can be annealed out to a large extent.

4:45 PM D2.10 
THE INFLUENCE OF ION-IMPLANTATION INDUCED DEFECTS ON THE ACTIVATION OF THE N- AND P- TYPE DOPANTS IN GaN, Bela Molnar, Harry B. Dietrich, Naval Research Laboratory, Washington, DC; Orin W. Holland, Oak Ridge National Laboratory, Solid State Div, Oak Ridge, TN; Mulpuri V. Rao, George Mason Univ, Dept of Electrical Engr, Fairfax, VA.

This paper deals with a study of the impact of implantation damage on the electrical activation of n- and p-type implants into GaN. High dose (5 x 10/cm) implants of the isoelectronic ions, nitrogen and phosphorus, were done into n-type epitaxial layers of GaN on sapphire and the resulting damage characterized as a function of anneal temperature with RBS and two-layer Hall measurements. Both furnace annealing (maximum temperature 1000C) and RTA annealing (maximum temperature 1150C) were studied. From the RBS data it can be seen that 5 x 10/cm implants introduce only a small amount of lattice damage, but it can also be seen that even this small amount of damage is difficult to remove. This residual physical damage is electrically active and compensates both n- and p-type dopants. By contrast, for low dose implants (5 x 10/cm, the compensating damage could be removed with high-temperature annealing. The effect of the implant damage on implanted dopant activation was determined by comparing the results of equivalent dose n-type (Si) and p-type (Mg, Ca) implants with the implants of N and P. In these cases the high dose Si implants are well activated; however the similar doses of Mg and Ca implants did not result in p-type layers. The activation dependence on the ion-dose will be discussed in addition to the effect of the implant temperature and the co-implantation experiments.

SESSION D3: POSTER SESSION: 
PROCESSING/CHARACTERIZATION 
Tuesday Evening, April 1, 1997
8:00 P.M. 
Salon 7

D3.1 
DEVELOPMENT OF GaN AND InGaN GRATINGS BY DRY ETCHING, Jewon Lee, Jin Hong, John Devin MacKenzie, Cammy R. Abernathy, Stephen J. Pearton, Univ of Florida, Dept of MS&E, Gainesville, FL; Fan Ren, P. F. Sciortino, Bell Labs, Lucent Technologies, Murray Hill, NJ.

Submicron periodic gratings with pitch 3,000 were formed in GaN and InGaN using holographic lithography and room temperature ECR BCl/N dry etching at moderate microwave (500 W) and rf (100 W) powers. The process produces uniform gratings without the need for elevated sample temperatures during the etch step. While GaN (or AlN) etch rates are almost independent of temperature up to 300C, the etch rates for InGaN are found to have a strong dependence on temperature, which provides an additional parameter for maximizing etch selectivities in some applications. Combined with the fact that the etch depth for periodic gratings is small, typically , and that this is easily obtainable with the resist mask, there is no advantage in complicating the process by employing elevated sample temperatures which would require a much more difficult hard-mask technique.

D3.2 
PLASMA DAMAGE EFFECTS IN InAlN FIELD EFFECT TRANSISTORS, Fan Ren, J. R. Lothian, Y. K. Chen, Bell Labs, Lucent Technologies, Murray Hill, NJ; John Devin MacKenzie, Sean M. Donovan, Cathy B. Vartuli, Cammy R. Abernathy, Jewon Lee, Stephen J. Pearton, Univ of Florida, Dept of MS&E, Gainesville, FL; R. G. Wilson, Hughes Research Laboratories, Malibu, CA.

Dry etched InAlN surfaces have been characterized by atomic force microscopy, current-voltage measurements and Auger Electron Spectroscopy. Electron Cyclotron Resonance discharges of BCl, BCl/Ar or BCl/N are all found to produce nitrogen deficient surfaces that promote leakage current in rectifying metal contacts, with the BCl/N producing the least disruption of the InAlN surface properties. During gate mesa plasma etching of InN/InAlN field effect transistors, the apparent conductivity in the channel can be either increased or decreased through three different mechanisms. If hydrogen is part of the plasma chemistry, hydrogen passivation of the shallow donors in the InAlN can occur. We find diffusion depths for H of 0.5 m in 30 mins at 200C. The hydrogen remains in the material until temperatures 700C. Energetic ion bombardment in SF/O or BCl/Ar plasmas also compensates the doping in the InAlN by creation of deep acceptor states. Finally the conductivity of the immediate InAlN surface can be increased by preferential loss of N during BCl plasma etching, leading to poor rectifying contact characteristics when the gate metal is deposited on this etched surface. Careful control of plasma chemistry, ion energy and stoichiometry of the etched surface are necessary for acceptable pinch off characteristics.

D3.4 
CONDUCTION MECHANISMS IN W AND WSi OHMIC CONTACTS TO InGaN AND InN, Cathy B. Vartuli, Stephen J. Pearton, Cammy R. Abernathy, John Devin MacKenzie, Univ of Florida, Dept of MS&E, Gainesville, FL; M. L. Lovejoy, Randy J. Shul, John C. Zolper, Sandia National Laboratories, Albuquerque, NM; Albert G. Baca, Sandia National Laboratories, Compound Semiconductor Matls & Processes, Albuquerque, NM; M. Hagerott-Crawford, Sandia National Laboratories, Dept of Photonics Research, Albuquerque, NM; K. A. Jones, U.S. Army Research Laboratory, Fort Monmouth, NJ; Fan Ren, Bell Labs, Lucent Technologies, Murray Hill, NJ.

The temperature dependence of the specific contact resistance of W and WSi contacts on nInGaN and InN was measured in the range -50C to 125C. The results were compared to theoretical values for different conduction mechanisms to further elucidate the conduction mechanism in these contact structures. The data indicates the conduction mechanism is field emission for these contact schemes for all but as-deposited metal to InN, where thermionic emission appears to be the dominant mechanism. The contacts were found to produce low specific resistance ohmic contacts to InGaN at room temperature, 10 cm for W and of 4 x 10 cm for WSi. InN metallized with W produced ohmic contacts with 10 cm for WSi at room temperature.

D3.5 
ION ENERGY EFFECTS ON THE ELECTRONIC PROPERTIES OF GALLIUM NITRIDE AFTER PLASMA ETCHING, Charles R. Eddy, Bela Molnar, Naval Research Laboratory, Washington, DC.

Development of devices based on the wide gap semiconductor gallium nitride (GaN) requires the realization of reliable high fidelity, low damage pattern transfer processes. Here, changes in the sheet resistance of GaN exposed to electron cyclotron resonance microwave discharges of CH/Ar, Ar, and He have been used to measure the ion-induced damage as a function of ion energy. Near surface damage (1000 ) created by these plasmas introduces n-type conduction into seminsulating ( > 10 ohm/sq) GaN. For CH/Ar plasmas, the sheet resistivity dropped to 1x10 ohm/sq for 300 eV ion energies, but there was no change for 150 eV ions. Ion energies of 150 eV and 400 eV in He plasmas resulted in sheet resistivities of 5x10 ohm/sq. The most substantial change in sheet resistivity was inflicted by Ar plasmas. Damage by 400 eV Ar ions resulted in a sheet resistivity of 100 ohm/sq. Exposure to 150 eV ions resulted in a sheet resistivity of 5x 10 ohm/sq. SEM observations reveal, in Ar ion milled samples, the formation of pits in the surface and AFM clearly establishes a depth of 1000 for these pits. These results imply a preferential sputtering of the lighter element in the film (based on effective sputter yield) that would result in a nitrogen deficiency in the top layer. These results are in contrast to a previously reported Ar ion milling study [1]. The annealing behavior of the observed ion-induced damage will also be discussed.

D3.6 
MECHANICAL PROPERTIES OF GALLIUM NITRIDE AND RELATED MATERIALS, Michael Drory, Crystallume, Santa Clara, CA.

The fracture toughness and hardness of bulk single crystal GaN and related materials (e.g. GaP) is presented. Initial work on the deformation and fracture characterization was performed by (macro)indentation with a diamond Vickers indenter. Comparisons are made with the mechanical properties of relevant materials (such as sapphire) in order to discuss the implications for the fracture resistance of GaN in thin film form where stresses may be induced by growth, doping, thermal cycling, and die attachment.

D3.7 
ICP DRY ETCHING OF III-NITRIDES, Cathy B. Vartuli, Jewon Lee, John Devin MacKenzie, Sean M. Donovan, Cammy R. Abernathy, Stephen J. Pearton, Univ of Florida, Dept of MS&E, Gainesville, FL; Randy J. Shul, Sandia National Laboratories, Albuquerque, NM; C. Constantine, C. Barratt, Plasma Therm IP, St Petersburg, FL; A. Katz, EPRI, Palo Alto, CA; A. Y. Polyakov, M. Shin, Marek Skowronski, Carnegie Mellon Univ, Dept of MS&E, Pittsburgh, PA.

CH/H/Ar and other plasma chemistries have been employed in an ICP reactor for patterning of GaN, InN, InAlN, AlGaN and related materials. The etch rates, morphology and near-surface stoichiometry are compared to those obtained in ECR discharges under similar conditions. For ion assisted chemistries such as CH/H/Ar, the etch rates are somewhat lower for ICP discharges because of the lower ion energies for a given rf chuck power in the two systems. In both systems, loss of N from the near-surface region of binary nitrides leads to creation of a thin highly conducting n-type layer. The etched surface morphologies are smooth over a wide range of plasma conditions, and are often smoother than the unetched material because of the strong angular dependence of ion mill rates that leads to faster removal of sharp surface features. A detailed comparison of the advantages and disadvantages of ICP and ECR reactor will be given in terms of etch rate, anisotropy and damage reaction.

D3.8 
MEASUREMENT OF InGaN AND AlGaN COMPOSITIONS BY XRD, PL, RBS AND SIMS, Yumin Gao, Salman Mitha, Jon W. Erickson, Chris Huang, Joe K. Kirchoff, Charles Evans & Associates, Redwood City, CA.

he matrix composition of III-V ternary alloy can usually be determined very accurately by simple techniques such as x-ray diffraction (XRD) and photoluminescence (PL). However, the application of these techniques to III-nitride material such as AlN and InN are hampered by the residual film stress and the difficulty of identifying the band-to-band emission, respectively. Moreover, XRD and PL are not thin film analysis techniques and cannot determine the composition layer by layer in real device structures. Rutherford Backscattering (RBS) can be used to quantitatively determine the composition; however, the depth resolution is somewhat limited for thin complex structures such as quantum well structure. Secondary Ion Mass Spectroscopy (SIMS) is widely used to profile in-depth the concentration of trace elements because of its high sensitivity (about 1 ppm) and good depth resolution (about 100 ). While the quantitative analysis of matrix composition under normal analytical conditions is complicated by strong matrix effects. The MCs technique overcomes the matrix effect [1] and has been applied successfully to the III-arsenide and III-phosphide systems. The accuracy of this technique depends mainly on the standards, and well-defined samples of AlGaAs and InGaAs can be used for the III-nitride system. By comparing the SIMS results with the other techniques, it is shown that an accuracy of 1 in the x value measurement can be routinely obtained by MCs SIMS technique. Applications to LED and QW/LED samples are described.

D3.9 
STRAIN AND PHOTOLUMINESCENCE STUDY OF GaN and A1GaN ALLOY ON SAPPHIRE (0001), Chin Kyo Kim, I. K. Robinson, Univ of Illinois-Urbana, Dept of Physics, Urbana, IL; Jae Min Myoung, Univ of Illinois-Urbana, Dept of MS&E, Urbana, IL; Kyu-Hwan Shim, Univ of Illinois-Urbana, Dept of MSE, Urbana, IL; Oleg Gluschenkov, Kyekyoon Kim, Univ of Illinois-Urbana, Dept of ECE, Urbana, IL.

A series of thin GaN and AlN films with different thicknesses is grown by Plasma Assisted Molecular Beam Epitaxy with AlN buffer layer on sapphire (0001) and the in-plane lattice constants is measured using synchrotron x-ray diffraction. The critical thickness of GaN and AlN with AlN buffer layer on sapphire substrate is extrapolated from the variation of in-plane lattice constant with film thickness. The photoluminescence results will be discussed. The lattice constants of a series of GaN films on different thicknesses of AlN are measured to look for the effect of AlN thickness on the strain of GaN films. The experimental results on the effect of AlN thickness on the strain of GaN films will be explained with the equilibrium theory.

D3.10 
OPTICAL CHARACTERIZATION OF GALLIUM NITRIDE, Huade Walter Yao, C. H. Yan, Univ of Nebraska, Dept of Electrical Engr, Lincoln, NE; Ron A. Synowicki, J.A. Woollam Co, Lincoln, NE; John M. Zavada, U.S. Army Research Office, Electronics Div, Research Triangle Pk, NC.

The GaN thin films, both undoped and Mg-doped, were grown by metal organic chemical vapor deposition (MOCVD) on c-plane sapphire substrate (-Al) and characterized by variable angle spectroscopic ellipsometry (VASE) and Raman Scattering. Our microprobe Raman spectra from the GaN films show that very good crystalline quality was achieved by the growth. Room temperature VASE measurements were made, in the spectral range of 0.75 to 5.5 eV, at multiple angles of incidence ranging from 55 to 77 degrees, respectively. Anisotropic behavior was observed especially in the spectral range under the bandgap (3.4 eV), reflecting the nature of the wurtzite crystal structure of GaN. The anisotropic dielectric response is analyzed via an uniaxial cauchy model in the range of 0.75 eV to close to the bandgap (3.4 eV). In the meantime, the optical dielectric response of the sapphire substrate (Al) was carefully determined by VASE and transmission measurements. Absorption edge was observed at 6.7 eV for Al. Comparisons between undoped and Mg-doped GaN, the corresponding refractive index and the transmission of GaN in this range will be presented and discussed. The thicknesses of the films were also determined via the analysis.

D3.11 
MOSAIC STRUCTURE AND CATHODOLUMINESCENCE OF GaN EPILAYER GROWN BY LP-MOVPE, Shu-kun Duan, Chinese Academy of Sciences, Inst of Semiconductors, Beijing, CHINA; X.-G Teng, Inst of Semiconductors, Chinese Academy of Science, Beijing, CHINA; Y.-Y LI, Inst of Semiconductors, Chinese Academy of Sciences, Beijing, CHINA; Y.-T Wang, Inst of Semiconductors, Chinese Academy of Science, Beijing, CHINA.

Cathodoluminescence (CL) image and spectra of undoped GaN epilayers grown by LP-MOVPE on (0001) -AIO have been studied. The x-ray data are measured by using the -mode (open and narrow window) and the 2/-mode. The samples have two kinds of morphologies: sample A has large hexagonal crystalline structure, sample B has mirror-like surface with very smaller grain boundaries. The superposition rule, = + , holds roughly in the samples, where is FWHM in conventional rocking curve, represents misorientations of the GaN grains, represents variation of the lattice spacing. We found that the is about one order of magnitude smaller than in the sample B. The value of / of the sample B is larger than that of the sample A. 
CL spectra show near band-edge luminescence and yellow luminescence (YL). Inhomogeneous light emission has been observed in samples A and B. In the CL image of sample A, we found two kinds of YL image shape: hexagonal shaped broad emission and fine straight line shaped emission that has triple symmetry. The former is associated with hexagonal grain boundaries. The light emission spreads around the grain boundaries. The latter is associated with cracks. The YL is very confined along the cracks. The relationship of CL and x-ray data of the samples has been studied. We consider that the YL seems to be related to mosaic structure. The comparison of the two kinds of samples and the origin of YL will be discussed in detail.

D3.12 
RESONANT RAMAN SCATTERING IN GaN/AlGaN SINGLE QUANTUM WELLS, Dietmar Behr, Fraunhofer-Inst, Freiburg, GERMANY; Rene Niebuhr, Fraunhofer-Inst, Angewandte Festkorperphysik, Freiburg, GERMANY; Joachim Wagner, Fraunhofer-Inst, Freiburg, GERMANY; Karl-Heinz Bachem, Fraunhofer-Inst, Inst Angewandte Festkorperphysik, Freiburg, GERMANY; Ulrich Kaufmann, Fraunhofer-Inst, Freiburg, GERMANY.

Abstract not available.

SESSION D4: DOPING/CONTACTS 
Chairs: Suzanne E. Mohney and Randy J. Shul 
Wednesday Morning, April 2, 1997
Salon 7

8:30 AM *D4.1 
GROWTH AND CHARACTERIZATION OF Mg-DOPED GaN-BASED SIMICONDUCTORS, Kyekyoon Kim, Univ of Illinois-Urbana, Dept of ECE, Urbana, IL.

Epitaxial thin films of p-type GaN-based semiconductors doped with Mg were grown using the plasma-assisted molecular beam epitaxy. A homemade nitrogen rf-plasma source specially designed to electrostatically confine the plasma was employed to minimize the contamination. The grown films were characterized using a variety of microanalysis techniques to determine their optical and electrical properties, to examine their surface morphology, uniformity, and defect density, to assess the role of stress and its relaxation, and to measure the activation energy and ionization efficiency of the Mg impurities. These microanalysis techniques included the temperature-dependent Hall measurement, XRD, SIMS, optical microscopy, SEM, temperature dependent photoluminescence measurement, cathodoluminescence imaging, TEM, and synchrotron x-ray. The results of this work will be presented and discussed.

9:00 AM D4.2 
CONTROL OF VALENCE STATES BY A CODOPING METHOD IN P-TYPE GAN MATERIALS, Tetsuya Yamamoto, Hiroshi Katayama-Yoshida, Osaka Univ, Dept of Condensed Matter Physics, Osaka, JAPAN.

On the basis of band-theoretical investigation using the augmented spherical wave (ASW) method, we propose a valence control by a codoping method that is useful in experiments to obtain low-resistivity p-type GaN materials. P-type dopants, Be and Mg, eminently lead to a decrease in the absolute value of electrostatic energy with negative sign, which will shift N 2p levels towards higher energy regions. On the other hand, we find an increase in it for n-type GaN with doping of Si or O atoms. We propose a codoping method using donor dopants in a small controlled quantity for fabrication of low-resistivity p-type GaN materials. The codoping method that the donor dopants play an important role in increasing the absolute value of the electrostatic energy with negative sign of the materials will result in preventation of self-compensation due to native defects such as anions-vacancies. We verify that both GaN materials with codoping of 2Mg (Be) and Si ( O) are p-type conductivity and have large absolute value of the electrostatic energy with negative sign compared with that for GaN doped with 2 Mg (Be) atoms. From these findings in the model super-cells using our codoping methods, for the very low doping levels, we predict lower-resistivity p-type GaN with codoping of Si and 2Mg and p-type GaN codoped with O and 2Mg(Be) atoms with higher-concentrations. The practical codoping method would be more effective in material design for controlling the valence states of compounds having some of the nature of ionic chemical bonds.

9:15 AM D4.3 
STRUCTURE, ELECTRONIC PROPERTIES, DEFECTS AND DOPING OF AlN USING A SELF-CONSISTENT MOLECULAR DYNAMICS METHOD, Petra Stumm, David A Drabold, Ohio Univ, Dept of Physics & Astronomy, Athens, Ohio.

Molecular dynamics simulations are employed to study native defects and dopants in AlN. We use local basis density functional theory within the local density approximation where charge transfer between the ions is included in a self-consistent fashion. Employing this code we find reasonable agreement for the band structure compared to other recent calculations, suggesting the suitability of our method to adequately describe AlN. A 96 atom AlN cell is used to study the relaxations and electronic properties of common defects in the crystal structure, including Al and N vacancies and antisites. We analyze the electronic signatures of these defects. The local topology of column-IV impurities in anion and cation sites is studied. We analyze the electronic consequences of these impurities and identify defect donor and acceptor levels.

10:00 AM D4.4 
SPECTROSCOPIC IDENTIFICATION OF THE ACCEPTOR-HYDROGEN COMPLEXES IN Mg-DOPED GaN GROWN BY MOCVD, Werner K. Goetz, Hewlett Packard Co, Dept OED, San Jose, CA; N. M. Johnson, D. B. Bour, J. Walker, Xerox Palo Alto Research Center, Dept of EML, Palo Alto, CA; M. D. McCluskey, Lawrence Berkeley National Laboratory, Univ of California-Berkeley, Berkeley, CA; Eugene E. Haller, Lawrence Berkeley National Laboratory, Matls Science Div, Berkeley, CA.

Local vibrational modes (LVMs) are reported for Mg-doped GaN grown by MOCVD. Hetero-epitaxial layers of GaN:Mg, either as-grown, thermally activated, or deuterated, were investigated with low-temperature Fourier-transform infrared absorption spectroscopy. The as-grown material, which was semi-insulating, exhibits a LVM at 3125 cm. Thermal annealing increases the p-type conductivity, as established with Hall effect measurements, and reduces the intensity of this LVM. Deuteration of the activated material creates a LVM at 2321 cm. The isotropic shift establishes the presence of hydrogen in the vibrating complex. The new LVMs are assigned to the stretch modes of the Mg-H and Mg-D complexes in GaN. The vibrational frequencies are indicative of a strong N-H bond as recently proposed from total energy calculations. We thus provide spectroscopic evidence to support the model that the semi insulating nature of as-MOCVD-grown GaN:Mg involves hydrogen neutralization of the acceptors.

10:15 AM D4.5 
Er INCORPORATION AND OPTICAL ACTIVITY IN GROUP III-N MATERIALS GROWN BY METALORGANIC MOLECULAR BEAM EPITAXY, John Devin MacKenzie, Cammy R. Abernathy, Stephen J. Pearton, Univ of Florida, Dept of MS&E, Gainesville, FL; U. Hommerich, Xingkun Wu, Hampton Univ, Res Ctr for Optical Physics, Hampton, VA; Fan Ren, Bell Labs, Lucent Technologies, Murray Hill, NJ; Robert G. Wilson, Hughes Research Laboratories, Malibu, CA; John M. Zavada, U.S. Army Research Office, Electronics Div, Research Triangle Pk, NC.

Metalorganic molecular beam epitaxy has been utilized to incorporate Er into AlGaInN materials during growth. Photoluminescence from AlN:Er doped during growth was several times more intense than that observed from implanted material. 1.54 m Er luminescence in III nitrides has been studied with the intention of understanding the basic incorporation behavior and optical activity of Er in the wide bandgap nitrides and evaluating the potential for Er-based electroluminescent devices. The Er concentration dependence and thermal quenching of 1.54 m photoluminescence has been investigated for a range of III-N materials including binary AlN, GaN, InN, as well as ternary compositions. A substantial decrease in thermal quenching has been observed with increasing bandgap. As well, growth related issues such as surface morphology, structural quality, and segregation will be discussed. Comparisons of photoluminescence from these materials show the effects of bandgap, matrix ionicity, and impurity background on optical activation. Incorporation and excitation of Er in basic device structures, such as a metal-insulator:Er-n diodes, GaN:Er/AlN microdisk and quantum well active layer structures, will be discussed.

10:30 AM D4.6 
PHOTOLUMINESCENCE AND PHOTOLUMINESCENCE EXCITATION SPECTROSCOPY OF AS-GROWN, Cr- AND Er- IMPLANTED MOCVD GaN FILMS, Sangsig Kim, D. A. Turnbull, Stephen G. Bishop, Univ of Illinois-Urbana, Dept of E&CE, Urbana, IL; Xiuling Li, J. J. Coleman, Univ of Illinois-Urbana, Microelectronics Lab, Urbana, IL.

Photoluminescence (PL) and photoluminescence excitation (PLE) spectroscopy have been used to characterize as-grown and Er- and Cr implanted GaN grown on sapphire substrates by MOCVD. In addition to the expected near-band edge I donor-bound exciton band and the broad yellow band emission peaking near 560 nm (2.2 eV), the as-grown samples exhibited a 1035 nm (1.2 eV) band previously observed only in cathodoluminescence studies. All of the implanted samples exhibited broad damage-induced PL bands in the 1100-1400 nm range. In the Er implanted samples, a sharply structured 1540 nm band corresponding to the - Ertransition was observed. These deep PL bands enable PLE to detect absorption bands due to defects and impurities over an extremely broad spectral range. At 300 K, the yellow band, the 1.84 eV band, and the 1.2 eV band are all excited by a 367 nm (3.4 eV) absorption band attributable to ionized shallow donors. Chromium implantation enhances the strength of the yellow band and the 1.2 eV band as well as the 367 nm PLE band, indicating that Cr increases the concentration of ionized (compensated) shallow donors. The near-IR damage-induced PL bands are excited by a broad peak near 510 nm while the principal excitation band of the 1540 nm Er PL peaks at 410 nm. The relationship between the yellow and 1.2 eV PL bands, the role of Cr impurities, and the linkage between the PL and PLE bands will be discussed.

10:45 AM D4.7 
ELECTRONIC STRUCTURE OF C AND Si IMPURITIES IN CUBIC BORON NITRIDE, ALUMINUM NITRIDE, AND GALLIUM NITRIDE, Ed A. Pentaleri, Vladimir A. Gubanov, Carel Boekema, San Jose State Univ, Dept of Physics, San Jose, CA; Ching Y. Fong, Barry M. Klein, Univ of California-Davis, Dept of Physics, Davis, CA.

The electronic structure of isolated substitutional C and Si impurities in zinc-blende boron nitride (c-BN), aluminum nitride (c-AlN) and gallium nitride (c-GaN) has been investigated by using the tight-binding LMTO technique. 64-atom supercells were used in the calculations, with an additional 64 empty spheres being introduced for the c-AlN and c-GaN calculations. C and Si impurities substitute to the anion sublattice were found to introduce deep, narrow, highly localized, and electronically inactive acceptor levels in all three host crystals, with the C-induced bands located much closer to the valence band edge than those corresponding to the Si impurity. For each of the impurities, the acceptor like bands move to shallower positions in the gap in proceeding from c-BN to c-GaN hosts. Cation-substitutional C and Si result in broader and more delocalized donor-like bands, close to the conduction-band edge for each of the host crystals studied. C-induced donor-like bands are located within the gap, while the bands induced by the Si impurity are merged with the conduction-band edge. Our calculations suggest that Si substituted to the cation sublattice may contribute strongly to n-type conductivity in all three wide-gap crystals. Energy position, localization, and the composition of the impurity states, chemical bonding, charge transfer between impurities and the host crystals, and their changes from c-BN to c-AlN and c-GaN are analyzed. Possible effects of impurity clustering have been modeled and are discussed.

11:00 AM D4.8 
THERMALLY STABLE PtSi SCHOTTKY CONTACT ON N-GaN, Q. Z. Liu, S. S. Lau, Univ of California-San Diego, Dept of E&CE, La Jolla, CA; T. F. Kuech, N. R. Perkins, Univ of Wisconsin-Madison, Dept of Chemical Engr, Madison, WI; L. S. Yu, Univ of California-San Diego, Dept Elec & Computer Engr, La Jolla, CA; Joan M. Redwing, Advanced Technology Materials Inc, Dept of Epitronics, Danbury, CT.

Platinum silicide (PtSi) and Pt Schottky contacts on n-GaN have been investigated and compared. The PtSi contacts were formed on n-GaN by annealing a multilayer structure of PtSi with the appropriate thickness ratio at 400C for one hour in forming gas. The barrier height of the as-formed PtSi contacts was found to be 0.87 eV (C-V), and remained unchanged after further annealing at 400C and 500C. Upon annealing at 600C for one hour, the barrier height decreased to 0.74 eV (C-V), but the diodes remained well-behaved. The as deposited Pt yielded a barrier height of 1.0 eV (C-V). Upon annealing at 400¡C for one hour, the Pt diodes degraded and most of the diodes did not survive additional annealing at 400C for longer times. The electrical measurements and the Rutherford backscattering spectrometry (RBS) results indicated that PtSi contacts are thermally much more stable than Pt contacts on GaN.

11:15 AM D4.9 
TEMPERATURE BEHAVIOR OF Pt/Au OHMIC CONTACTS TO p-GaN, David J. King, Steve D. Hersee, Luke F. Lester, Jeff C. Ramer, Lei Zhang, Univ of New Mexico, Center for High Tech Matls, Albuquerque, NM.

A Pt/Au metallization to Mg-doped p-GaN grown by MOCVD has been studied using circular contact test structures to avoid the need for etching isolation. An NHOH:DI solution was used as a premetallization surface treatment, and Pt/Au, 500/2000 , was deposited by electron beam evaporation onto the p-GaN. Before metallization, samples were given a p-dopant activation anneal of 700C for 20 min. by rapid thermal anneal (RTA) to drive out compensating hydrogen, and a second, alloying anneal at 750C after metallization. The specific contact resistance, r, for these contacts varies from 2.0-8.0x10 when the electrical measurements are taken at room temperature. Studies of the electrical properties of the Pt/Au contacts after fabrication reveal that the sheet resistance and the r show a temperature dependence, which could prove important for high temperature device applications. With increasing temperature, it is found that the sheet resistance and r decrease and that the I-V linearity of the metal contacts improves significantly. From 25C to 285C, the sheet resistance decreases by an order of magnitude, from 64,000 to 6,070 /square. Below 245C, the I-V curve of the contact has a slight kink near the origin, but at 245C and above, the I-V is linear. A specific contact resistance of 6.6x10 for the Pt/Au is obtained at a temperature of 285C. This result is the lowest reported r for ohmic contacts to p-GaN. The significant decrease in the r at higher temperatures is attributed to an increased hole concentration which results in more efficient tunneling through the voltage barrier at the Pt/GaN interface. The improvement in I-V linearity with temperature indicates a transition from current flow that has a significant thermionic component at low T to one that is dominated by tunneling at high T.

11:30 AM D4.10 
PHASE FORMATION IN NICKEL CONTACTS TO GALLIUM NITRIDE, Hari S. Venugopalan, Suzanne E. Mohney, Scott D. Wolter, Pennsylvania State Univ, Dept of MS&E, University Park, PA; Brian P. Luther, Pennsylvania State Univ, Dept of Electrical Engr, University Park, PA; Joan M. Redwing, Advanced Technology Materials Inc, Dept of Epitronics, Danbury, CT.

Nickel has been used in Au-Ni ohmic contacts to p-type GaN and has also been examined as a Schottky barrier to n-type GaN. Knowledge of the metallurgy of the Ni/GaN system will contribute to a better understanding of these contacts and may aid in improving them. In this study, metallurgical reactions between Ni and GaN have been explored at various temperatures between 400 and 900C in N, Ar, and forming gas. Glancing angle x-ray diffraction and Auger depth profiling were employed to determine the extent of interdiffusion between Ni and GaN and identify the phases that form upon annealing. No reaction was observed between Ni and GaN upon annealing in N or in forming gas (N + H) at 400C for 10 minutes. A Ni-Ga solid solution was observed to form at 600C after 1 hour, with the extent of dissolution increasing with continued annealing. After annealing at 750C for 1 hour, Ni or Ar, greater intermixing occurred. The reaction product was either NiGa or fcc Ni with dissolved Ga. Annealing at 900C resulted in the formation of NiGa with release of N to the atmosphere, even when annealing in N gas. Thus, a trend of increasing Ga content in the reacted films was observed with increasing temperature, while the formation of nickel nitrides was not observed. The observed reactions are consistent with the thermodynamics phase of the Ni-Ga-N system.

11:45 AM D4.11 
LOW-RESISTANCE Pd/Au OHMIC CONTACT TO p-GaN, Taek Kim, Su-Hee Chae, Myung-Cheol Yoo, Samsung Advanced Inst of Tech, Photonics Semiconductor Lab, Suwon, SOUTH KOREA; Tae-II Kim, Samsung Advanced Inst of Tech, Materials & Devices Research Ctr, Suwon, SOUTH KOREA.

We report a new metallization process for achieving low resistance ohmic contacts on p-GaN using Pd/Au. The results are compared with those of other high-work-function metals such as Ni/Au and Ti/Au. 2 m thick p-GaN samples are grown on c-plane sapphire substrates by metalorganic chemical vapor deposition (MOCVD) The carrier concentrations of p-GaN epilayers measured by van der Pauw Hall measurements were in the range of 5 x 10. The p-doped GaN samples were patterned and etched to fabricate mesa structures for transmission line method (TLM) measurement. The mesas were separated using chemically-assisted ion beam etching (CAIBE) with Cl gas. The dimension of rectangular pads was 200 m wide and 100 m long. The gaps between the contact pads were increased from 5 to 30 um with 5 m increment. All metal contacts were deposited by e-beam evaporation. I-V characteristics of metal contacts were measured before and after rapid thermal annealing (RTA). The Pd/Au contacts showed linear ohmic characteristics between -0.1 mA and 0.1 mA ranges while the slope of the I-V curve was slightly increased beyond the linear range. The calculated specific contact resistance of the Pd/Au contact after annealing at 500C for 30 second was 2.7 x 10. This is almost 2 orders of magnitude lower than those of Ni/Au and Pt/Au contacts whose specific contact resistances were in the range of 2. Keeping in mind of a low doping level and a very high sheet resistance (1.5 x 10) of the test samples, the Pd/Au is considered as a promising candidate for low resistance ohmic contact to p-GaN.

SESSION D5: DEVICES 
Chairs: Takashi Azuhata and Steven P. DenBaars 
Wednesday Afternoon, April 2, 1997
Salon 7

1:30 PM *D5.1 
RECENT ADVANCES IN III-N BASED ELECTRONIC AND DETECTOR DEVICES, M. Asif Khan, APA Optics Inc, Blaine, MN.

Recent progress in III-N materials deposition has resulted in the fabrication of several high performance devices. This includes light emitting diodes, lasers, high frequency transistors and ultraviolet detectors. The key to the demonstration of these InGaN-AlGaN based devices has been improved deposition and doping control of single layers and heterostructures and the development of processing procedures yielding much improved ohmic contacts and Schottky barriers. This has resulted in the demonstration of high quantum efficiency visible blind ultraviolet detectors with bandwidths in excess of 100 MHz. We have recently reported room temperature mobilities of 1500 cm/V- sec and sheet carrier densities as high as 10 cm for 2-D electron gas in doped channel GaN-AlGaN heterostructures. Using these epilayer structures, 1 micron gate length heterojunction field effect transistors (HFETs) with saturated currents as high as 900 mA/mm and a transconductance of 150 mS/mm have been fabricated. These devices exhibit ft and f values well in excess of 30 and 80 Ghz. For the first time, multigate power HFET devices have also been successfully fabricated. In this paper, we will report the fabrication and characterization results on high frequency power transistors and solar blind ultraviolet detectors. Critical issues that still need addressing for further progress will also be outlined.

2:00 PM D5.2 
ELECTRON FIELD EMISSION FROM WIDE-BAND GAP SEMICONDUCTORS, D. P. Malta, Research Triangle Inst, Ctr for Semiconductor Res, Research Triangle Pk, NC; G. G. Fountain, J. B. Posthill, T. P. Humphreys, R. J. Markunas, Research Triangle Inst, Research Triangle Pk, NC; C. Pettenkofer, Hahn-Meitner-Inst, Berlin, GERMANY.

The wide bandgap semiconductors AlN and diamond have been identified as candidate materials for cold cathode field emitters due to their purported negative electron affinity (NEA) surfaces. Recent studies by our group on C(001):H and AlN(0001) using angle-resolved ultraviolet photoelectron spectroscopy (ARUPS) and scanning electron microscopy (SEM) have confirmed the NEA condition of C(001):H but have indicated that AlN(0001) is a positive electron affinity surface. We have also investigated electron field emission behavior of polycrystalline diamond, AlN, and pure Al films grown on Si. Diamond films were grown by plasma-enhanced CVD and were air-transferred to the electron emission measurement system (EEMS). AlN and Al films were grown by molecular beam epitaxy (MBE) and transported via a UHV integrated processing system to the EEMS. The reference Al film on Si showed characteristic Fowler-Nordheim behavior with a turn-on field of 120 V/um (defined at 10 A-cm) and -100 A cm emission at 140 V/m. The AlN film also showed Fowler Nordheim behavior with a turn-on field of 60 V/m and 10 mA-cm at 100 V/um. In contrast, the diamond film showed linear behavior with a turn-on field of 20 V/m and mA-cm at 100 V/m. The lower turn-on field and linear behavior is consistent with an NEA surface condition. Likewise, the Fowler-Nordheim behavior of the AlN film is consistent with the ARUPS results on a different AlN sample-both indicating a positive electron affinity AlN surface.

2:15 PM *D5.3 
VALENCE BAND PHYSICS IN WURTZITE GaN, Takashi Azuhata, Takayuki Sota, Waseda Univ, Dept of ECE, Tokyo, JAPAN; Shigefusa Chichibu, Science Univ of Tokyo, FAculty of Science & Technology, Chiba, JAPAN; Akito Kuramata, Kazuhiko Horino, Fujitsu Laboratories Ltd, Optical Semiconductor Devices Lab, Kangawa, JAPAN; Masashi Yamaguchi, Toshirou Yagi, Hokkaido Univ, Sapporo, JAPAN; Shuji Nakamura, Nichia Chemical Industries Ltd, Dept of Research & Development, Tokushima, JAPAN.

We will present a summary of recent progress towards the understanding of the valence-band physics in wurtzite GaN. Systematic studies have been performed on the strain dependence of the free-exciton resonance energies by photoreflectance measurements using well-characterized samples. Analyzing the experimental data with the Hamiltonian appropriate for the valence bands, the values have been determined of the crystal-field splitting, the spin-orbit splitting, the shear deformation potential constants, and the energy gap in the unstrained crystal. Using those values and the generalized Elliott formula, the absorption spectra obtained experimentally can be qualitatively reproduced. Discussion will be also given on the values of the elastic stiffness constants which play a crucial role to determine the shear deformation potential constants.

3:15 PM *D5.4 
THEORY OF GAIN IN GROUP-III NITRIDE LASERS, Weng W. Chow, Sandia National Laboratories, Dept of Semiconductor Matls & Device Sci, Albuquerque, NM; Alan F. Wright, Sandia National Laboratories, Albuquerque, NM.

The study of laser gain in group-III nitrides is complicated by the incomplete knowledge of band structure properties, and the need to account for strong many-body Coulomb effects. This paper describes an approach that involves a first-principles band structure calculation based on density-functional theory. The results of the band structure calculations are incorporated into a microscopic laser theory based on the semiconductor-Bloch equations, which give a consistent treatment of the carrier-carrier Coulomb interactions.

3:45 PM D5.5 
GROWTH AND CHARACTERIZATION OF GaN/AlN BASED MIS CAPACITORS and MISFET DEVICES, Wei Yang, Thomas Nohava, Erin Sabatke, Subash Krishnankutty, Honeywell Technology Center, Plymouth, MN.

There is presently a great interest in the development of GaN based electronic devices for high temperature/high frequency/high power applications. MESFETs and HEMTs based on AlGaN/GaN have been fabricated (Q. Chen et al., Appl. Phys. Lett., 69, p. 794, 1996, also, Y.F. Wu et al., IEEE Device Research Conference, 1996). Our ability to grow high quality AlN which is an insulator provides the opportunity of fabricating devices with insulated gates such as MISFETs. The deposition process of choice was low-pressure MOCVD. All depositions were carried out on c-plane sapphire. Typically our MIS capacitor structures consisted of a n type GaN layer about 1.5 mm thick on which a thin AlN layer was deposited (about 500Å thick). Au was used as the gate contact and Al as the ohmic in contact with the n-GaN layer. I-V and C-V measurements on these capacitors were carried out. Inversion was demonstrated. Our MISFET structures consisted of a 1 mm layer of semi-insulating GaN followed by a 0.2 mm n-type GaN layer and a AlN cap about 500Å thick. Al was used as the source and drain ohmics. The drain current versus drain-source voltage characteristics and device transconductance of the MISFET were measured. Relevant characterization data on both the MIS capacitor and the MISFET will be presented.

4:00 PM *D5.6 
ELECTRONIC AND OPTICAL PROPERTIES OF BULK GaN AND GaN/AlGaN QUANTUM WELL STRUCTURES, Suzuki Masakatsu, Uenoyama Takeshi, Matsushita Electric Industrial Co Ltd, Central Research Lab, Kyoto, JAPAN.

In order to give the important guideline on the GaN-based device design, the electronic and optical properties of the III-V nitrides and their quantum well (QW) structures have been theoretically investigated. The electronic structures and optical gains of bulk GaN and GaN/AlGaN QW are discussed from the point of view of the laser's characteristics. The analyses were performed for the wurtzite and zincblende structures on the basis of the k(I%(Jp and tight-binding methods, comparing with the other III-V compounds. The fundamental physical parameters have been derived from the first-principles calculations. It was found that the lower crystal symmetry, that is the wurtzite, is preferable for the lower threshold current density in the bulk GaN. Although the introduction of QW structures leads to symmetry lowering only in the zincblende QW, we can not find a significant benefit of the zincblende QW. As for the strain effect on the reduction of the threshold current density, the pseudomorphic biaxial strains are more effective in the zincblende as in the wurtzite. However, the threshold current density of the biaxial strained QW is still higher in the zincblende than in the wurtzite. It was also found that the uniaxial strain in the c-plane of the wurtzite is more useful for reducing it. The relation between the uniaxial strain's direction and the optical polarization is also clarified. We will discuss how to reduce the threshold current density and the effect of lattice defects on the optical transition, as well.

4:30 PM *D5.7 
STATUS OF NITRIDE LEDS GROWN ON SiC SUBSTRATES, Kathy Doverspike, John A. Edmond, Hua-Shuang Kong, Warren Weeks, Michelle T. Leonard, Gary E. Bulman, Shawn Pyles, Cree Research Inc, Durham, NC.

The material system composed of AlN-GaN-InN alloys are an important class of semiconductors for optoelectronic applications, such as LEDs and laser diodes. Two commercial sources for blue and green LEDs employ sapphire substrates which have a 16 lattice mismatch to GaN and require two top side contacts to pass the current through the p-n junction, because of the insulating nature of the substrate. Silicon carbide has a much smaller lattice mismatch to GaN () and is conducting, therefore making a vertical device structure possible. However, a commonly used buffer layer for growth of GaN on SiC contains large amounts of Al which leads to a highly resistive buffer layer, which necessitates the use of a shorting ring in the current LED design. This study will present research on the use of a conducting buffer layer on SiC. This development allows for a true vertical chip design and takes full advantage of the conductivity of the SiC substrates. Characterization of LEDs grown on conducting buffer layers will be presented and will include EL, Vf, and ESD results (electroluminescence, forward voltage at 20 mA, and electrostatic discharge). Results of various laser structures grown on these conducting buffer layers will also be presented.

SESSION D6: QUANTUM STRUCTURES 
AND THEORY 
Chairs: M. Berding and Weng W. Chow 
Thursday Morning, April 3, 1997
Salon 7

8:30 AM *D6.1 
THERMODYNAMIC AND OPTICAL PROPERTIES OF AlN, GaN, InN AND THEIR ALLOYS, Mark van Schilfgaarde, Arden Sher, SRI International, Physical Electronics Laboratory, Menlo Park, CA.

The heats of substitution of column III elements in the III-N compounds, are investigated using a combination of a statistical theory and the local-density approximation (LDA) for the energetics. For the In-bearing alloys, a large miscibility gap is found owing to the large strain. Also, the heats of mixing are found to be lower in zincblende than in wurzite. The consequences of this are discussed. Energy bands are investigated using the LDA, and a generalization of the LDA which takes into account a nonlocal, screened exchange. Good agreement with experimental bandgaps is found. A combination of the spin-orbit coupling and semicore cation levels in GaN and InN produces some novel features of the band edges near .

9:00 AM D6.2 
CONDUCTION BAND DISCONTINUITY AND QUANTUM SHIFT OF BAND EDGE STIMULATED EMISSION IN InGaN-GaN MULTIPLE QUANTUM WELL LIGHT-EMITTING DIODES, C. J. Sun, M. Z. Anwar, Qishen Chen, J. W. Yang, M. Asif Khan, APA Optics Inc, Blaine, MN; Michael S. Shur, Rensselaer Polytechnic Inst, Dept of ECSE, Troy, NY; Alexei D. Bykhovski, Univ of Virginia, Dept of Electrical Engineering, Charlottesville, VA; S. L. Weber, Univ of California-Berkeley, Material Science Division, Berkeley, CA; M. Smith, Jingyu Y. Lin, H. X. Xiang, Kansas State Univ, Dept of Physics, Manhattan, KS.

We report on a room temperature stimulated emission from GaN InGaN multiple quantum wells grown over basal plane sapphire substrates. In thEse devices, we observe the quantum shift related to the subband energy dependence on the well thickness and crudely estimate the effective conduction band discontinuity at the GaN InGaN heterointerface. Our calculations show that the band structure in these devices is strongly affected by stress leading to a spatial separation of electron-hole pairs in the quantum well. This changes the selection rules and thus the observed spectra. The spectral lines are strongly dependent on the conduction band discontinuities and on the magnitude of the stress effects. We calculate the classic strains in our structure accounting for the generation of misfit dislocations. In our structures, the critical thickness for an individual layer was found to be 3 nm in InGaN/GaN superlattice. Thus, our structures with well thickness of 2.5 nm or less should be unrelaxed. We estimated the resulting potential drop in the quantum well generated by the piezoeffect to be 0.25 V. By fitting the results of our quantum mechanical calculations to the experimental data, we estimated that the conduction and valence band discontinuities are 130 to 155 meV and 245 to 220 meV for the conduction and valence band, respectively. The estimated ratio of the conduction band discontinuity to valence band discontinuity, 0.5-0.7, is close to that extracted from the photoemission for InN/GaN heterostructures.

9:15 AM D6.3 
COMPARISON OF ELECTRON AND HOLE INITIATED IMPACT IONIZATION IN ZINCBLENDE AND WURTZITE PHASE GALLIUM NITRIDE, Kevin F. Brennan, Georgia Inst of Technology, School of Electrical & Computer Engr, Atlanta, GA; Jan Kolnik, Symbios Logic Inc, Colorado Springs, CO; Enrico Bellotti, Georgia Inst of Technology, School of ECE, Atlanta, GA; Rongping Wang, Univ of Minnesota, Dept of Electrical Engr, Minneapolis, MN; Ismail Oguzman, Georgia Inst of Technology, School of ECE, Atlanta, GA; P. Paul Ruden, Univ of Minnesota, Dept of Electrical Engr, Minneapolis, MN.

The electron and hole initiated interband impact ionization rates are determined theoretically for both zincblende and wurtzite phase GaN. The rates are calculated using an ensemble Monte Carlo calculation which includes the full details of the conduction and valence band structures derived from the pseudopotential method. The impact ionization transition rates are determined numerically from the pseudopotential band structures including a wavevector dependent dielectric function. The electron and hole initiated impact ionization rates are calculated as a function of the applied electric field for both crystallographic phases. It is found that the impact ionization rate for both carrier species in the zincblende phase is significantly higher than that in the wurtzite phase. The large difference in the ionization rates between the two phases is attributed to the observation that both carrier species can more readily attain higher energies within the zincblende phase than in the wurtzite phase of GaN. As such, the carrier distributions are much hotter in the zincblende phase than the wurtzite phase of GaN. It is further found that at the highest field strengths examined that the electron ionization rate is roughly one order of magnitude higher than the hole ionization rate in wurtzite phase GaN. In contrast, in zincblende phase GaN, at high fields the carrier ionization rates are comparable.

9:30 AM D6.4 
MOLECULAR DYNAMICS SIMULATION OF TRANSPORT IN THE WIDE-BAND-GAP MATERIALS DIAMOND AND GaN, Nicholas M. Miskovsky, Paul H. Cutler, Philip D'Ambrosio, Peter Lerner, Pennsylvania State Univ, University Park, PA.

Experimental and theoretical studies of electron emission and transport in polar wide-band-gap electroluminescent semiconductors [1] suggest that hot electron and ''quasiballistic'' transport are ''characteristic'' of these materials. In this paper we examine theoretically similar properties for the wide-band-gap materials, covalent diamond, and the polar semiconductor GaN. Recently, Geis et al. demonstrated experimentally [2] and the present authors showed theoretically [3] that electrons can be injected by internal field emission into the conduction band at a metal- diamond interface. To study conduction band field-dependent transport effects in diamond and GaN, a molecular dynamics simulation of electron transport in the conduction band was performed. Electron-phonon (including acoustic, optical, and polar-optical), e-e, e-h, and e-pl interactions were included as well as non-equilibrium finite lifetime effects of phonons. Results indicate that diamond exhibits ''quasi ballistic'' transport for fields up to 100 V/m and film thicknesses up to 0.4 m even for electron densities 10 cm. For GaN, initial results also indicate ''quasiballistic'' transport for fields up to 100 V/m; more pronounced ballistic-like transport is predicted for very thin films (0.01L0.1 m). For thicker films, the energy transferred from the field is greater for diamond than for GaN. This is due to additional scattering by polar-optical phonons in GaN. For GaN, the energy spectrum has structure which is attributed to e-pl and polar optical interactions. Results suggest control of the energy distribution by appropriate choice of field and film thickness and use of energy filtering by the barrier at the substrate-semiconductor interface.

10:15 AM *D6.5 
GaN QUANTUM DOTS - GROWTH MECHANISM, APPLICATION TO LASER DIODE, AND MESOSCOPIC PHYSICS, Satoru Tanaka, Hideki Hirayama, Shintaro Nomura, Sohachi Iwai, RIKEN, Semiconductors Lab, Saitama, JAPAN; Yoshinobu Aoyagi, RIKEN, Semiconductor Lab, Saitama, JAPAN.

Recently, there has been much attention paid to low dimensional structures of semiconducting materials (i.e., quantum wires and dots) due to their enhanced quantum size effects, which can lead to superior characteristics of optical devices, such as laser diodes. Quantum dots in particular are expected to drastically improve the threshold current of the laser diode, as well demonstrating many new physical phenomena. In this study we have focused on three aspects in GaN quantum dots: growth mechanisms, laser fabrication, and fundamental mesoscopic physics. GaN quantum dots were successfully fabricated in the AlGaN confined layers by using a -Tetraethylsilane (TESi). The two- dimensional growth mode of GaN on AlGaN surfaces was altered to a three-dimensional mode in order to enhance island growth in this method. The dot growth mechanism is discussed in terms of surface free energy balance and growth kinetics. Stimulated emission was observed in optically pumped laser diode structures which consisted of AlN optical cladding layers and AlN barrier layers on AlN/6H-SiC substrates. In order to facilitate current injection, p- and n- doping was carried out on these structures. Optical properties of either single or else very few GaN dots were studied by a micro-PL system and cathodoluminescence using both low density (10 cm) and masked GaN dot samples.

10:45 AM D6.6 
NEW PRECURSOR ROUTES TO NANOCRYSTALLINE CUBIC/HEXAGONAL GALLIUM NITRIDE, GaN, Richard L. Wells, Jerzy F. Janik, Duke Univ, Dept of Chemistry, Durham, NC; Wayne L. Gladfelter, Univ of Minnesota, Dept of Chemistry, Minneapolis, MN; Jeffery L. Coffer, Bryan L. Steffey, Texas Christian Univ, Dept of Chemistry, Fort Worth, TX.

An ambient temperature stable precursor with formula corresponding to gallium imide, , was obtained from the reactions between [Ga(NMe)] and liquid or gaseous NH. The pyrolysis of this solid at temperatures between 210 and 600C under vacuum or, preferably, under an NH atmosphere, yielded grayish to yellow materials which were shown by XRD anal TEM to be the rare cubic/hexagonal form of GaN. Variations in the processing parameters enabled some control over GaN particle growth in the average diameter range from one to several nanometers. In another approach, the combination of LiGaH and NHBr in EtO resulted in the isolation of a precursor which appeared to be a gallazane with empirical formula HGaNH. This solid, after pyrolysis at 600C under vacuum or an NH atmosphere, was converted to yellow products that were shown to be nanocrystalline particles of cubic/hexagonal GaN. Specific variations in the pyrolysis conditions yielded cubic GaN as determined from an XRD powder pattern. These nanophase GaN materials have also been characterized by room temperature photoluminescence (PL) and photoluminescence excitation (PLE) spectroscopies. In general, band-edge PL is not observed for these samples, but rather yellow-green defect emission centered near 2.3 eV. Measurements acquired to date suggest that the differences in the relative quantum efficiencies between samples are a function of thermolysis temperature and the presence of ammonia during semiconductor preparation, i.e., when higher annealing temperatures are employed and NH is utilized, this yellow PL is quenched considerably. Interestingly, the PLE spectra of the strongly-emitting samples exhibit a sharp peak near 3.9 eV, shifted 0.3 eV from the bulk gap value of GaN.

11:15 AM D6.8 
TOWARD GROWING III-V CLUSTERS WITH METALORGANIC PRECURSORS, Alexander Demchuk, John Porter, Brent D. Koplitz, Tulane Univ, Dept of Chemistry, New Orleans, LA.

In recent years, the field of atomic and molecular cluster formation has blossomed tremendously. Clusters often have properties different from bulk materials, and progress in cluster science has been tied to the development of new approaches to cluster formation. The present work reports on our efforts involving cluster formation that combine pulsed laser photolysis and pulsed nozzles in order to grow GaN clusters from metalorganic precursors. The experimental apparatus consists of a high vacuum chamber (base pressure 10 Torr) equipped with a quadrupole mass spectrometer (QMS). Triethylgallium (CH)Ga (TEG) or trimethylgallium (CH)Ga (TMG) is used with He, Ar, or N2 as the carrier gas. The gases of ammonia (NH) and TMG or TEG are introduced into the high vacuum chamber via a specialized dual-source pulsed nozzle. The light from an ArF excimer laser (-193 nm) is focused into the mixing and reaction region of the dual-source nozzle, and the products are then mass analyzed win the QMS. The results of this research show efficient production of small GaN clusters due to laser-assisted growth.

11:30 AM D6.9 
TIME-RESOLVED PHOTOLUMINESCENCE STUDIES OF InGaN/AlGaN MULTIPLE QUANTUM WELLS, Hongxing Jiang, Ke-Cai Zeng, Jingyu Y. Lin, Kansas State Univ, Dept of Physics, Manhattan, KS; J. C. Robert, North Carolina State Univ, Dept of ECE, Raleigh, NC; E. L. Piner, North Carolina State Univ, Dept of MSE, Raleigh, NC; F. G. McIntosh, M. Bahbahani, North Carolina State Univ, Dept of E&CE, Raleigh, NC; S. M. Bedair, North Carolina State Univ, Dept of MS&E, Raleigh, NC; John M. Zavada, U.S. Army Research Office, Electronics Div, Research Triangle Pk, NC.

Picosecond time-resolved photoluminescence (PL) spectroscopy (PL) has been employed to study the dynamic processes of optical transitions in InGaN/AIGaN multiple quantum wells (MQW) grown by MOCVD. The dynamical behavior of the PL emission reveals that the main emission line in these MQW is contributed by the combination of the localized exciton and a band-to-impurity emission. The spectral lineshape and the recombination dynamics of the localized exciton and of the band-to impurity transition have been systematically investigated at different temperatures and excitation intensities and for MQW with different structures and growth conditions. From these studies, we have obtained the localization energy and the recombination lifetime of the localized excitons in InGaN/AlGaN quantum wells to be about 45 meV and 0 5 ns, respectively. The recombination lifetime of the band-to-impurity recombination varies from 5.5 ns to 2.5 ns depending on the emission energy. Additionally, the well width fluctuations, alloy compositions in the well and the barrier materials. and the band off-set between InGaN and AlGaN can also be deduced. These results, together with those obtained for InGaN/GaN and GaN/AIGaN MQW shed some light on our understanding of the effects of interface on the optical properties of the III-nitride MQW. Implications of our results to device applications will be discussed.

SESSION D7: CHARACTERIZATION 
Chairs: James M. Van Hove and Eicke R. Weber 
Thursday Afternoon, April 3, 1997
Salon 7

1:30 PM *D7.1 
DISLOCATIONS IN III-V NITRIDE EPITAXY, Fernando A. Ponce, Electronic Materials Lab, Palo Alto, CA; D. Cherns, Univ of Bristol, H H Wills Physics Lab, Bristol, UNITED KINGDOM; T. Young, Univ of Bristol, H H Wills Lab, Bristol, UNITED KINGDOM; J. W. Steeds, Univ of Bristol, H H Wills Physics Lab, Bristol, UNITED KINGDOM.

III-V nitride epilayers grown on sapphire with high optoelectronic characteristics have a peculiar microstructure that plays an important role in the properties of the material. Large dislocation densities of the order of 10 to 10 cm are associated with a slightly misaligned columnar structure. Coherent boundaries between the columns require the existence of a particular dislocation structure. The microstructure of the columnar structure has been determined by transmission electron microscopy. The polarity of growth and Burgers vector determination have been performed using convergent beam electron diffraction and imaging techniques. It is shown that the columnar structure corresponds to (0001) epitaxy with Ga on the top position in the basal planes. The resulting dislocations have Burgers vectors equal to a, c and c + a, where a and c are the hexagonal unit cell vectors. In addition, large densities of nanopipes and inversion domains are observed associated with the columnar structure. Models to explain these features and their impact on the optical properties of the material will be presented.

2:00 PM D7.2 
MICROSTRUCTURES OF GaN FILMS GROWN ON A LiGaO NEW SUBSTRATE BY METALORGANIC CHEMICAL VAPOR DEPOSITION, Jing-Hong Li, Univ of Florida, Dept of MSE, Gainesville, FL; Olga Kryliouk, Tim J. Anderson, Univ of Florida, Dept of Chemical Engr, Gainesville, FL; Kevin S. Jones, Univ of Florida, Dept of MS&E, Gainesville, FL.

The performance of GaN films for light emitting diodes (LEDs) devices with high emission efficiencies is surprisingly determined by the high concentration of extended dislocations which are mainly caused by the large lattice mismatch (). The lack of suitable substrate which is both lattice and thermally matched with the GaN is a serious obstacle to improving the crystalline quality of the GaN. We present here our study on use of new substrate, LiGaO, which is better lattice and thermally matched to the GaN. Microstructures of GaN films gown on the LiGaO by metalorganic chemical vapor deposition (MOCVD) have been characterized by transmission electron microscopy (TEM) and high resolution transmission electron microscopy (HRTEM). TEM and HRTEM results show that high quality single-crystal wurtzite GaN films have been deposited on the LiGaO. A high density of threading dislocations and stacking faults have been observed in the GaN films. Threading dislocations with burgers vector b = a/3 are the predominant type formed in the GaN films. Also, the GaN films contain some inversion domain boundaries. Both TEM and HRTEM results reveal that there is an unexpected amorphous or nanocrystalline interlayer between the GaN and the LiGaO with a thickness of 50-100 nm, even though the lattice mismatch of GaN to LiGaO is only 1. The formed interlayer may be due to nitridation of the LiGaO during the growth of the GaN film. Further results on the characterization of the nature and origin of this interlayer and its effects on the subsequent microstructure will be presented.

2:15 PM D7.3 
EVALUTION OF GALLIUM NITRIDE LAYERS ON SAPPHIRE AND SPINEL SUBSTRATES USING MOLECULAR BEAM EPITAXY, Chimin Hu, Subhash Mahajan, Carnegie Mellon Univ, Dept of Materials Science, Pittsburgh, PA; Ferdynand P. Dabkowski, Polaroid Corp, MS N1-1C, Norwood, MA; Aland K. Chin, Polaroid Corp, Microelectronics Lab, Norwood, MA; James M. Van Hove, Peter P. Chow, SVT Associates Inc, Eden Prairie, MN.

In this study, we have investigated defects including antiphase domains and dislocations in MBE-grown GaN layers on sapphire and spinel substrates using cross-sectional transmission electron microscopy. We have utilized both conventional and high resolution TEM to identify and characterize the defects. During the growth of GaN on (0001) sapphire, the growth was interrupted every 0.25 m and the layer was annealed to reduce the density of threading dislocations. Results show that the threading dislocation density is reduced to 1x10 on the surface of the epitaxial film. However, antiphase domains become the major defects in the film. These antiphase domains are well distributed through the entire film and many of them are initiated and terminated in the middle of the film. We attribute their origins to the growth interruption and thermal annealing. In our presentation, we will discuss the formation mechanism and characteristics of these antiphase domains. For the GaN films grown on (111) spinal, we observe straight dislocations perpendicular to the interface with <110> Burgers vectors. We do not find antiphase domains in these films. The density of threading dislocation is as high as 5x10 on the surface. We will discuss the origins of these dislocations.

2:30 PM D7.4 
TEM/HREM ANALYSIS OF DEFECTS IN GaN EPITAXIAL LAYERS GROWN BY MOVPE ON SiC AND SAPPHIRE, Sergei Ruvimov, Zuzanna Liliental-Weber, Jack Washburn, Lawrence Berkeley National Laboratory, Berkeley, CA; M. Koike, Toyoda Gosei Co LTD, Aichi, JAPAN; Hiroshi Amano, I. Akasaki, Meijo Univ, Dept of Electrical & Electronic Engr, Nagoya, JAPAN.

Transmission electron microscopy and high resolution electron microscopy have been applied to study defects in epitaxial GaN layers grown by MOCVD on SiC and sapphire substrates. Effect of substrate type and orientation, presence of buffer layer and doping of GaN layer on its structural quality was the focus of present study. Dislocations, stacking faults and micropipes in GaN were systematically studied for updoped, Si- and Mg-doped samples. The structural quality of GaN layers was found to increase with doping in agreement with x-ray diffraction and PL measurements. Annealing of the samples was shown to lead to cracking of the GaN layer in the case of SiC substrate, while crack formation was not detected in the layers grown on sapphire. Mechanisms of defect generation are discussed with respect to the initial growth stages, effect of doping and type of substrate.

2:45 PM D7.5 
COMPLETE CHARACTERIZATION OF AlGaN/InGaN/GaN DEVICES BY SIMS, Chris Huang, Salman Mitha, Yumin Gao, Charles Evans & Associates, Redwood CIty, CA.

The III-nitrides have recently been the subject of intense research because of their promising application in blue and ultraviolet optoelectronic and microwave devices. The growth of epitaxial layers by MOCVD and MBE techniques requires the close control of purity, doping, alloy composition, thickness and interface quality. Secondary ion mass spectrometry (SIMS) is a very suitable characterization technique because of its ability to depth profile with high sensitivity and good depth resolution. We describe depth profiling for the concentration of dopants (Mg, Zn and Si) and common impurities such as O, C, H and some metals incorporated during the growth as well as for determining In and Al composition. The samples include a GaN p-n junction, AlGaN/InGaN/GaN LED, quantum well LED and AlN/GaN photodetector. Also, SIMS measurement on finished LED devices will be described for the purpose of failure analysis and reverse engineering. The wealth of information so obtained has proven very useful for solving problems encountered in both research and production.

3:30 PM *D7.6 
THE CORRELATION OF MICROSTRUCTURE WITH THE LUMINESCENCE PROPERTIES OF III-V NITRIDES, S. J. Rosner, Hewlett Packard Co, Dept of Solid State Matls, Palo Alto, CA; Elizabeth C. Carr, Hewlett Packard Co, Palo Alto, CA; Steve D. Lester, Michael J. Ludowise, Kevin P. Killeen, Hewlett Packard Co, Dept of Solid State Matls, Palo Alto, CA.

Gallium nitride has attracted a great deal of attention over the past several years for use in optoelectronic devices such as light emitting diodes (LEDs) and solid-state lasers. With the addition of indium and aluminum as constituent materials, this semiconductor system enables light emission from the ultraviolet through the red portion of the visible spectrum. We will report here on the microstructure of III-V nitride heterostructures grown by metal-organic chemical vapor deposition (MOCVD) on sapphire substrates and on the wavelength-resolved cathodoluminescence from these layers. The room temperature cathodoluminescence spectra from these films is generally highly inhomogeneous. In images that are spectrally resolved to reveal luminescence from different layers within a single heterostructure film, each layer can show different spatial distribution, even though the microstructure is reasonably constant throughout the structure. Morphology defects are often associated with unique luminescence bands. When indium is added in strained layers, non-planar morphology features become more likely to form, often associated with threading dislocations. TEM plan view examination shows the dislocations in these films are arranged both randomly and in linear arrays with identical burger’s vectors. When the arrays are present, they are often associated with lowered mobility and small in-plane domain-like orientational rotations in the epitaxial film. The nature and origin of these will be discussed as they relate to substrate characteristics and other factors.

4:00 PM D7.7 
CORRELATION BETWEEN MICROSTRUCTURE, GROWTH MECHANISM AND ELECTROOPTICAL PROPETIES OF HETEROEPITAXIAL GaN LAYERS ON SAPPHIRE SUBSTRATES, Silke Christiansen, Univ Erlangen-Nurnberg, Inst of Werkstoffwissenschaften, Erlangen, GERMANY; Martin Albrecht, Univ Erlangen-Nurnberg, Dept of Mikrocharakterisierung, Erlangen, GERMANY; Horst P. Strunk, Univ Erlangen-Nurnberg, Inst Materials Science, Erlangen, GERMANY; M. Mayer, A. Pelzmann, M. Kamp, K. J. Ebeling, Univ Ulm, Ulm, GERMANY; Carlo Zanotti-Fregonara, MASPEC Inst, Parma, ITALY; Giancarlo Salviati, CNR, MASPEC Inst, Parma, ITALY.

We relate the defect population observed by conventional and high resolution transmission electron microscopy (TEM) in gallium nitride (GaN) films deposited on sapphire substrates by gas source molecular born epitaxy to additional midgap luminescence in the spectrally and locally resolved cathodoluminescence (CL) spectra. We compare two types of specimens: (i) one, which shows additional UV lines (at 36 nm) and (ii) one, which shows an additional yellow luminescence Gaussian shaped peak centered around 586 nm. Spectrally resolved CL measurements permit to localize the midgap luminescence to certain regions in the specimen surfaces and TEM allows us to specify the extended defect types that are present in these areas. 1) The line at 369 nm is very probably related to Frank partial dislocations (= 1/2[0001]), which occur together with a stacking fault parallel to the interface. 2) The broad yellow luminescence peak around 586 nm is related to screw dislocations ( = [0001]). 3) The UV luminescence is prominent although a high density (10cm) of edge-type dislocations ( = 1/3[20], = 1/3[23]) is present. The extended defects are not necessarily the direct origin of luminescence features and the additional lines are discussed taking into account also possible defects invisible in the TEM that may be associated with them (point defects such as interstitial vacancies, antisite defects, impurity atoms gettered by dislocations and stacking faults).

4:15 PM D7.8 
OPTIMIZATION OF III-N BASED DEVICES GROWN BY RF ATOMIC NITROGEN PLASMA USING IN-SITU CATHODOLUMINESCENCE, James M. Van Hove, Peter P. Chow, J. J. Klaassen, Robert Hickman, Andrew Wowchak, D. R. Croswell, Christine Polley, SVT Associates Inc, Eden Prairie, MN.

In-situ cathodoluminescence (CL) is presented as a technique to optimize GaN, AlGaN and InGaN films deposited by MBE using an RF plasma as a source of reactive nitrogen. Excitation of the MBE-grown nitride films is conveniently achieved in the preparation chamber using an Auger electron gun. The photoemission is monitored through a side port and dispersed with a l/8 m monochromator with a typical resolution of 3 nm. The in-situ CL spectra of AlGaN and InGaN films provides quick determination of both material composition and quality from the position and width of the band- edge emission. The use of CL for the assessment of material composition in the growth of nitride materials is extremely beneficial since the complementary technique of RHEED oscillations is not routinely observed for these systems. The determination of material quality using CL has been used to optimize growth conditions for GaN PIN junction photovoltaic detectors on (0001) sapphire. Detectors having peak responsivity of 0.1 A/W at the GaN band edge of 365 nm and a UV to visible rejection ratio of greater than 10 have been fabricated. The high rejection ratio is accredited to the reduction of the yellow defect levels in the MBE grown material. Material optimization using in-situ CL for growth of AlGaN MODFETs and InGaN and AlGaN multiple quantum well structures suitable for UV and visible lasers will be presented. This work is supported by NASA under contact NAS5-32828 and monitored by D. Brent Mott.

4:30 PM D7.9 
IN-SITU MONITORING OF OMVPE-GROWN GaN ON SAPPHIRE USING OPTICAL REFLECTANCE, Jung Han

So far, the majority of III-nitride device demonstrations have been based on materials prepared by OMVPE, where diagnostics has been traditionally lacking. Characterization using electron beams was prohibited by high ambient pressure, and optical probing was often hindered by unintended deposition on the reactor chamber walls. Information about the morphological evolution of GaN is especially important since, due to the large mismatch between GaN and sapphire as well as the relatively low deposition temperatures (as compared to the melting point), the material quality and usefulness are strongly influenced by the nucleation transient. In this paper, we report the integration of an optical reflectometer into a GaN OMVPE process using a rotating-disk reactor. The observed roughening-recovery transients of the optical reflectance during the initial growth can be understood by invoking mechanisms such as grain growth, surface recrystallization, and evolutionary selection. It will be shown that optical reflectance can both provide rapid feedback during the exploration of (growth) parameter space and also yield quantitative information concerning surface kinetics. The correlation of the nucleation transients with GaN material parameters, as characterized by low-temperature PL, Hall-effect, and AFM measurements, will be presented. This work was supported by the United States Department of Energy under Contract DE-AC04-94AL85000. Sandia is a multiprogram laboratory operated by Sandia Corporation, a Lockheed Martin Company, for the United States Department of Energy.

4:45 PM D7.10 
PHOTOLUMINESCENCE FROM HEXAGONAL AND CUBIC MBE-GROWN GaN THIN FILMS, Joachim Kruger, Lawrence Berkeley National Laboratory, Dept of Materials Science, Berkeley, CA; Christian Kisielowski, Lawrence Berkeley National Laboratory, Dept of MS & Min Engr, Berkeley, CA; Michael S.H. Leung, Univ of California-Berkeley, Dept of MS & Min Engr, Berkeley, CA; Ralf Klockenbrink, Zuzanna Liliental-Weber, Michael Rubin, Lawrence Berkeley National Laboratory, Berkeley, CA; Eicke R. Weber, Univ of California-Berkeley, Dept of MS&ME, Berkeley, CA.

We report on temperature dependent photoluminescence studies of GaN film grown by MBE on sapphire substrates. Modification of hydrostatic and biaxial strain components were utilized to minimize the half width of the near band edge luminescence. PL lines as narrow s 2.8 meV where observed in films that are almost strain free. No ''yellow'' luminescence band was found in these samples. A sharp transition at 3.257 eV indicates the formation of a cubic GaN phase in the hexagonal matrix that is shown to depend on the Ga/N flux ratio. Though the band edge PL of the cubic phase overlaps with the well-known spectrum of the donor-acceptor pair recombination of the hexagonal phase, the signals can be discriminated by temperature variation. TEM investigations confirm the presence of cubic GaN inclusions in the hexagonal films.

SESSION D8: POSTER SESSION: 
GROWTH 
Thursday Evening, April 3, 1997
8:00 P.M. 
Salon 7

D8.1 
InGaN ALLOYS AS AN ELECTRONIC MATERIALS, Olga Semchinova, Univ Hannover, LFI, Hannover, GERMANY; Sergei Alexandrov, St Petersburg Technical Univ, St Petersburg, RUSSIA; Helmut Neff, Technologie Zentrum Nord, Unterluss, GERMANY.

In this work, we present the growth and characterization of n-InGaN (x = 0,5-1,0). The films were deposited by CVD technique on p-Si and glass substrates. Deposition process of the films based on pyrolysis of the complex of indium (gallium) trichloride with ammonia In(Ga)ClNH. In order to minimize any possible influence on the process chemistry, direct ''in situ'' ultraviolet spectroscopic analysis of the gas phase during CVD was carried out. Analysis of transmittance spectra of the gas phase demonstrates that molecules of NH,GaCl and GaCl are present in the reaction gas mixture, and their relative concentrations are dependent on the temperature of pyrolysis. Formation of the films starts at 630C (substrate temperature) and optimized deposition process ranges between 650C and 750C. The growth rate of the films was 10 nm/min at 700C and 5.6 nm/min at 650C. The most probable mechanism of the film formation is discussed on the basis of the experimental results obtained. After deposition surface composition analysis was performed by EDX and morphology of the films was studied by SEM. The films exhibited a resistivity 0.9 10 ohm cm, refraction index was 2.05 2.11. The main absorption band in the IR region had an a maximum at 550-560 cm, which is rather typical for InN. Spectral response of the n InGaN / p-Si structures was measured using the Cr contacts, the optical characterization was made on the films grown on the glass substrates. Experimental results indicate that InGaN is a promising material for semiconductor device applications, solar cells included.

D8.2 
SURFACE PREPARATION AND GROWTH CONDITION DEPENDENCE OF CUBIC GaN LAYER ON (001) GaAs BY HYDRIDE VAPOR PHASE EPITAXY, Harutoshi Tsuchiya, Kenji Sunaba, Syogo Yonemura, Takashi Suemasu, Fumio Hasegawa, Univ of Tsukuba, Inst of Materials Science, Ibaraki, JAPAN.

It has been reported that cubic component in the GaN grown on (001) GaAs by HVPE is negligibly small even if the -2 XRD shows only cubic (002) peak, because the hexagonal GaN is easily grown on GaAs (111) facets. In this study, the ratio of cubic and hexagonal components of GaN layers grown on (001) GaAs was estimated by ratio of the integrated XRD intensities from cubic (002) and hexagonal (1011) planes measured by scan. The GaN layers were grown in a conventional HVPE system. Thermal cleaning temperature in H ambient was changed from 550C to 650C. The about 30nm GaN buffer layer was grown at 500C. Subsequently, a thick GaN layer was grown at 800C. The V/III ratio during the whole growth was varied between 200 and 600. When the sample was measured by -2 scan, the only XRD peak of cubic GaN was observed, but both cubic and hexagonal XRD peak were observed by scan. It was found that the cubic/hexagonal ratio greatly depended on the thermal cleaning condition prior to the buffer layer growth and the V/III ratio during the growth. When the V/III ratio was 600, the cubic component was only about 1% of hexagonal one, but it increased to about 60% by decreasing the V/III ratio to 300. Furthermore, the cubic component increased to 85% by decreasing the thermal cleaning temperature to 600C for 10 minutes from 650C. This sample exhibited a strong PL emission at 377nm (3.28eV), which corresponds to the band edge emission of cubic GaN.

D8.3 
GROWTH OF GaN THIN FILMS ON SAPPHIRE SUBSTRATE BY LOW PRESSURE MOCVD, Masahiro Ishida, Matsushita Electronics Corp, Electronics Res Lab, Osaka, JAPAN; Tadao Hashimoto, Toru Takayama, Osamu Imafuji, M. Yuri, Akio Yoshikawa, K. Itoh, Matsushita Electronics Corp, Electronics Research Lab, Osaka, JAPAN; Yoshitami Terakosh, Takashi Sugino, Junji Shirafuji, Osaka Univ, Dept of Electrical Engr, Osaka, JAPAN.

Effects of the surface nitridation of sapphire and the thickness of a GaN buffer layer on the quality of GaN films are investigated. GaN films are grown on sapphire(0001) substrates by low pressure MOCVD using TMG nnd NH as source materials. The substrate is first nitridated at 1000C in NH/H ambient. The nitridation time is varied from 5 min to 30 min. After the nitridation of the substrate, a GaN buffer layer is deposited at 600C. The thickness of the GaN buffer layer is varied from 10 nm to 40 nm. Then an epitaxial GaN film is grown for 1 hour at 1000C with a V/III ratio of 5500. The pressure is kept at 6.67 x 10 Pa throughout the growth. The film grown with 30 min nitridation and a 40 mn buffer layer shows smooth surface morphology, and XRD analysis shows that the film is single crystal with hexagonal polytype. Strong band-edge photoluminescence with a FWHM of 150 meV is observed at room temperature. The films grown with shorter nitridation time or a thinner buffer layer show 3D growth. It is found that the quality of GaN films depends on both the nitridation time and the thickness of the GaN buffer layer.

D8.4 
NITRIDATION OF GaAs, InAs AND InGaAs, Debdas Pal, Dwarka Nath Bose, Indian Inst of Technology, Kharagpur, INDIA.

Semiconducting materials based on nitrides of Al, Ga and In have gained considerable interest recently for the successful implementation of bright blue and blue gree light emitting diodes and high power r.f. sources. Although most of the devices are based on hexagonal (wurtzite) GaN films, Cubic (Zincblende) GaN films are of great interest because of possible growth on GaAs. It has been found that exposure of reactive nitrogen species on GaAs before growth results in nucleation of cubic GaN upon subsequent deposition. Hexagonal GaN films have also been grown after insufficient thermal nitridation. Thus nitridation of the substrate before growth plays an important role in GaN growth. We have studied thermal nitridation of GaAs, InAs in presence of NH(3) and In(0.53)Ga(0.47)As in N(2) plasma. The nitrided samples were characterised by x-ray diffraction (XRD) and photoluminescence (PL) measurements. Chemically cleaned GaAs and InAs samples were etched and dipped in dilute HF solution. Samples were loaded into the reactor immediately after taking out from the HF solution. N(2) was purged the reactor before nitridation for one hour. Nitridation was carried out at 700 deg C for two hours under NH(3) whose flow rate was 10 cc/min. The color of GaN and InN films were found to be golden and blakish respectively. From XRD of GaN/GaAs three peaks at 32.8, 35 and 39.5 degree related to GaN were observed. These peaks represent hexagonal GaN (1010), (0002) and cubic (002) respectively. XRD peaks at 31, 35.6, 51.2 and 60.8 deg related to InN were observed from InN/InAs samples. These peaks represent hexagonal (0020), cubic (200) and hexagonal (1100), (2000) InN respectively. Nitridation on In(0.53)Ga(0.47)As was carried out at 900 deg C for two hours under N(2) plasma (450 kHz). The N(2) pressure inside the chamber was 0.5 torr. No InGaN formation could be detected. Only two peaks at 36.6 and 39.6 deg related to cubic InN (200) and cubic GaN (002) were observed. Photoluminescence measurement was carried out on GaN/GaAs samples at 300 K. Only one broad emission band with peak energy 2.75 eV was obtained. A similar broad band with peak energy 2.9 eV has been reported by Edgar et al. (Appl. Phys. Lett, 1994) from polycrystalline GaN films grown on Si at 400 deg C. Summarising thermal nitridation on GaAs and InAs in NH(3) formed both cubic and hexagonal GaN and InN respectively while nitridation on InGaAs in N(2) plasma formed only cubic GaN and InN. Photoluminescence measurement on GaN showed a defect related emission band at 2.75 eV.

D8.5 
ELECTRICAL AND OPTICAL PROPERTIES OF InGaN/AlGaN DOUBLE-HETEROSTRUCTURE BLUE LIGHT-EMITTING DIODES, Kai Yang, H. T. Shi, Rong Zhang, Bo Shen, Youdou Zheng, Nanjing Univ, Dept of Physics, Nanjing, CHINA.

In this work, electrical and optical properties of Nichia double heterostructure blue light-emitting diodes, with InGaN:Zn,Si active layer, are investigated at 77 and 300 K. dc I-V measurements were performed, contrary to the Shockley model of p-n diodes the slope of I-V characteristics in a semilogarithmic plot almost does not depend on temperature. In low current range, from 5x10 A to 5x10 A, we found the low current component can be approximated by an exponential function I(A) = Ie, the diode ideality factor n was determined as large as 4.2, which indicates that the main transport mechanism is associated with carrier tunneling. In order to study the possible relationship between the light emission and current components, electroluminescence experiments of DH-LED were performed; we obtained an emission peak located at 2.80 eV, and a short-wavelength peak of 3.2 eV, which had a relatively weaker light intensity. As increasing the applied current, the light intensity of the two peaks correspondingly increases, with an obvious blue-shift of the emission peak, and the ratio of the intensity of the short-wavelength peak of obviously associated with interband transitions in the InGaN active region. Furthermore, we studied the properties of EL while output spectrum measurements were being made on DH-LEDs under various pulsed currents, and a degradation in I-V characteristics and a low resistance Ohmic short were observed.

D8.6 
STRAIN-ENGINEERED GaN FILMS GROWN BY MBE USING A HOLLOW-ANODE PLASMA SOURCE, Ralf Klockenbrink, Lawrence Berkeley National Laboratory, Berkeley, CA; Michael S.H. Leung, Univ of California-Berkeley, Dept of MS & Min Engr, Berkeley, CA; Hiroaki Fujii, Lawrence Berkeley National Laboratory, Dept of Matls Science & Minerial Engr, Berkeley, CA; Joachim Krueger, G. S. Sudhir, Univ of California-Berkeley, Dept of MS&ME, Berkeley, CA; Christian Kisielowski, Lawrence Berkeley National Laboratory, Dept of MS & Min Engr, Berkeley, CA; Michael Rubin, Lawrence Berkeley National Laboratory, Berkeley, CA; Eicke R. Weber, Univ of California-Berkeley, Dept of MS&ME, Berkeley, CA.

Gallium nitride (GaN) films have been grown on c-plane sapphire by molecular beam epitaxy using hollow-anode nitrogen source (HANS). The HANS allowed to reliably grow 1500 nm thick films that can exhibit photoluminescence lines as narrow as 2.7 meV and x-ray rocking curves with a full width of half maximum of 1.344 arcmin. A growth rate of 500 nm/h was employed that was limited by the Ga flux. P- and n type material was investigated. Common impurities are O, C and H. It is demonstrated that a Volmer-Weber growth mode determines the morphology of films grown below 1000 K. The grain sizes are temperature dependent and modulated by strain. It is described how strain can actively be used by the design of buffer layers and by compositional changes to determine physical properties of the films such as the surface morphology or the luminescence.

D8.7 
EFFECT OF HYDROGEN CHLORIDE ON THE CAPACITANCE-VOLTAGE CHARACTERISTICS OF MOCVD-GROWN AlN/6H-SiC MIS STRUCTURES., Chin-Che Tin, Auburn Univ, Dept of Physics, Auburn, AL; Anthony Gichuhi, Auburn Univ, Dept of Chemistry, Auburn, AL; Michael J. Bozack, Tamara Isaacs-Smith, Auburn Univ, Dept of Physics, Auburn, AL; Suzanne E. Mohney, Pennsylvania State Univ, Dept of MS&E, University Park, PA; Curtis G. Shannon, Auburn Univ, Dept of Chemistry, Auburn, AL.

Gate insulator plays a crucial role in the electrical performance of a metal-insulator-semiconductor-field-effect-transistor (MISFET) device. In 6H-SiC technology, SiO has been widely studied as the gate insulator in 6H-SiC MOSFET for high temperature and high power electronic applications. However, in spite of recent progress, there are still reservations about the suitability of SiO as gate insulator for 6H-SiC MOS devices. In the search for an alternative insulator to replace SiO, AlN has emerged as a promising candidate due to the low lattice mismatch of between the two materials. Using metalorganic chemical vapor deposition (MOCVD), we have recently found that AlN/6H-SiC MIS structures with good interfacial characteristics even at 300 deg C and remarkably high breakdown field in excess of 2 MV/cm could be obtained depending on the AlN growth procedure. We have also found that the use of hydrogen chloride gas is effective in improving the capacitance-voltage characteristics of the Au/AlN/6H-SiC MIS structure. There are several possible mechanisms for such improvement and they include factors such as substrate surface morphology, oxide contamination, and interfacial lattice ordering. In this paper, we will discuss the possible mechanisms using data from capacitance-voltge measurements, x-ray photoelectron spectroscopy, cross-sectional transmission electron microscopy, and atomic force microscopy.

D8.8 
QUASI-THERMODYNAMIC ANALYSIS OF METALORGANIC VAPOR PHASE EPITAXY OF GaN, Shu-kun Duan, Chinese Academy of Sciences, Inst of Semiconductors, Beijing, CHINA; Da-Cheng Lu, Inst of Semiconductors, Beijing, CHINA.

GaN and its alloys have received much attention as materials for fabricating bright blue and green light optoelectronical devices, especially LEDs and LDs. MOVPE has, by far, proven its suitability for the epitaxial growth of high quality GaN. Thermodynamics is a fundamental tool in the analysis of any crystal growth process. However, the typical thermodynamic analysis cannot be applied to the MOVPE growth of GaN, because of inert behavior of nitrogen and the slow decomposition rate of ammonia. A quasithermodynamic analysis of GaN grown by MOVPE using TMGa and ammonia as source materials has been made. Equilibrium partial pressure as functions of input V/III ratio has been calculated. Phase diagram for the MOVPE growth of GaN is proposed, based on the quasi thermodynamic equilibrium model. In the phase diagram for the MOVPE growth of GaN, the four regions divided by the gallium forming line and etching line are: single solid GaN phase, GaN(s)+Ga(l) double condensed phase and two etching regions. In one of the etching regions Ga droplets appear on the surface during etching, in another region Ga droplet do not appear. No group V element condensed phase exists in the phase diagram. Epitaxial growth of GaN can only grow in the single condensed GaN phase. High input V/III ratio is needed to avoid the formation of gallium droplets on the growing surface. The calculation results show that the single condensed GaN phase region expands with decreasing growth temperature, reactor pressure, decomposition fraction of NH, and fraction of hydrogen in a hydrogen-inert gas mixture carrier gas. The details of these effects will be presented.

D8.9 
STUDY OF BUFFERS LAYERS FOR THE EPITAXIAL GROWTH OF GaN ON SiC, Wen-Chung Tsai, National Chiao Tung Univ, Inst of Electronics, Hsinchu, TAIWAN; Jen-Dar Guo, National Nano Device Labs, Hsinchu, TAIWAN; Ci-Fon Lin, National Chiao Tung Univ, Inst of Electronics, Hsinchu, TAIWAN; Jian-Shihn Tsang, Shih-Hsiung Chan, National Nano Device Labs, Hsinchu, TAIWAN; Way-Chy Lai, National Chiao Tung Univ, Dept of Electrical Engineering, Tai-Nan, TAIWAN; Ming-Shiann Feng, National Chiao Tung Univ, National Nano Device Laboratories, Hsinchu, TAIWAN; Chun-Yen Chang, National Chiao Tung Univ, Dept of Electronics Engr, Hsinchu, TAIWAN.

A significant progress has been made on the crystal growth of GaN on SiC. High quality GaN films have been grown on sapphire substrate using a thin AlN or GaN as the buffer. Up to now, there have been a lot of reports on the epitaxial growth of GaN on SiC. But few of them concerned about the using of buffer layer like GaN on sapphire. In this report, we characterize the quality of GaN films grown on SiC with various types of buffer layers. The film quality of the direct grown GaN on SiC is better than that of using a thin AlN or a low temperature grown GaN as the buffer layer. But it is found that the using of a thin AlGaN as the buffer layer can make a great improvement in the film quality. It is also found that the composition of the buffer layer can also affect the film quality. As the fraction of Al in buffer layer is increased from 0.03 to 0.09, the mobility is double. If this technique can be used in the GaN/SiC LED, the brightness may be increased obviously.

D8.10 
ALUMINUM NITRIDE THIN FILMS GROWN BY PLASMA-ASSISTED PULSED LASER DEPOSITION ON Si(111) SUBSTRATES, Mitsuo Okamoto, Osaka Univ, Dept of Electrical Engr, Suita, JAPAN; Tetsuya Ogawa, Osaka Univ, Dept of Electrical Engr, Osaka, JAPAN; Yusuke Mori, Takatomo Sasaki, Osaka Univ, Dept of Electrical Engr, Osaka, JAPAN.

Aluminum nitride (AlN) is of great interest because of its electronic, optical, and thermal properties. In the present work, we report on the highly oriented AlN film obtained using pulsed laser deposition from sintered AlN target in a reactive nitrogen plasma ambient. The AlN thin films were grown on Si(111) substrates at temperatures of 600-950C for 5 h. The AlN films obtained were characterized by XRD, SEM, CL and Raman spectroscopy. The XRD investigation revealed that oriented AlN thin films have been obtained on Si(111) substrates. The orientational relation of AlN with the Si(111) substrate was found to be AlN(0002)/Si(111). The (0002) X-ray peak width became narrower with increasing substrate temperature. We obtained the very sharp X-ray diffraction peak of AlN(0002) at 950C with FWHM of 0.282 and FWHM of 2.05. The CL investigation at room temperature showed strong luminescence lines near 315nm. Similar to XRD investigation, CL peak width became narrower from 54.1nm to 31.7nm with increasing substrate temperature.

D8.11 
CHARACTERIZATION OF AlGaN FILMS PREPARED BY PEMBE ON C-PLANE SAPPHIRE, Helmut Angerer, Oliver Ambacher, Walter-Schottky-Inst, Garching, GERMANY; Thomas Metzger, Siemens AG, Munich, GERMANY; Robert Hopler, Eberhard Born, Technische Univ Muenchen, Dept of Mineralogie u. Geochemie, Garching, GERMANY; Gunter Dollinger, Technische Univ Muenchen, Dept of Physik, Garching, GERMANY; Martin Stutzmann, Walter-Schottky-Inst, Garching, GERMANY.

AlGaN films are grown on c-plane sapphire by plasma enhanced molecular beam epitaxy (PEMBE) up to x = 0.8. The composition and purity of the AlGaN layers is determined by elastic recoil detection analysis (ERDA) with a relative error of 5 for the Al content. Both X-ray diffraction (XRD) and atomic force microscopy indicate only a slight decrease in epitaxial quality of the AIGaN films with increasing Al content up to a critical value of x 0.65. Using XRD, a method is proposed to separate the effects of thermally induced biaxial compressive stress and the alloy composition on the shift of interplanar spacings by measuring both lattice constants. The deviation of the c / a ratio from that of fully relaxed layers is a quantitative measure of the biaxial compressive stress leading to a distortion of the unit cell. By the method proposed, the alloy composition can be corrected for the effect due to biaxial compressive stress in the layers, where values up to 0.4 GPa are observed. Although a compressive stress normally prevents the exact determination of the Al content by XRD, the results obtained by this method are in very good agreement with the ERDA measurements substantiating the validity of a linear Vegard's law. There is also evidence that deviations from Vegard's law can occur due to oxygen incorporation into the AI+xGaN films. These results in connection with optical measurements also indicate that the bowing parameter of the optical bandgap is zero within the experimental error over the entire composition range.

D8.12 
PYROLYTIC PREPARATION OF GALLIUM NITRIDE FROM [Ga(NEt)] AND ITS AMMONOLYSIS COMPOUND, Seiichi Koyama, Waseda Univ, Dept of Appl Chemistry, Tokyo, JAPAN; Yoshiyuki Sugahara, Waseda Univ, Dept of Applied Chemistry, Tokyo, JAPAN; Kazuyuki Kuroda, Waseda Univ, Dept of Appl Chemistry, Tokyo, JAPAN.

Pyrolytic technique of preceramic precursors is one of the soft chemical routes to ceramics, which has potential advantages.

SESSION D9: GROWTH: SUBSTRATES 
Chair: Cammy R. Abernathy
Friday Morning, April 4, 1997
Salon 7

8:30 AM *D9.1 
HVPE GROWTH OF GaN FILMS FOR QUASI-BULK SUBSTRATES, Richard J. Molnar, MIT Lincoln Laboratory, Lexington, MA.

Recently, interest has resurged in the growth of GaN by hydride vapor phase epitaxy (HVPE) to deposit thick buffers as substrates for nitride devices overgrowths. Such buffers can be grown with low defect concentrations ( cm) and, as epitaxial overgrowth appear to replicate the defect structure of the HVPE layer, comparable defects densities are readily obtained in films overgrown either by MBE or OMVPE. Problems commonly associated with this technique have been film inhomogeity and thermally-induced cracking for film thicknesses above 10-20 m. We have developed a vertical HVPE process for the growth of homogeneously high-quality GaN thick films over 2-in substrates. GaN layers up to 74 m thick have been grown on (0001) AlO substrates which do not exhibit visible thermally-induced cracking. The electrical properties of these films are indicative of the low defect concentrations in these films (=880 cm/Vs and n=7x10 cm at 293K and =2250 cm/Vs at 120 K). The low temperature (2 K) photoluminescence spectra is dominated by the donor-bound exciton (DX) peak at 3.468 eV with a FWHM of 2.42-4 meV, with minimal deep level emissions. Transmission electron microscopy reveals dislocation densities as low as 5x10 cm for a 40 m thick film. Further characterization of these films as well as experimental investigations into their use as substrates will be presented. Issues such as film nucleation, growth and film/substrate separation will be addressed. This work is supported by DARPA.

9:00 AM D9.2 
SODIUM FLUX GROWTH OF GALLIUM NITRIDE SINGLE CRYSTALS, Hisanori Yamane, Masahiko Shimada, Tohoku Univ, Inst for Advanced Matls Process, Sendai, JAPAN; Simon J. Clarke, Francis J. DiSalvo, Cornell Univ, Dept of Chemistry, Ithaca, NY.

GaN and AlGaInN quaternary nitrides have been used for blue light-emitting diodes. The nitrides are prepared as single crystalline films from the vapor phase by metal-organic chemical vapor deposition (MOCVD) and molecular beam epitaxy (MBE). Sapphire has been the most widely used substrates although the mismatch of lattice parameters and difference of thermal expansion coefficients between GaN and sapphire are more than 20%. Films having low defect concentrations are necessary for the development of blue diode lasers. GaN bulk single crystals are considered to be ideal substrates for the growth of high quality GaN single crystal films. In the previous studies, GaN bulk single crystals have been prepared by the reaction of Ga and N under a high N pressure of about 1 GPa and high temperature around 1800 K or by vapor growth above 1300 K using NH. We have succeeded in preparing GaN single crystals in tubes of different transition metals at 873 - 1073 K from Ga using Na flux and N from the thermal decomposition of sodium azide, NaN. The size of GaN crystals obtained at 873 K for 24 h was less than 0.1 mm. But after 40 h heating, colorless transparent hexagonal platy single crystals with a size more than 0.2 mm were obtained. Hexagonal islands and steps were observed at the surface of the crystals. The product after heating at 973 K for 96 h contained GaN crystals with a size of 1.0 - 2.0 mm. Auger electron spectroscopy (AES) and energy dispersive X-ray spectroscopy (EDX) did not detect oxygen or any other impurity elements in GaN single crystals prepared up to 1073 K.

9:15 AM D9.3 
GaN CRYSTALS GROWN FROM A LIQUID PHASE AT REDUCED PRESSURE, Vladimir Ivantsov, Vitally Sukhoveev, A.F. Ioffe Phys-Technical Inst, PhysTech WBG Research Group, St Petersburg, RUSSIA; Vladimir Dmitriev, Howard Univ, Dept of MSRCE, Washington, DC.

Gallium nitride crystals were grown from Ga-based melt at an ambient pressure not exceeding 3 atm. Growth temperature was 1000C. The crystals are 2H-GaN having (0001) basal plane orientation. Crystal size varied from 0.05x0.05x0.01 mm to 2x2x0.1 mm. Lateral growth rate of the crystals ranged from 0.05 to 1 mm/hr. Normal growth rate was about 0.005 mm/hr. Depending on growth conditions, crystals have platelet or dendrite shape. The crystals were characterized by optical and electron microscopy, x-ray diffraction, photo- and cathodoluminescence, and optical absorption. Characteristics of the crystals will be presented.

9:30 AM D9.4 
GROWTH OF BULK, POLYCRYSTALLINE GALLIUM NITRIDE AT SUB-ATMOSPHERIC PRESSURES, John C. Angus, Alberto Argoitia, Cliff C. Hayman, Case Western Reserve Univ, Dept of Chemical Engr, Cleveland, OH; Long Wang, Case Western Reserve Univ, Dept of MS&E, Cleveland, OH; Kathleen Kash, Jeffery S. Dyck, Case Western Reserve Univ, Dept of Physics, Cleveland, OH.

Atomic nitrogen, derived from a microwave ECR source, is used to saturate liquid gallium with dissolved nitrogen at temperatures up to 1100C. Polycrystalline gallium nitride of bulk dimensions is grown from the resulting Ga/N melt. This process circumvents the high pressures required to grow GaN from Ga and molecular nitrogen, N. The GaN crystals grow as small hexagonal platelets and, in some regions, show a dendritic structure characteristic of freezing from a supersaturated solution. The crystals were characterized by Raman spectroscopy, elemental analysis, scanning electron microscopy, transmission electron microscopy, x-ray and electron diffraction, and photoluminescence spectroscopy. The crystals were primarily wurtzitic, although a few patches of cubic, zinc blende structure were noted. The polycrystalline GaN shows band edge and ''yellow band'' photoluminescence at both 10 K and 300 K despite the presence of grain boundaries and planar defects. The experimental results show that the recombination of N to N is slow compared to the parallel formation of GaN. The thermochemistry of GaN synthesis from both atomic nitrogen and molecular nitrogen will be discussed and some reasons presented for the slow recombination rate of atomic nitrogen at the reaction conditions. The results demonstrate that atomic nitrogen is an attractive alternative to molecular nitrogen for the synthesis of bulk gallium nitride.

10:15 AM D9.5 
POLAR-TWINNED DEFECTS IN LiGaO SUBSTRATES LATTICE MATCHED WITH GaN, Takao Ishii, Yasuo Tazoh, Shintaro Miyazawa, NTT System Electronics Laboratories, Atsugi-shi, JAPAN.

LiGaO crystal is orthorhombic with cell dimensions a=5.402 b=6.372 and c=5.007 and the crystal structure is similar to that of wurzite. The lattice mismatch to hexagonal GaN is only 0.9. Single crystals of LiGaO were grown using the Czochralski pulling method, Crossed lines were observed in mechano-chemically polished -oriented wafers sliced from a [001] axis boule. Chemical etching also revealed that there exists a difference in chemical stability between the two domains separated by the crossed line. Since LiGaO single crystal is polar along the c-axis, the formation of unique domain boundary is presumably attributable to the polarity inversion of the c axis, that is, the polar-twin boundary. This polarity inversion is associated with a phase transition below the melting temperature, which was verified by means of DTA experiments. C-axis oriented GaN thin films (thickness: 500 ) were grown on (001) LiGaO substrates by MBE. The X-ray rocking curves of (0002) reflection of the grown films were different for each measured area. The surface morphologies observed with AFM were also different for each domain separated by the crossed line. Further investigation into the interface between the substrate LiGaO and the GaN film and/or growth mode is underway to clarify the suitability of LiGaO as a substrate for GaN.

10:30 AM D9.6 
ETCHING STUDY OF LiGaO AND LiAlO CRYSTALS, Qing Ye, Bruce H.T. Chai, Univ of Central Florida, CREOL, Orlando, FL.

LiGaO and LiAlO crystals are two newly reported substrate materials which have the closest lattice matching (+0.19 and 1.45, respectively) for the epitaxial growth of GaN thin films to be used to produce blue/ green/UV LEDs and laser diodes. Similar to sapphire, both crystals are electrical insulators which add more difficulties in device fabrication. Fortunately, both crystals are etchable in acids so that they can be removed afterward. We have conducted a series of systematic etching studies of these two crystals in hydrochloric, phosphoric and sulfuric acids at fixed temperatures and acid concentrations. In general, the etching rate of LiGaO is about one order of magnitude higher than that of LiAlO. The etching rate varies largely with the nature of acids, but increases steadily with temperature. In the case of phosphoric and sulfuric acids, the etching rate is maximized at intermediate acid concentration. The etching rate follows reasonably well with simple diffusion equation. The etching also reveals microstructures at each surface. Based on the etching pattern, we are able to recognize the twinning structure within the crystal and also the distinct different patterns of (001) and (00-1) faces of LiGaO. We believe that this is very useful information and the substrate side may affect the growth and even the film quality of GaN, since the (0001) and (000-1) faces of GaN are also very different. We will present detailed etching figures and etching rate results in this presentation.

10:45 AM D9.7 
INFLUENCE OF THE MOCVD GROWTH PARAMETERS ON GaN FILMS GROWN ON LiGaO, Olga Kryliouk, Todd Dann, Tim J. Anderson, Univ of Florida, Dept of Chemical Engr, Gainesville, FL; A. Gaskov, Moscow State Univ, Dept of Chem, Moscow, RUSSIA; Kevin S. Jones, J. H. Li, Univ of Florida, Dept of MS&E, Gainesville, FL; B. Chai, Univ of Central Florida, CREOL, Orlando, FL.

GaN is commonly grown heteroepitaxially due to the lack of GaN substrates. Hence the properties of the epitaxial films are influenced by the substrate materials used. In the past, the commonly used substrate of sapphire and ZnO resulted in large lattice mismatches between the film and substrate. Such mismatches result in significant extended defect concentrations which can adversely affect the quality of optoelectronic devices made using this material. We are presently investigating MOCVD grown epilayers on the novel nearly lattice-matched (0.09) substrate of lithium gallate (LiGaO), using TEGa and ammonia as a sources, and N as a carrier gas. The influences of the substrate, its pretreatment, and the deposition parameters on film quality are discussed. For (001) LiGaO, the nitridation of the surface before the actual deposition and its effect on film quality was studied. AFM, AES, SIMS, SNMS and TEM studies showed that substrate nitridation with ammonia at high temperature leads to a flat N-terminated surface, with a roughness of R = 0.10 nm. Growth of GaN on nonnitridated or pretreated in NH at low temperatures (650C) showed a very rough surface (Rg0.987 nm), with subsequent 3-d growth mode. The surface morphology of GaN films grown on (001) LiGaO pretreated in NH at 800 and 900C, however, were very smooth (R = 0.032 nm), consistent with a 2-d growth mode. In addition, TEM, AES and SNMS were used to study the GaN-LiGaO interface region. The effect of nitridation on Li diffusion from the substrate into the GaN films will be discussed.

11:00 AM D9.8 
SUBSTRATE EFFECTS ON GROWTH OF InN, Sean M. Donovan, John Devin MacKenzie, Cammy R. Abernathy, Stephen J. Pearton, Paul H. Holloway, Univ of Florida, Dept of MS&E, Gainesville, FL; Fan Ren, Bell Labs, Lucent Technologies, Murray Hill, NJ; John M. Zavada, U.S. Army Research Office, Electronics Div, Research Triangle Pk, NC; B. L. Chai, Univ of Central Florida, CREOL, Orlando, FL.

InN and In-containing alloys are being considered for use as ohmic contact formation layers. Because these materials are usually heavily doped n type as deposited, they are presently only being used for contact to n-type materials. If the residual doping could be reduced, it might be possible to prepare p-type InN for use in contacting p-type materials as well. One possible source of this conductivity is the high defect density induced by the large lattice mismatch between the epilayer and the substrate. In this paper, we will discuss the effect of substrate on InN grown by MOMBE. Material quality was determined by Hall measurement, x-ray diffraction, SEM and AFM. Interfacial reactions between the substrates and the RF nitrogen plasma were studied using ESCA, AES and AFM to correlate the substrate surface characteristics with InN material quality. Substrates investigated include GaAs, sapphire, ZnO and LiAlO. The ability to form a nitrided surface layer prior to growth was found to be important to the attainment of good surface morphology and crystal quality. Those materials which do not form interlayers, such as ZnO, did not produce significant improvement in spite of the reduced mismatch. Even for those substrates which do form interlayers, low temperature nucleation layers were still found to be beneficial. Finally, while substrate choice was important to the structural quality, it had little or no effect on electrical behavior, suggesting that the residual electron concentration is primarily determined by point defects or impurities.

11:15 AM D9.9 
THE INFLUENCE OF THE NITRIDATION ON THE EPITAXIAL ORIENTATION RELATIONSHIPS, THE DEFECT POPULATION AND THE RELATED LUMINESCENCE PROPERTIES, Silke Christiansen, Univ Erlangen-Nurnberg, Inst of Werkstoffwissenschaften, Erlangen, GERMANY; Martin Albrecht, Univ Erlangen-Nurnberg, Dept of Mikrocharakterisierung, Erlangen, GERMANY; Horst P. Strunk, Univ Erlangen-Nurnberg, Inst Materials Science, Erlangen, GERMANY; M. Mayer, M. Kamp, K. J. Ebeling, Univ Ulm, Ulm, GERMANY; Carlo Zanotti-Fregonara, MASPEC Inst, Parma, ITALY; Giancarlo Salviati, CNR, MASPEC Inst, Parma, ITALY.

Prior to deposition of hexagonal GaN onto sapphire, nitridation is performed, i.e., the sapphire is exposed to nitrogen under different conditions (temperature, nitrogen fluxes, nitridation times). We analyze the interface structures by conventional and high resolution transmission electron microscopy techniques as a function of the nitridation parameters. As a consequence of the selected combination of nitridation parameters, various orientation relationships occur. In addition to the commonly observed orientation relationship [100]//[110] and [20//[100], we find two further ones: [20]//[100] and [012]//[110], and [20]//[100], and [103]//[110]. The reduced symmetry of hexagonal structures offers these different epitaxial orientations which obviously easily form. They cause varied defect populations (dislocations, planar defects). Thus, by fostering one or a selection out of these orientation relationships, one may engineer the respective defect population and also the luminescence phenomena related to it.

11:30 AM D9.10 
INITIAL STAGES OF MOCVD GROWTH OF GALLIUM NITRIDE USING A MULTI-STEP GROWTH APPROACH, Junko T. Kobayashi, Nobuhiko P. Kobayashi, Xingang Zhang, Daniel H. Rich, P. Daniel Dapkus, Univ of Southern California, Dept of MS&E, Los Angeles, CA.

The growth of GaN on (0001) sapphire substrates by atmospheric pressure metalorganic chemical vapor deposition (MOCVD) equipped with a close spaced showerhead reactor is studied. Three distinct macroscopic evolution stages are observed and identified as follows: (l) the first stage, where truncated 3D islands (TTIs) are grown on low-temperature grown buffer layers, (2) the second stage, where TTIs are merged laterally to form continuous film, and (3) the third stage, where 2D growth takes place. A multistep growth sequence in which growth conditions for each layer are optimized to obtain TTIs of uniform height and make the islands cover the entire buffer layer is introduced. A multilayer buffer layer strategy has been developed in which the thermal desorption and mass transport of the low temperature buffer layer are minimized by deposition of successive layers at increasing temperatures. The temperature for the overlayer growth is a tradeoff between the need to inhibit thermal desorption and mass transport of the buffer layers and the need to increase surface mobility to increase the lateral growth rate of the TTIs relative to their vertical growth rate. Therefore, also for overlayer growth, multistep growth sequence is used. Using this approach, we have been able to reduce the temperature of the growth to achieve smooth morphology and excellent characteristics. This paper will report the comparisons of the growth conditions of each layer, the morphology of TTIs and the electrical, structural and optical properties of the final overlayer. By using multistep growth and optimizing the growth conditions for each layer to form TTIs which have uniform height and cover the entire buffer layer, high quality GaN with featureless surface morphology is obtained. Double crystal x-ray diffraction rocking curves for GaN (0002) show FWHM values of 240 arc sec.

11:45 AM D9.11 
PLASMA CLEANING AND NITRIDIZATION OF SAPPHIRE SUBSTRATES FOR AlGaN EPITAXY, Matthias Seelmann- Eggebert, Fraunhofer-Inst, Freiburg, GERMANY; H. Zimmermann, Fraunhofer-Inst, Angewandte Festkorperphysik, Freiburg, GERMANY; H. Obloh, Fraunhofer-Inst, Inst Angewandte Festkor-perphysik, Freiburg, GERMANY; Rene Niebuhr, Fraunhofer-Inst, Angewandte Festkorperphysik, Freiburg, GERMANY; Bernd Wachtendorf, Aixtron GmbH, Aachen, GERMANY.

(Abstract Not Available)