Meetings & Events

Publishing Alliance

MRS publishes with Springer Nature

 

 

spring 1997 logo1997 MRS Spring Meeting & Exhibit

March 31 - April 4, 1997 | San Francisco
Meeting Chairs: Linda G. Griffith-Cima, David J. Eaglesham, Alexander H. King

Symposium E—Defects and Diffusion in Silicon Processing

Chairs

Salvatore Coffa, CNR
Tomas de la Rubia, Lawrence Livermore National Lab
Conor Rafferty, Bell Labs, Lucent Technologies
Peter Stolk, Philips Research Laboratories

Symposium Support

  • Bell Laboratories, Lucent Technologies
  • CNR-IMETEM
  • Lawrence Livermore National Laboratory
  • Philips Research Laboratories
  • SEMATECH, Inc.
  • SGS-Thomson Microelectronics
  • Technology Modeling Associates, Inc.

Proceedings published as Volume 469
of the Materials Research Society
Symposium Proceedings Series.

In the sessions below "*" indicates an invited paper.

SESSION E1: DEFECTS AND DIFFUSION PHENOMENA IN Si: IMPACT ON DEVICE PROCESSING 
Chairs: Tomas Diaz de la Rubia and Peter A. Stolk 
Tuesday Morning, April 1, 1997
Salon 1/2

8:30 AM *E1.1 
DEFECTS AND DIFFUSION ISSUES FOR THE MANUFACTURING OF SEMICONDUCTORS IN THE 21st CENTURY, James D. Plummer, Stanford Univ, Integrated Circuits Laboratory, Stanford, CA.

Within the past decade, process simulation has become an essential part of new technology development in the silicon IC industry. The use of TCAD (technology computer aided design) tools has been driven by the enormous cost of purely experimental approaches to technology development. Yet the power of these tools and their predictive capability are still greatly limited by the models they use. 
TCAD models for doping processes are universally based today on point defects. These models have evolved considerably int he past decade to incorporate additional understanding. The state-of-the-art today includes concentration dependent diffusion through Fermi level effects on defect concentrations, full coupling between defects and dopants which allows prediction of non-local diffusion effects, basic models for the effects of ion implantation damage (the +1 model), surface and interface effects (through effective recombination velocities and segregation), and full 2D and 3D simulations. 
As devices continue to shrink, better models will certainly be required. Challenges for the future include more detailed information about damage resulting from ion implantation, better understanding of point defect properties (equilibrium populations, diffusivities, transient response to temperatures changes), better models for point defect behavior at interfaces, and finally, development of accurate methods to actually measure 2D and 3D dopant profiles. This paper will attempt to describe where we are and where we need to be in the future.

9:00 AM *E1.2 
MODELING SILICON IMPLANTATION DAMAGE AND TRANSIENT ENHANCED DIFFUSION EFFECTS FOR SILICON TECHNOLOGY DEVELOPMENT, Martin D. Giles, Intel Corp, Dept of Technology CAD, Santa Clara, CA; Harold W. Kennel, Paul A. Packan, Shaofeng Yu, Intel Corp, Dept of Technology CAD, Hillsboro, OR.

Despite more than 20 years of effort, detailed understanding of defect-coupled dopant diffusion in silicon still falls short of what is practically required to support state-of-the-art silicon technology development. The challenge for modeling in industry is to combine the best of our physical understanding with measurements of dopant profiles for technology-relevant conditions to provide models which are as predictive and efficient as possible.
The biggest obstacle to predictive modeling comes from the interaction between process steps, almost always linked through the generation and annealing of implant damage. Damage reduces channeling, modifying the dopant distribution for subsequent implants. Amorphizing implants have the further complication of solid phase epitaxial regrowth and the formation of a dislocation loop layer which influences subsequent diffusion. Modern technologies minimize thermal processing so incomplete damage annealing and interaction between multiple implants make a significant difference to the final dopant profile. For very shallow junctions, the silicon surface also plays a large role in vertical and lateral dopant motion. This paper will present experimental results and modeling approaches which attempt to comprehend these effects, provide insight into the underlying physical mechanisms, and support the needs of technology development.

9:30 AM E1.3 
THE INFLUENCE OF TRANSIENT-ENHANCED DIFFUSION (TED) ON THE ANOMALOUS INCREASE OF BODY CONSTANT FOR DEEP SUB-MICRON BURRIED-CHANNEL PMOSFETs, D.Y.C. Lie, Rockwell International Corp, Rockwell Semiconductor Systems, Newport Beach, CA.

The anomalous increase in body constants for deep-submicron buried channel PMOSFETs has been observed and reported here for the first time. For PMOSFETs with gate channel lengths (L), greater than 0.35 m, typical Vt roll-off behavior is found and the body constants (KB) of these transistors always decrease with decreasing L. For PMOSFETs with Lg below 0.35 m, Vt still decreases rapidly with shorter L; however, body constants can increase considerably with decreasing L. It is found that the exact L value at which K starts to increase and the amount of this increase are both sensitive to the implant conditions and/or post-implant annealing treatments. Recent data reported by Vuong et al. Are rather consistent with my findings, even though they did not present either experimental data or simulation results on KB for devices of L m [1]. Since body effect depends primarily on the gate oxide thickness and channel doping a significant redistribution of channel dopant profiles should have taken place during annealing, resulting in the anomalous increase of K at small channel lengths. It is proposed that the implantation-induced damage at LDD and/or S/D regions can either (1) cause enhanced diffusion of boron atoms toward the gate oxide interface during annealing; and/or (2) enhance the diffusion of P atoms toward the surface; and/or (3) enhance the movement and segregation of B atoms toward the S/D region [2-4]. The combination of these three 2-D dopant diffusion effects makes the relationship between K and L very complicated for these deep-submicron buried-channel PMOSFETs, which complexity signals the difficulties associated with the continuous shrinkage of buried-channel PMOSFETs below L 0.35 m, due to undesired TED. My data suggest that significant amount of P atoms (from N-well implant) should have diffused into the surface channel region, and the surface B atoms in the channel region (from V implant) should have diffused out, resulting in the anomalous body effect for small PMOSFETs. TED-induced body effects on buried-channel PMOSFETs treated with halo implants will also be reported and compared with the ones described above that receive standard LDD implants.

9:45 AM E1.4 
EFFECT OF DOSE RATE AND IMPLANT TEMPERATURE ON THE CONCENTRATION OF INTERSTITIALS RELEASED FROM END OF RANGE IMPLANT DAMAGE IN Si, Kevin S. Jones, Lance Robertson, Univ of Florida, Dept of MS&E, Gainesville, FL; Per S. Kringhoj, Univ of Aarhus, Inst of Physics & Astronomy, Aarhus, DENMARK; Leonard M. Rubin, Eaton Corp, Beverly, MA; John Jackson, Eaton Corp, Semiconductor Equip Div, Beverly, MA.

Low temperature MBE was used to grow B doping superlattices (DSL) consisting of three spikes 100 wide. Amorphization of these DSL samples was done using a combination of Si implants of 30 keV and 112 keV each at dose of 1x10. The energy and dose were chosen such that the amortization fell halfway between the first and second doping spikes. The dose rate of the implants was varied over two orders of magnitude 0.1 mA to 10 mA. The implant temperature was varied from 5C to 40C. Increasing the dose rate or decreasing the implant temperature was observed to increase the amorphous layer depth and decrease the end-of-range loop density. SIMS was used to monitor the subsequent transient enhanced diffusion. The use of multiple spikes allowed for the study of the relative fluxes of interstitials as a function of the end-of-range damage. Increasing the implant dose rate or decreasing the implant temperature appears to decrease the flux of interstitials into the bulk, but these changes increase the flux of interstitials toward the surface. The implications of these findings on device processing and process simulators will be discussed.

10:30 AM *E1.5 
TRANSITION METAL GETTERING FOR VLSI AND BEYOND, Eicke R. Weber, Univ of California-Berkeley, Dept of MS&ME, Berkeley, CA; Henry Hieslmair, Univ of California-Berkeley, Dept of MS&ME, Berkeley, CA; Scott A. McHugo, Lawrence Berkeley National Laboratory, Advanced Light Source Center, Berkeley, CA; C. Flink, A. Istratov, Univ of California-Berkeley, Berkeley, CA.

The sensitivity of IC process technology towards transition metal contamination is continuously increasing. The main line of defense against contamination is generally ultraclean processing. Additionally, to provide sufficient process flexibility, effective gettering of contamination within the wafer is necessary as insurance against uncontrolled contamination during a particular process. However, effective gettering of contamination in the required concentration range poses a formidable challenge. An important part of this gettering has to take place at the wafer surface with chemical methods. This talk, however, will concentrate on strategies of gettering of metal contaminants from within the wafer. Traditional approaches such as relaxation-driven internal gettering at oxide precipitates are becoming less effective, and attention is turning to segregation-driven approaches, especially at gettering sites close to the device area (''proximity gettering''). After a discussion of the fundamental mechanisms and limitations of different gettering strategies, new experimental results concerning the quantitative analysis and modeling of gettering efficiency will be presented.

11:00 AM E1.6 
DETECTION OF METASTABLE DEFECTIVE REGIONS IN ION-IMPLANTED SILICON BY MEANS OF METAL GETTERING, Reinhard Koegler, J. R. Kaschny, Forschungszentrum Rossendorf, FWIM, Dresden, GERMANY; Rossen A. Yankov, Research Center Rossendorf Inc, Inst of Ion Beam Physics, Dresden, GERMANY; A. Muecklich, Forschungszentrum Rossendorf, FWIM, Dresden, GERMANY; Wolfgang Skorupa, Research Center Rossendorf Inc, Inst Ion Beam Phys & Matls Research, Dresden, GERMANY; Peter Werner, Max-Planck-Inst, Inst of Microstructure Physics, Halle (Saale), GERMANY; A. B. Danilin, Centre for Analysis of Substances, Moscow, RUSSIA.

Damage retention in ion-implanted and annealed silicon is a critical problem in current device technology. In this work we demonstrate that metal gettering can be used to identify residual implantation-induced point defects and small defect complexes in the Si lattice. Secondary ion mass spectrometry (SIMS) analysis of impurity distributions reveals that midway between the Si surface and the peak of the gettering implant profile there exists an additional, metastable band of defects which traps transition-metal atoms. These defects are not directly detectable by the standard analytical techniques of Rutherford backscattering/channelling (RBS/C) and transmission electron microscopy (TEM). The defective band persists over the temperature range typically required for thermal processing in advanced Si technology, and is only removed at anneal temperatures above 1000C. The observed phenomenon may place a limit on the viability of high-energy ion implantation, especially in the case of formation of buried layers, if low thermal budgets for damage annealing are to be used. Defect generation and undesirable metal gettering coincidentally occurring forward of the main implant at about R/2 will have detrimental effects on the space charge region of active devices above buried layers. Therefore, an understanding of the formation and evolution of these metastable defects seems to be crucial in developing practical schemes for high-energy ion-beam processing of Si.

11:15 AM E1.7 
KINETICS AND THERMODYNAMIC CONSTRAINTS IN THE GETTERING OF METAL IMPURITIES IN CRYSTALLINE Si, Giovanni Franco, M. Camalleri, M. Di Dio, SGS Thomson Microelectronics, Catania, ITALY; Salvatore Coffa, V. Raineri, CNR, IMETEM, Catania, ITALY.

The remotion of metal contamination from the active area of a device is a major issue in the processing of Si integrated circuits of increased complexity. In this work we have investigated the gettering of metal impurities (Pt, Fe, and Cu) at sites as diverse as P-doped regions, ion damage, voids and high energy B implants. After the introduction of the gettering sites on crystalline Si wafers uniformly doped with metals at concentration in the range 510 510/cm, segregation of the impurities at the sites were produced by a low temperature process (700-900C) and the thermal stability of the gettering during processes at higher temperature was explored. Lifetime measurements by contactless techniques, spreading resistance, and SIMS analyses were used to monitor the depletion of the metal concentration in the bulk and its accumulation at the gettering sites. It is found that the gettering is the result of a solute partitioning of the metal species between the bulk and the site area that can be described in terms of an effective binding energy (1 to 3 eV depending on the specific metal gettering sites combination) between the impurity and the sites. The optimum temperature of the gettering process is the result of a compromise between kinetics constraints (requiring temperatures high enough to allow mobility of the metal atoms) and thermodynamics constraints (requiring low temperatures in order to have a high partition coefficient). Hence the efficiency of a gettering process is strongly dependent on the diffusion mechanism of the metal impurity: for hybrid diffusers (e.g., Au, Pt) gettering requires dissolution of the incorporated metal atom from substitutional to interstitial sites (where they are mobile) and, as a consequence, gettering can kinetically occur only above 700C and it is independent of tho cooling rates; on the other hand, interstitially diffusing species (e.g., Fe, Cu) are preferentially gettered during cooling since gettering is still kinetically allowed at lower temperatures where the partition coefficient is significantly higher.

11:30 AM E1.8 
LOW LEVEL CU CONTAMINATION OF SILICON DURING WET CLEANING STUDIED BY TRANSIENT ION DRIFT, Thomas Heiser, Univ Louis Pasteur, Dept of Physics, Strasbourg 2, FRANCE; Scott Mchugo, Lawrence Berkeley National Laboratory, Dept of Matl Science, Berkeley, CA; Henry Hieslmair, Univ of California-Berkeley, Dept of MS&ME, Berkeley, CA; Eicke R. Weber, Univ of California-Berkeley, Dept of MS&ME, Berkeley, CA.

Although Cu is one of the major metallic contaminants in silicon, its properties in connection with gettering procedures or device failures are less well understood as for iron impurities. The latter introduces well defined deep levels in the band gap as interstitial iron or iron- acceptor pairs which make it an effective recombination center, affecting devices which depend on minority carrier diffusion length. On the other hand, numerous deep levels have been attributed to Cu in silicon. They all depend on the thermal history of the sample, none of them are representative for the total Cu concentration in the material. The absence of an unambiguous fingerprint for Cu in silicon, hinders the gettering studies considerably. Moreover, the tolerance for Cu contamination of a particular device depends on the microscopic state of the copper atoms as well as on the device structure. In this work, we show that transient ion drift analysis can be used to measure low Cu concentrations in p-type silicon unambiguously, with a detection limit of the order of 1e11cm-3. We used the method to study Cu contamination of silicon during chemical cleaning. A short dip into a solution of H20:HNO3 (1%), containing only 50 ppb of Cu ions, and subsequent annealing at 1000C for 45 seconds, are found to be sufficient to induce a bulk contamination of about 5e13cm-3. Moreover, a linear dependence of the copper contamination on the copper concentration in the solution is found, suggesting to use this process for controlled Cu contamination in gettering studies. Further applications of this technique for the study of Cu contamination of silicon wafers will be discussed.

11:45 AM E1.9 
MeV IMPLANTS FOR GETTERING IN SILICON, David J. Eaglesham, Bell Labs, Lucent Technologies, Dept of Silicon Processing Research, Murray Hill, NJ; D. Huber, Wacker Siltronic AG, Burhausen, GERMANY; Dale C. Jacobson, K. Bourdelle, Bell Labs, Lucent Technologies, Murray Hill, NJ; Aditya Agarwal, Oak Ridge National Laboratory, Oak Ridge, TN; Janet L. Benton, Bell Labs, Lucent Technologies, Murray Hill, NJ; John M. Poate, Bell Labs, Lucent Technologies, Dept of Silicon Processing Research, Murray Hill, NJ; R. Dulas, R. Pech, J. Brunner, Wacker Siltronic AG, Portland, OR; S. Wilson, Leonard M. Rubin, R. Simonton, Eaton Corp, Beverly, MA; Shuichi Saito, NEC Corporation, ULSI Device Dev Labs, Kanagawa, JAPAN.

High-energy ion implantation is now widely implemented in manufacturing for well formation. There is considerable interest in extending its use to include implants at much higher doses where gettering of metals occurs. We report measurements of the structural and electrical quality of Si following high-dose MeV ion implantation. The dislocation structure following implantation and annealing is determined by the concentration of excess interstitials arising from the ''plus one'' implanted ions. There exists a ''bad dose'' regime where defect densities from Schimmel etching and TEM correlate with poor electrical properties, obtained from carrier lifetime, DLTS and EBIC measurements, and with device properties such as increased junction leakage and gate oxide breakdown. Outside and ''bad dose'' regime these properties all show negligible impact from the implant damage. We present a model for the nucleation of dislocations that describes the ''bad dose'' regime in which there is insufficient nucleation, resulting in growth of some dislocations from the end-of range all the way to the surface.

SESSION E2: DEFECT PROPERTIES AND IMPURITY-DEFECT INTERACTIONS IN Si 
Chairs: Salvatore Coffa and Conor S. Rafferty 
Tuesday Afternoon, April 1, 1997
Salon 1/2

1:30 PM *E2.1 
NATIVE DEFECTS AND THEIR INTERACTIONS WITH IMPURITIES, George D. Watkins, Lehigh Univ, Dept of Physics, Bethlehem, PA.

A review will be given of what has been learned over the last 35 years concerning the intrinsic lattice defects-vacancies and interstitials-in silicon. The early information came from electron paramagnetic resonance (EPR) studies of electron-irradiated material, but in the intervening years other experimental techniques and theory have emerged to help fill in the picture. Lattice vacancies are well understood, their diffusional migration energies determined vs. charge state and electronic excitation, and their interactions with most of the common impurities established. The isolated interstitial has not been observed directly but a great deal has been learned concerning it from the early experimental studies of its interactions with impurities, and more recently from theory. In fact, most of the essential facts needed to understand the recent phenomenon of transient enhanced diffusion-the rapid diffusion of interstitials and their interaction with carbon-have been in place for many years.

2:00 PM *E2.2 
AB INITIO STUDIES OF POINT DEFECTS AND DEFECT-DOPANT INTERACTIONS IN Si, Jing Zhu, Lawrence Livermore National Laboratory, Dept of Physics, Livermore, CA.

It is well known that ion-implanted dopants such as boron undergo transient enhanced diffusion (TED) due to the presence of excess Si self-interstitials. Recently, high concentration carbon has been shown to suppress boron TED, presumably due to the trapping of Si interstitials. Understanding and accurately modeling these TED related processes are vital to Si device processing. In this work, we use the ab initio pseudopotential method to study these TED related processes. The electronic degrees of freedom are included explicitly, together with the fully self-consistent treatment of the electron charge density. A large supercell and a fine k-point mesh are used to ensure numerical convergence. Such method has been demonstrated to give quantitative description of defect energetics. We will show that B diffusion is significantly enhanced in the presence of the Si interstitial due to the substantial lowering of the migrational barrier through a kick-out mechanism. The resulting mobile boron can also be trapped by another substitutional boron, forming an immobile and electrically inactive two-boron pair. Similarly, carbon diffusion is also enhanced significantly due to the pairing with Si interstitials. However, carbon binds to Si interstitials much more strongly than boron does, taking away most Si interstitials from boron at sufficiently large carbon concentration, which causes the suppression of the boron TED. We will also show that Fermi level effect plays an important role in both Si intersititial and B diffusion.

2:30 PM E2.3 
EXTRACTING INFORMATION FROM A SUPERCELL CALCULATION, Walter A. Harrison, Stanford Univ, Stanford, CA.

One difficulty which arises in obtaining formation energies from a supercell calculation is the broadening of the individual defect levels into a superlattice band. The effects may be far from negligible at the largest realistic supercells. A second difficulty comes from the Coulomb enhancement of the density-functional gap. If the defect places electrons in the conduction band, or conduction-band related states, local-density theory will underestimate the cost in energy, by some 0.6 eV per electron in silicon. One may eliminate approximately the finite-supercell effects by extrapolating results for different cell sizes to infinite cells. This is greatly aided by a theoretical description of the interacting defect levels, which we provide based upon a generalization of transition-metal pseudopotential theory. The fitting then gives the large-cell limit, the coupling between the defect level and the band states, and a consistency check. The coupling can be used directly with the Golden Rule to calculate emission and capture rates for the defect level. 
A second supercell effect, if electrons are deposited in the conduction band, is the excess band energy of these electrons. For the tetrahedral interstitial, it is over one electron volt per interstitial for the 128-atom supercell. This may also be extrapolated to the infinite cell. Then, and only then, can one apply the gap enhancement for the isolated defect.

2:45 PM E2.4 
BORON CLUSTERING IN SILICON UNDER AN INTERSTITIAL FLUX: A STUDY USING DELTA DOPED STRUCTURES, Todd W. Simpson, Ian V. Mitchell, Univ of Western Ontario, Dept of Physics & Astronomy, London, CANADA; Jean-Marc Baribeau, National Research Council of Canada, Inst for Microstructural Sciences, Ottawa, CANADA.

Understanding has advanced significantly in the interpretation of the thermal release of self interstitials into a silicon lattice from a region, e.g. ion implanted, which is rich in point defects. Progress has been accelerated through the use of delta doped layer structure, especially of boron doping type, where dopant (boron) diffusion marks the arrival of the self interstitial species from a physically separate damage region. Frequently observed in TED experiments is the appearance of an immobile, electrically inactive, boron peak on top of a spreading diffusion profile. This signature boron peak has been attributed to the Si-interstitial driven clustering of boron. It has been observed that this feature occurs for sufficiently high boron concentrations and implant fluences; however, a complete understanding of the clustering mechanism has remained elusive. We present extensive new data from experiments where the self implant fluence has been varied to allow the intensity of the transient flux to be adjusted, where [B] has been varied over more than 2 decades to change trapping and complexing probabilities, and where repeat distances in the MBE structure have been adjusted to test for bulk trapping. This has led to some important clarifications to the current picture of boron clustering during TED. These results seem to be important in the context of the search for engineering control over dopant redistribution in Si during post-implantation annealing.

3:30 PM *E2.5 
POINT DEFECTS, DIFFUSION AND GETTERING IN SILICON, Ulrich M. Goesele, Max-Planck-Inst, Dept of Microstructure Physics, Halle, GERMANY; D. Conrad, Peter Werner, Max-Planck-Inst, Inst of Microstructure Physics, Halle, GERMANY; Teh Y. Tan, Roman Gafiteanu, Duke Univ, Dept of ME&MS, Durham, NC.

The presentation will cover three topics. In the intro- ductory part, our present knowledge on intrinsic point de- fects, their thermal equilibrium concentrations and diffusi- vities will be discussed. Special emphasis will be put on the open question whether vacancies or carbon atoms slow down the long-range transport of silicon self-interstitials. In the first case vacancies are required to diffuse relati- vely slow, whereas in the second case, the vacancy diffusi- vity can be expected to be high, as also indicated by mole- cular dynamics simulations. 
In the second part, the role of carbon in terms of absor- bing excess self-interstitials will be investigated. It will be argued that agglomeration of carbon does not immediately lead to SiC formation, which allows the absorption of one self-interstitial for each agglomerated carbon atom. Instead, an intermediate silicon-carbon phase will be formed associated with an additional volume decrease of about 15 so that each carbon atom in an agglomerate can absorb more than one sili- con self-interstitial, as observed in experiments on the sup- pression of transient enhanced diffusion (TED) of boron by co-implantation of carbon. Final transformation of these in- termediate silicon-carbon agglomerates to SiC precipitates by a high temperature treatment, will lead to a release of these extra 15 of absorbed self-interstitials, which explains corresponding experimental observations. 
In a final part, our present day understanding of gettering will be touched upon and mathematical approaches to model ge- ttering processes will be presented.

4:00 PM E2.6 
POINT DEFECT PROPERTIES FROM METAL DIFFUSION EXPERIMENTS - WHAT DOES THE DATA REALLY TELL US?, Scott T. Dunham, Srinivasan Chakravarthi, Boston Univ, Dept of E&CE, Boston, MA.

Point defect properties including diffusivities and equilibrium concentrations for both interstitials and vacancies are commonly extracted from metal diffusion experiments, and these values are widely used in process simulation software. However, in many cases, these parameter values were extracted using oversimplified models which ignore interactions between interstitial and vacancy diffusion mechanisms. Questions about the accuracy of these parameters has come from ab-initio defect calculations which conclude that vacancies diffuse faster than interstitials, in contrast with published reports on metal diffusion which find vacancies diffuse much more slowly than interstitials. We have reanalyzed published data for gold, platinum and zinc diffusion and find that it is possible to match all of the data using fast vacancy diffusivity. The most direct evidence for slow vacancy diffusion (and a high equilibrium concentration) comes from platinum diffusion experiments. However, we are able to reproduce these results with fast V diffusion and carbon/interstitial clustering, using carbon concentrations typical of Czochralski and float zone silicon (). We find that it is not possible to reliably determine diffusivities and equilibrium concentrations for both interstitials and vacancies from metal diffusion results, and based on estimates of experimental error, we calculate parameter confidence intervals.

4:15 PM E2.7 
DIFFUSION OF COMMON DOPANTS IN Si: THE INTERSTITIAL FRACTION, Hans J. Gossmann, Bell Labs, Lucent Technologies, Murray Hill, NJ; Tony E. Haynes, Oak Ridge National Laboratory, Oak Ridge, TN; Peter A. Stolk, Philips Research Laboratories, Eindhoven, NETHERLANDS; Toshi K. Mogi, Cornell Univ, Ithaca, NY; C. A. King, R. W. Johnson, Dale C. Jacobson, Bell Labs, Lucent Technologies, Murray Hill, NJ; H. S. Luftman, Breinigsville, PA; Michael O. Thompson, Cornell Univ, Dept of MS&E, Ithaca, NY; John M. Poate, Bell Labs, Lucent Technologies, Dept of Silicon Processing Research, Murray Hill, NJ.

In the standard model of dopant diffusion in Si, the diffusion is mediated by the two native point defects, self-interstitials and vacancies. The relative contribution from each defect, as given by the interstitial fraction of diffusivity, , is a fundamental parameter for a given dopant, A. Accurate knowledge of is required for predictive simulations of Si processing, including transient enhanced diffusion, and to interpret experiments that utilize dopant markers to extract the behavior of native point defects. Experimental determination of traditional proceeds by solving a coupled set of equations, which is, in fact, an underdetermined set. Thus, additional assumptions about the native point defect concentrations have always been invoked to obtain a unique solution for . Previously, those assumptions have been either very severe, leading to very loose bounds on , or required equilibrium between interstitials and vacancies, which is not the case at temperatures around 800C. 
We show here that it is possible to derive meaningful bounds without any such assumptions other than local equilibrium between native defects and dopants. We achieve this by employing a pair of dopants under the same point-defect perturbation by using sharp dopant spikes, and by utilizing point-defect perturbations very far from equilibrium, such as are generated by ion-implantation. We obtain le 0.012 and 0.97 at temperatures of the order 800C. To our knowledge, these represent the strictest bounds reported to date for these dopants. We will also discuss the interstitial fraction of As and P, and put all the results into the theoretical context that expects substitutional dopants in S to either be pure vacancy-, or pure interstitial(cy)- diffusers.

4:30 PM E2.8 
STUDY OF POINT DEFECT CONCENTRATIONS DURING THE FLUORINATED OXIDATION OF SILICON, Ralph J. Jaccodine, Lehigh Univ, Bethlehem, PA; U. S. Kim, National Semiconductor Corp, Santa Clara, CA.

Some of our most important and quantitative insights on intrinsic point defects have been established as a result of the study of the influence of oxidation on their ''excess'' concentrations. From study of the growth and shrinkage of oxidation induced stacking faults (OSF) and from oxidation enhanced or retarded diffusion (OED-ORD) the fractional contribution of self-interstitials and vacancies can be assessed. The dominant influence on relatively thin dry or wet oxides is that of the injection of self interstitials away from the oxidizing interface into the bulk crystal. These self-interstitials have been variously attributed to the incompleteness of oxidation or interfacial stress and relaxation at the Si SiO interface. 
We have studied the role of fluorine additives (ppm) to the dry oxidation process. This paper will discuss similar oxidation-related phenomena (OSF, OED, and ORD), confirming that under our experimental conditions with normally dry oxidation plus fluorine the active point defect injection consists mainly of"excess" vacancies. OSF anneal at lower temperatures than with nitridation processes and with a lower activation energy (1.7 eV). The study of P,B,As,Sb oxidation enhanced-retarded diffusions validates this conclusion, with P,B yielding ORD instead of OED and Sb being enhanced rather than retarded as in normal oxidation. Oxidation induced diffusion experiments not only explored the role of the specific impurity (P,B,As,Sb) but also utilized specimens with four adjacent active regions (bare Si, oxide covered Si, nitride covered Si, and the normal control oxide covered by nitride). The ratios of C/C and C/C for fluorine addition will be contrasted with those formed by dry oxidation.

4:45 PM E2.9 
INVESTIGATION OF MECHANISMS OF VACANCY GENERATION IN SILICON WITH A TiSi FILM, S. Brad Herner, Hans J. Gossmann, Bell Labs, Lucent Technologies, Murray Hill, NJ; Kevin S. Jones, Univ of Florida, Dept of MS&E, Gainesville, FL; H. S. Luftman, Breinigsville, PA.

The perturbance in the Si vacancy concentration induced by silicidation of Ti thin films has been examined by antimony diffusion in doping superlattices. Samples with 30 nm of Ti were annealed (1) at 800C for various times and (2) for 60 minutes at various temperatures. The time and temperature dependence of the vacancy supersaturation was incompatible with a ''pulse'' injected during the silicidation reaction. This indicates that volume contraction at the growing film interface is not a mechanism for vacancy generation, and that the vacancy supersaturation is ''pinned'' to a multiple of equilibrium value that is temperature invariant. Morphological differences in the films annealed for various times and temperatures did not show a correlation between vacancy concentration in the substrate, indicating no relationship between film coverage and vacancy supersaturation.

SESSION E3: TRANSIENT ENHANCED DIFFUSION 
Chair: Hans J. Gossmann 
Wednesday Morning, April 2, 1997
Salon 1/2

8:30 AM E3.1 
DEFECT EVOLUTION DEPENDENCE ON ION IMPLANT ENERGY, Jeff Desroches, Kevin S. Jones, V. Krishnamoorthy, Univ of Florida, Dept of MS&E, Gainesville, FL; Craig Jasper, Motorola Inc, Advanced Custon Technologies, Mesa, AZ.

Recent studies by Eaglesham and other groups on the relationship between defect evolution and enhanced diffusion have lead to the discovery, for Si+ implants, that interstitial atoms released by extended defects (ie. 311's) are the primary source of TED. These experiments have focused mainly on the role of implant dose. Further work is necessary to understand the effect of varying other implant conditions, such as implant energy, on the interstitial storage and release mechanisms. 
Samples were implanted with Si+ at doses of 1e14 and 2e14 and energies of 30, 50 and 100 keV. Anneals were performed, either RTA or furnace, at various times, ranging from several minutes to several hours, at temperatures of 700, 750 and 800 C. Microstructural information was obtained using cross-sectional and plan-view TEM. Previous studies have reported a "+1.4" ratio between the trapped interstitial concentration and the implant dose. Our studies showed a value closer to "+0.3." Varying the implant energy did not significantly change the number of trapped interstitials. However, there was a noticeable variation in the trapping mode with implant energy. After an RTA of 5 min at 750 C, the ratio of 311 "rod-like" defects to dislocation loops unexpectedly increased with increasing energy. This implies that, within certain regimes, small loops may be an intermediate stage of defect evolution and that the dissolution of these loops, instead of 311's, may be responsible for interstitial release and TED. Results of additional experiments in this direction will be reported. Longer furnace anneals were used to find a value for the activation energy of 311 dissolution and pre-anneal interstitial concentration, testing the "+1" model proposed by Eaglesham et al. This new data suggests a slightly higher activation energy for 311 dissolution of approximately 4.2 eV versus the previously reported 3.6 eV.

8:45 AM E3.2 
BORON ELECTRICAL ACTIVATION AND DIFFUSION - DOPANT INTERACTIONS WITH SUBSTRATE IMPURITY TRAPS, Kim Kyllesbech Larsen, Peter A. Stolk, Philips Research Laboratories, Eindhoven, NETHERLANDS; V. Privitera, CNR, IMETEM, Catania, ITALY; J.G.M. van Berkum, N.E.B. Cowern, H. G.A. Huizing, W. B. de Boer, Philips Research Laboratories, Eindhoven, NETHERLANDS.

The electrical activation (EA) and transient enhanced diffusion (TED) of ion-implanted B during annealing has been investigated in detail. In order to study the effect of substrate impurities, such as carbon, oxygen and substrate dopant level, on EA and TED, three types of B doped substrates were chosen: (i) Cz 20 cm p-type Si(100), (ii) 3 m thick 20 cm p-type epilayer grown on a Cz 20 cm p-Si(100), and (iii) similar epilayer grown on a 5 mcm Fz p-type Si(100). The level of impurities (i.e., C, O) is known to decrease going from sample (i) to (iii). The samples were implanted with 20 keV. 5 x 10 cm cm B and subjected to rapid thermal annealing at various temperatures and times. The RA and TED were studied using spreading resistance profiling (SRP) and secondary ion mass spectrometry (SIMS), respectively. Although the amount of TED is almost identical for the three substrates, the EA is found to be significantly higher in the epilayers compared to the Cz substrates. These remarkable observations are discussed in terms of the interaction of boron with substrate impurities.

9:00 AM E3.3 
ENHANCED DIFFUSION OF DOPANTS IN VACANCY SUPERSATURATIONS PRODUCED BY MeV IMPLANTATION, V. C. Venezia, Tony E. Haynes, Oak Ridge National Laboratory, Oak Ridge, TN; Hans J. Gossmann, Bell Labs, Lucent Technologies, Murray Hill, NJ; A. Agarwal, Oak Ridge National Laboratory, Oak Ridge, TN; David J. Eaglesham, Bell Labs, Lucent Technologies, Dept of Silicon Processing Research, Murray Hill, NJ.

Transient enhanced diffusion (TED) of implanted dopants in silicon occurs during post implant annealing due to a large supersaturation of point defects, i.e., vacancies and interstitials. The mechanisms for TED of different dopants must be understood for the successful production of shallow junctions in semiconductors. In particular, the contributions to diffusion from vacancies and interstitials must be distinguished and quantified. Traditionally, interstitial diffusion has been more thoroughly characterized because larger enhancement effects have been observed. Recently, however, we have been able to induce extremely large diffusion enhancements for Sb, a vacancy diffuser, by using high energy (MeV) implantation of Si ions. High energy implantation produces an excess concentration of vacancies near the surface of the silicon substrate, in addition to the well known interstitials at the end of range of the ion. We will report new experiments in which the excess vacancy region is isolated from the excess interstitial region by implanting through thin film and multilayer silicon-based heterostructures. We will show that we can effectively ''implant'' large concentrations of vacancies into such layers. The diffusion of Sb and B markers in the ''vacancy implanted'' layers, as well as the interaction of vacancies with interstitials produced separately, will be discussed.

9:15 AM E3.4 
EXPERIMENTAL INVESTIGATION OF TRANSIENT ENHANCED DIFFUSION IN THE MeV RANGE , Lahir Shaik Adam, Univ of Florida, Dept of Electrical Engr, Gainesville, FL; Mark E. Law, Univ of Florida, Dept of E&CE, Gainesville, FL.

MeV implantation of Phosphorous is used to form N-Wells during CMOS processing. During implantation it is well known that point defects are created. During the subsequent anneal, these defects recombine and form extended defects. The extended defects dissolve at longer times. During these anneals, excess interstitials and vacancies recombine with each other or diffuse to surfaces which serve as recombination sites. However, until point defect concentrations return to their equilibrium values, enhanced dopant diffusion can occur. This paper investigates the effects of high eneergy, 1 and 3 MeV phosphorous implants for doses of 5E13 and 2E14. At these high energies, the surface should become less important since the damage is displaced into the bulk. There may also be effects from the increased separation of interstitials and vacancies. Prior work has focussed on damage resulting from sub-200KeV implants, and this cannot be extrapolated to the high energy regime. Furnace anneals have been performed and RTA is planned. Profile characterization with SIMS is currently being performed.

9:30 AM E3.5 
THE ROLE OF VACANCIES AND INTERSTITIALS IN TRANSIENT ENHANCED DIFFUSION OF ARSENIC IMPLANTED INTO SILICON, David Venables, North Carolina State Univ, Dept of MS&E, Raleigh, NC; Viswanath Kirshnamoorthy, Univ of Florida, Dept of Mat Sci & Eng, Gainesville, FL; Hans-J. Grossmann, Dale C. Jacobson, Bell Labs, Lucent Technologies, Murray Hill, NJ.

Ion-implantation-induced silicon self-interstitials have been identified as playing a dominant role in transient enhanced diffusion (TED) of interstitial diffusers (e.g., boron) in silicon. However, arsenic diffuses about equally by vacancy and interstitial mechanisms and thus both implantation-induced vacancies and interstitials could affect arsenic TED. Additional point defect sources, depending on the peak As concentration, may operate in the vicinity of the projected range during annealing of high dose As implants. The objective of this work is to assess the relative roles of vacancies and interstitials in controlling TED of As after high dose, amorphizing As implants in silicon. B and Sb delta-doped superlattices (DSLs) were implanted with As at an energy of 40 keV to doses of 2e14, 5c15, and 2e16 cm. The implanted DSLs were subsequently annealed at 700C for 16 hours. All implants produced a surface amorphous layer which regrew by solid phase epitaxy after annealing, leaving a layer of defects at the end-of-range. The DSL spacing and As implant energy were chosen so that doped layers were located both below the end-of range and in the vicinity of the projected range so that the point defect populations in both regions could be assessed. The three implant doses were chosen to evaluate the operation of additional point defect sources in the projected range region. Secondary ion mass spectrometry (SIMS) was employed to obtain depth profiles of As, B, and Sb. Enhanced diffusion of the B DSLs was taken as an indication of an interstitial excess, while enhanced diffusion of the Sb DSLs was taken as evidence of a vacancy excess. These results will be discussed in terms of the dominant point defects affecting transient enhanced diffusion of As.

9:45 AM E3.6 
THE EFFECT OF DOSE RATE AND IMPLANTED TEMPERATURE ON TRANSIENT ENHANCED DIFFUSION IN CRYSTALLINE SILICON, Jian Chen, Sushil Bharatan, Univ of Florida, Dept of MS&E, Gainesville, FL; John Jackson, Eaton Corp, Semiconductor Equip Div, Beverly, MA; Kevin S. Jones, Univ of Florida, Dept of MS&E, Gainesville, FL.

Transient Enhanced Diffusion (TED) is a critical issue in shallow junction formed by low energy ion implantation. Many efforts are being made toward optimizing the implant and annealing conditions to minimize the dopant TED. For amorphizing implants, it has been shown that the excess interstitial concentration is affected by the implant temperature and dose rate. For nonamorphizing implants, there are at least two proposed models to account for the excess interstitials: one model depends on the total number of displacements, while the other depends on implant dose. It has been proposed (plus one model) that the excess interstitial concentration depends on the dose of the implant and not on the specific details of the damage cascade. In order to investigate this model further, a series of implants have been studied as a function of dose rate and implant temperature. These variables allow us to change the nature of the damage cascade and I-V recombination without changing the implanted species depth of dose. 
In our experiments, the 10 keV B was implanted into <100> Si with dose of either 2x10 or 5x10 on an Eaton NV/GSD 104 implanter; the beam current was varied from 0.5, 1.5, and 5.0 m at 20C; in the other experiment, the wafer temperature was varied from 5, 20, and 40C with a dose rate of 5-6 m. These samples were chosen such that no 311s or loops found upon annealing for the 2 x 10 dose, whereas the 5 x 10 dose was above the extended defect formation threshold. In order to study if defect formation was affected by dose rate or implant temperature, annealing was done in a furnace at 750C and SIMS was used to study the TED along with TEM investigation of the defects. The results for the low dose implants indicate no dependence of TED on dose rate or implant temperature. This is consistent with the model suggesting the dose and depth of implanted ions is more important for TED than the nature of the ion damage track.

SESSION E4: LOW-ENERGY IMPLANTS AND SHALLOW JUNCTIONS 
Chair: Kevin S. Jones
Wednesday Morning, April 2, 1997
Salon 1/2

10:30 AM *E4.1 
LOW-ENERGY IMPLANTATION AND TRANSIENT-ENHANCED DIFFUSION: PHYSICAL MECHANISMS AND TECHNOLOGY IMPLICATIONS, N.E.B. Cowern, E. J.H. Collart, Peter A. Stolk, H. G.A. Huizing, J. Politick, P. H.L. Bancken, K. Kyllesbech Larsen, J. G.M. Berkum, Philips Research Laboratories, Eindhoven, NETHERLANDS.

The technological push towards deep-submicron devices implies the formation of extremely shallow junctions. To achieve this goal, ion implantation in the energy range of a few keV and below is required. We present data on the atomic and electrical profiles obtained after low energy B implantation and thermal annealing, and discuss the mechanisms of implant damage annealing and transient enhanced diffusion (TED) involved in forming these profiles. The mechanisms are significantly different from those involved in TED of higher energy implants. Implantation Low-energy B Implants have significant ' 'tails''; however, unlike the high-energy case, these are not formed by channeling, but by room-temperature diffusion and trapping of B interstitials in small impurity clusters. Complementary experiments demonstrate the mobility of both B interstitials and Si self interstitials at room temperature, and provide a new lower limit for the Si interstitial diffusivity at room temperature. Annealing After annealing, low-energy B implant profiles show anomalous TED. The resulting profile redistribution increases smoothly with depth into the silicon, showing almost no broadening in the peak of the implant, but extensive diffusion in the lowest concentration part of the tail. Isoconcentration experiments using isotopically B-doped epitaxial layers show that the amount of diffusion is influenced by the local concentration of B. The effect is explained, and modelled, in terms of annealing and ripening of B interstitial clusters.

11:00 AM *E4.2 
NEW ASPECTS OF VERY LOW ENERGY ION-IMPLANTATION FOR ULTRA SHALLOW JUNCTIONS, Aditya Agarwal, Oak Ridge National Laboratory, Oak Ridge, TN; David J. Eaglesham, Bell Labs, Lucent Technologies, Dept of Silicon Processing Research, Murray Hill, NJ; Hans J. Gossmann, Bell Labs, Lucent Technologies, Murray Hill, NJ; Tony E. Haynes, Oak Ridge National Laboratory, Oak Ridge, TN; Dale C. Jacobson, Bell Labs, Lucent Technologies, Murray Hill, NJ; Yurl Erokhin, Eaton Corp, Beverly, MA; John M. Poate, Bell Labs, Lucent Technologies, Dept of Silicon Processing Research, Murray Hill, NJ.

Implantation at less than 5 keV leads to formation of layers with exceedingly high volume concentrations of dopants, as well as of point defects. For example, at doses of interest, even boron can amorphize silicon and form silicon boride phases during annealing. Under such conditions, diffusion from the implanted layer becomes similar to dopant diffusion from a solid source on the surface. Also, despite the advantage gained by shallower implantation, transient enhanced diffusion from point defects can still be the limiting factor in determining junction depth. The larger point defect concentration in combination with the nearby surface alters the character of extended defects formed during post-implantation annealing, thereby affecting transient enhanced diffusion. We present SIMS data on diffusion of implanted dopants B, P, and As and MBE grown boron marker layers following very low energy implantation and correlate it with excess interstitial data estimated from TEM imaging of extended defects. We will discuss those aspects which become critical upon going to very low energy implantation.

11:30 AM E4.3 
FORMATION OF COUNTER DOPED SHALLOW JUNCTIONS BY BORON AND ANTIMONY IMPLANTATION AND CODIFFUSION IN SILICON, Sandro Solmi, CNR-LAMEL, Bologna, ITALY; Roberto Canteri, CMBM, Provo, ITALY.

Very shallow p/n junctions (lower than 50 nm) have been fabricated by implanting Sb and subsequently BF, at a higher dose, in a Si substrate. The preamorphization with Sb avoids the B channeling and increases the n type doping in the junction region, such confining the depth of the p layer Furthermore, both the transient enhanced diffusion, being the B implanted in a preamorphized layer, and the standard diffusion, due to the pairing between donors and acceptors, are strongly reduced. Different doses of Sb in the range 5 x 10 - 1 x 10 cm have been implanted at an energy of 50 keV in Si samples; then BF2 has been implanted at 15 keV, with a dose of 2 X 10 cm. Rapid thermal annealing (RTA) and furnace annealing have been performed at temperatures between 800 and 1000C. Concentration and carrier profiles have been determined by SIMS and incremental sheet resistivity and Hall measurements, respectively. 
Junction depths shallower than 50 nm can be easily obtained both by furnace and by RTA. The presence of Sb confines the junction depth to values lower than 0.1 m also for processing with relatively large thermal budget (900C, 1 h or 1000C, 1 min). The experimental results have been simulated with a diffusion model which considers the B precipitation for concentration exceeding the solubility value and the pairing between Sb and B. The modeling of this last effect is very important in order to obtain a good agreement between experimental and simulated profiles. The optimized conditions for the application of this junction fabrication scheme have been determined.

11:45 AM E4.4 
THE INFLUENCE OF AMORPHIZING IMPLANTS ON BORON TRANSIENT ENHANCED DIFFUSION IN SILICON, Henry S. Chao, Peter B. Griffin, James D. Plummer, Stanford Univ, Integrated Circuits Laboratory, Stanford, CA.

The transient enhanced diffusion behavior of B after ion implantation above the amorphization threshold is investigated. The experimental structure uses a layer of epitaxially grown Si, uniformly doped with B to act as a diffusion monitor. Wafers using this structure are implanted with amorphizing doses of Si, As, or P and annealed for various times at various temperatures. The experimental results show that upon annealing after Si implantation, there is a large amount of B pile-up that occurs at the amorphous/crystalline (A/C) interface while B is depleted from the region just beyond the A/C interface. This pile-up/depletion phenomenon can be attributed to the dislocation loops that form at the A/C interface. These loops act as sinks for interstitial point defects. There is also B pile-up/ depletion behavior for As and P implants as well. However, this behavior may be explained by a Fermi level effect where the effective B diffusivity will change depending on the local doping. While dislocation loops are known to form at the A/C interface for all of the investigated implant conditions, it appears that while they are necessary to simulate for Si amorphizing implants, they may not be necessary to simulate for As and P amorphizing implants.

SESSION E5: DEFECT EVOLUTION IN ION-IMPLANTED Si 
Chair: Mark E. Law
Wednesday Afternoon, April 2, 1997
Salon 1/2

1:30 PM *E5.1 
ATOMIC SCALE MODELS OF SILICON PROCESSING: ION IMPLANTATION, AMORPHIZATION, AND DIFFUSION, George H. Gilmer, Lourdes Pelaz, Bell Labs, Lucent Technologies, Dept of Silicon Processing, Murray Hill, NJ; Hans-J. Grossmann, Bell Labs, Lucent Technologies, Murray Hill, NJ; David J. Eaglesham, Bell Labs, Lucent Technologies, Dept of Silicon Processing Research, Murray Hill, NJ; M. Jaraiz, Bell Labs, Lucent Technologies, Murray Hill, NJ; Conor S. Rafferty, Bell Labs, Lucent Technologies, Dept of RSLI Technology Research, Murray Hill, NJ; John M. Poate, Bell Labs, Lucent Technologies, Dept of Silicon Processing Research, Murray Hill, NJ; Tomas Diaz de la Rubia, Lawrence Livermore National Laboratory, Dept of Chemistry, Livermore, California.

The damage produced by energetic ions implanted into silicon wafers causes enhanced dopant diffusion during subsequent annealing steps, and may also transform a layer of crystalline silicon into the amorphous phase. We have developed a hierarchy of models, BLAST, to represent the formation and evolution of the complex damage structure caused by implantation and annealing, and have tested the validity of these models by direct comparisons with experiments. The experiments involve ion implantation into silicon wafers containing dopant delta layers, with samples covering a range of doses, dopant concentrations, and annealing temperatures. The comparison of the results of the detailed models with the experiments tends to identify atomic level mechanisms in an unambiguous manner. BLAST includes both molecular dynamics and binary collision methods for modeling implantation and initial damage formation. Annealing over periods longer than a few nanoseconds is simulated using a simpler Monte Carlo model, and the initial damage structure for this model is provided by the atomic coordinates generated by the more detailed models. The predictions of the BLAST for the amount of transient enhanced diffusion are in excellent agreement with experiments for a wide range of ion masses and annealing temperatures. Damage accumulation leading to amorphization has also been modeled; parameters for the Monte Carlo model are obtained from molecular dynamics simulations. In this way we are able to predict the conditions for formation and the structure of amorphous layers.

2:00 PM E5.2 
THE EFFECT OF THE EXTRA ION ON RESIDUAL DAMAGE IN MeV ION-IMPLANTED Si, Sebania Libertino, Univ di Catania, Dept of Physics, Catania, ITALY; Janet L. Benton, Dale C. Jacobson, Bell Labs, Lucent Technologies, Murray Hill, NJ; John M. Poate, Bell Labs, Lucent Technologies, Dept of Silicon Processing Research, Murray Hill, NJ; Salvatore Coffa, CNR, IMETEM, Catania, ITALY; M. Lavalle, P. G. Fuochi, CNR-FRAE, Bologna, ITALY.

A full comprehension of defect evolution upon thermal annealing in ion implanted crystalline Si is fundamental for the understanding of many technologically relevant phenomena, such as transient enhanced diffusion (TED). We used deep level transient spectroscopy (DLTS) to study the defect structure of ion implanted (0,6-3 MeV Si to a fluence in the range 1 10-810) or electron irradiated Si (9.2 MeV to fluences in the range 3.510-3.5 10). Both p-type and n-type Si samples have been used to monitor the most important vacancy-type (e.g., OV, VV) and interstitial type (e.g., CO) point-like defects introduced by the damaging processes. By comparing the annealing behavior of ion implanted and electron irradiated samples having an identical defect spectrum at RT, we were able to assess the effect of the different cascade density and of the extra-ion on the defect evolution process. By adding up the concentration of interstitial- and vacancy-type defects, we have found that: a) only 2 of the Frenkel pairs produced by the ions escape recombination and is stored into RT stable defect complexes; b) isochronal thermal treatments (30 min) in the temperature range 100-300C produce a concomitant annealing of vacancy- and interstitial-type defects; c) the residual damage concentration upon annealing at temperature350C is a factor 4 higher for ion implanted than for electron irradiated samples; d) the residual defect concentration in ion implanted samples amounts to 2 interstitial per ion. These results suggest that after the mutual recombination of vacancy- and interstitial-type defects, only the extra implanted ion survives. This experimentally validates the plus one model which have been used for a phenomenological description of TED. Finally, we will show how the residual damage evolves as a function of the implantation dose and for different atomic mass of the implanted ion.

2:15 PM E5.3 
THE ROLE OF IMPLANT PARAMETERS ON RESIDUAL POINT DEFECT CONCENTRATIONS, Simon A. Prussin, Li Ping Ren, Univ of California-Los Angeles, Dept of Electrical Engr, LosAngeles, CA; Orin W. Holland, Oak Ridge National Laboratory, Solid State Div, Oak Ridge, TN.

The residual level of point defects generated along the trajectories of successive ions rises with the fluence. One obvious manifestation is the determination of the initiation of amorphism and the position of the amorphous-crystalline interface during the implantation. Another is the level of suppression of channeling during the implantation itself or during a subsequent implantation. 
This problem is addressed through the experimental measurement of m, the fraction of point defects that survive the in situ dynamic annealing of the generated concentrations. We find that m exhibits a peak value in the mid-range, falls off with fluence during the implantation, decreases with increase in wafer temperature, and increases with beam current. The higher ion masses exhibit significantly greater survival fractions. Two experimental approaches were used, one for doses above the threshold for amorphization, the other for doses below it. For the former, measurements of the amorphous-crystalline depths were made for a series of doses at cryogenic temperatures and conventional temperatures. On the basis that all generated point defects are retained for the cryogenic implantations and that the position of the amorphous-crystalline interface corresponds to a fixed critical defect concentration, we defined m as the ratio of the dose at cryogenic temperatures to the dose for conventional temperatures when both exhibited identical amorphous-crystal depths. For doses below the threshold, m was defined as the ratio of the dose at cryogenic temperatures to that at conventional temperatures for identical atom displacement signals determined by Rutherford Back Scattering analysis.

2:30 PM E5.4 
CHARACTERIZATION OF DEFECT CLUSTERS IN ION-IMPLANTED Si, Janet L. Benton, Bell Labs, Lucent Technologies, Murray Hill, NJ; Sebania Libertino, Univ di Catania, Dept of Physics, Catania, ITALY; Dale C. Jacobson, Bell Labs, Lucent Technologies, Murray Hill, NJ; David J. Eaglesham, John M. Poate, Bell Labs, Lucent Technologies, Dept of Silicon Processing Research, Murray Hill, NJ; Per S. Kringhoj, Univ of Aarhus, Inst of Physics & Astronomy, Aarhus, DENMARK; Salvatore Coffa, CNR, IMETEM, Catania, ITALY.

We report the first experimental observations of submicroscopic defect clusters in ion-implanted Si, supplying the ''missing link'' in the evolution of implantation damage from point defects to extended damage. The initial formation of point defect pairs has previously been firmly established by DLTS. Damage created by high energy ion-implanted cascades is then expected to agglomerate in an Ostwald ripening process, to form small clusters. In the later stages of cluster growth, microscopic clusters have been observed in TEM dark-field images and, after additional coarsening, elongate into identifiable 311 interstitial clusters. This work presents a quantitative evaluation of the electrical properties of the intermediate defect clusters using DLTS. 
A wide range of Si implant doses and annealing temperatures was investigated by DLTS to probe for electrical signatures related to interstitial cluster defects. New electrical signatures appear in DLTS after the anneal of interstitial defect pairs at temperatures >350 C, or in samples implanted at higher doses, 1 x 10 to 7 x 10 cm, at annealing temperatures 400-860 C. These new spectral signatures, H(0.29 eV) and H(0.48 eV), are wider than is characteristic for point defect signals, suggesting that these defects are small clusters. Hole capture kinetics for both levels are exponential, and no extended defects are observable in plan view TEM. These three pieces of experimental evidence lead to an initial identification of these two DLTS peaks as interstitial clusters smaller than 50 . It is surprising to observe a single set of defect signals over such a wide range of dose and temperature in view of the Monte Carlo simulations which predict the continual formation of interstitial atomic clusters of increasing size.

2:45 PM E5.5 
NOVEL DOPANT ACTIVATION OF HEAVILY-DOPED p-Si By HIGH CURRENT DENSITIES, Jia-Sheng Huang, K. N. Tu, Univ of California-Los Angeles, Dept of MS&E, Los Angeles, CA.

Heavily-doped shallow junctions are used in VLSI technology. Incomplete dopant activation has been found to occur in these shallow junction layers after post-implantation annealing limited by solubility. We report a novel dopant activation in the heavily boron-doped p-Si by applying an electrica1 current of high current density. The p-Si, implanted by BF of 5 10 ions/cm at 40 keV and annealed at 900C for 30 min. was partially activated. To obtain additional activation over that achieved by thermal annealing, we applied current until a current density of 5 10A/cm was achieved. The resistance of the p-Si responded by gradually increasing, then decreasing with a precipitous drop at "activation current." The precipitous drop was also observed after an instantaneous application of the ''activation current.'' The resistance was reduced by a factor of 5 to l8, depending on the structure size. Mechanisms of the novel dopant activation are proposed.

SESSION E6: MODELING OF TED AND DEFECT EVOLUTION - I 
Chair: Martin D. Giles
Wednesday Afternoon, April 2, 1997
Salon 1/2

3:30 PM *E6.1 
INTERATOMIC POTENTIAL FOR CONDENSED PHASES AND BULK DEFECTS IN SILICON, Joao F. Justo, MIT, Dept of Nuclear Engr, Cambridge, MA; Martin Bazant, Efthimios Kaxiras, Harvard Univ, Dept of Physics, Cambridge, MA; Vasily V. Bulatov, MIT, Dept of Mech Engineering, Cambridge, MA; Sidney Yip, MIT, Dept of Nuclear Engr, Cambridge, MA.

A new empirical potential for point and extended defects in Si is presented which describes two- and three-body interactions through theoretically motivated functional forms emphasizing chemical and physical trends by using coordination dependence functions. By fitting to an database that included diamond cubic structure, elastic constants, concerted exchange path, point defects, and generalized stacking fault, the resulting description is a significant improvement over the Stillinger-Weber (SW) and Tersoff models in treating local bonding in structures away from equilibrium, such as atomic configurations relevant for self-diffusion, and extended defects, which are not included in the database. It is shown that the potential gives the core properties of partial dislocations in the glide set in excellent agreement with results. It correctly describes core reconstruction and antiphase defects in both 30- and 90-partial dislocations, for example, the asymmetrical reconstruction of the 90-partial dislocation. Neither SW nor Tersoff potentials are capable of dealing with such details of dislocation core properties. The potential is computationally just as efficient as the SW model, and atomistic simulations with thousands of atoms may be readily performed on a workstation.

4:00 PM E6.2 
LATTICE MONTE-CARLO SIMULATIONS OF VACANCY-MEDIATED DIFFUSION AND AGGREGATION USING AB-INITIO PARAMETERS, Scott T. Dunham, Boston Univ, Dept of E&CE, Boston, MA; Marius Bunea, Boston Univ, Dept or E&CE, Boston, MA.

Recent ab-initio calculations of dopant/vacancy binding energies as a function of distance find that dopant/vacancy interactions are in fact long-range as previously assumed, but also that the barrier for direct dopant/vacancy exchange varies widely depending on the dopant (Pankratov et al., Nelson et al.,). We use these calculated energies to determine hopping rates of vacancies in lattice Monte Carlo simulations of a doped silicon lattice in order to predict macroscopic behavior. The resulting vacancy-mediated diffusivity values agree well with experimental observations. We find that (in agreement with our earlier calculations using rough estimates of interaction energies as well as experimental results of Larsen et al.) dopant diffusivity increases dramatically at doping concentrations above about . We also find that for dopants such as As and Sb for which pair diffusion is limited by dissociation to third-nearest neighbor distances, the dopant flux in a vacancy gradient is substantially less than that predicted by pair diffusion models, and can even change sign at high doping levels. In contrast, for P/V pairs, whose diffusion is limited by dopant/vacancy exchange, dopant flux in a vacancy gradient is close to the predictions of pair diffusion. For longer simulation times at high doping levels, we find that arsenic diffusion is reduced due to the formation of As/V clusters which also lead to an undersaturation in the vacancy concentration.

4:15 PM E6.3 
MODELING OF DAMAGE ACCUMULATION AND DIFFUSION IN SILICON UNDER BOMBARDMENT WITH LIGHT IONS, Maria-Jose Caturla, Lawrence Livermore National Laboratory, Dept of Matls Sci & Technology, Livermore, CA; Tomas Diaz de la Rubia, Lawrence Livermore National Laboratory, Dept of Chem & Matls Sci, Livermore, CA; Jing Zhu, Lawrence Livermore National Laboratory, Dept of Physics, Livermore, CA.

We combine classical molecular dynamics and kinetic Monte Carlo simulations to study the accumulation of the damage produced by energetic light ions in Si. We present results for B irradiation with energies from 0.5 eV to 3 keV and doses up to 10 ions/cm. The damage produced by the individual ions is obtained using classical molecular dynamics with the Stillinger Weber interatomic potential. The accumulation of the damage is achieved through a kinetic Monte Carlo simulation that uses as an input the vacancies and interstitials produced by the B ion in the molecular dynamics simulation. These defects, as well as the dopant ions, are allowed to diffuse before a new cascade is incorporated. Parameters for the Monte Carlo simulation such as diffusivities and binding energies of clusters of defects are obtained from classical molecular dynamics and tight-binding calculations. For the interaction of B with silicon point defects, we use energetics derived from plane wave pseudopotential calculations. A recombination barrier between vacancies and interstitials of 1 eV is considered, as obtained from recent tight-binding calculations. Using this approach, we study the damage produced by the ions as a function of the dose rate and temperature of the substrate, including the diffusion of all the species in the system.

4:30 PM E6.4 
COMPREHENSIVE MODEL OF TRANSIENT ENHANCED DIFFUSION AND CLUSTERING OF BORON IN SILICON, Lourdes Pelaz, George H. Gilmer, Bell Labs, Lucent Technologies, Dept of Silicon Processing, Murray Hill, NJ; Martin Jaraiz, Univ de Valladolid, Dept de E y Electronica, Valladolid, SPAIN; Hans J. Gossmann, Bell Labs, Lucent Technologies, Murray Hill, NJ; Conor S. Rafferty, Bell Labs, Lucent Technologies, Dept of RSLI Technology Research, Murray Hill, NJ; David J. Eaglesham, John M. Poate, Bell Labs, Lucent Technologies, Dept of Silicon Processing Research, Murray Hill, NJ.

We have developed an atomistic model for the diffusion and clustering of boron in silicon during ion implantation and an annealing. The model provides a physical basis for understanding this complex problem, which is encountered during silicon device manufacturing. MARLOWE simulations provide the coordinates of point defects produced during ion implantation and our Monte Carlo code treats the diffusion and interaction between point defects and dopants in silicon. Transient enhanced diffusion (TED) is modeled in terms of interstitial-boron pairing and kick-out and we propose a new model that successfully explains the formation of boron clusters. Using this code, we simulate the experiments on boron spikes grown by MBE which were then implanted with silicon and annealed at high temperature. Simulation results show excellent agreement with experimental data. The model explains the boron clustering and diffusion under a wide variety of implant and anneal conditions. We discuss the different stages in the boron clustering and TED. We also present results on boron self-implantation and annealing showing the immobile peak and diffusion tail. For the first time, we have a tool that fully explains and predicts the behavior of boron under a wide variety of technologically relevant conditions. This tool can also be used to provide parameters to computationally more efficient continuum type process simulators, commonly used in the processing of silicon electronic devices.

4:45 PM E6.5 
FUNDAMENTAL MODELING OF TRANSIENT ENHANCED DIFFUSION THROUGH EXTENDED DEFECT EVOLUTION, Alp H. Gencer, Iuval Clejan, Scott T. Dunham, Boston Univ, Dept of E&CE, Boston, MA.

Observations on ion implanted and annealed samples show that interstitial-type extended defects form during the process. These defects are primarily defects for low-dose, sub-amorphizing implants and dislocation loops for high dose implants. These extended defects act to store excess interstitials generated by implantation, reducing the initial supersaturation, but greatly prolonging the time period over which TED lasts. In addition, it has been observed that even well below solubility the peaks of implanted boron profiles remain immobile under TED conditions, a behavior which has been attributed to the formation of boron/interstitial clusters (BICs). Above solubility, arsenic also becomes immobile and inactive via clustering/precipitation. It has become clear from these and other related observations that extended defects play a primary role in TED and that therefore predictive modeling of TED requires the use of well-founded physical models for these aggregation processes. 
We have developed a general framework in which all of these effects can be modeled in a consistent and fundamental way, and have applied our model successfully to a range of conditions. We use a moment-based approach to modeling of the size distribution of extended defects (Reduced Precipitation Model) to consider the evolution of each extended defect distribution ( defects, dislocation loops, BICs, arsenic precipitates, etc.) and how they vary with spatial location, as well as their interactions with standard coupled dopant/defect diffusion. 
Our results show that we correctly model the evolution of defects, as reported by Eaglesham et al. We are able to model the evolution of number of interstitials in defects with time, as well as predict the size evolution and dose dependence of  defects. The same model and parameters, when applied to TED data by Packan, gives correct predictions of time, energy and dose dependece of TED. For amorphizing doses, we successfully apply the model to the evolution of dislocation loops as reported by Pan et al. To model BIC formation, we include the incorporation of interstitials into boron precipitates, with the result that an interstitial supersaturation (as during TED) lowers the effective solubility and boron precipitation reduces the interstitial concentration. Modeling boron TED using both a BIC and model we are able to match experimental observations which manifest an immobile and inactive peak. The same model has also been applied successfully to arsenic precipétation and interaction with vacancies.

SESSION E7: POSTER SESSION:
DAMAGE INCORPORATION AND THERMAL RECOVERY IN Si 
Chairs: Salvatore Coffa and Peter A. Stolk 
Wednesday Evening, April 2, 1997
8:00 P.M. 
Salon 7

E7.1 
DEFECT MAPPING IN ION IMPLANTED SILICON BY PHOTOMODULATED OPTICAL AND MICROWAVE TECHNIQUES, Josef Pelzl, Ralf Meckenstock, Ruhr Univ Bochum, Inst fur Experimentalphysik, Bochum, GERMANY; Werner Kiepert, Ruhr Univ Bochum, Inst for Experimentalphysik, Bochum, GERMANY.

A novel imaging technique for semiconductor samples, the photomodulated micrïwave reflection (PMR), is presented. The PMR relies on the modulation of the high frequency dielectric susceptibility of the sample inside the microwave cavity by an intensity modulated laser beam and is detected via the change of the microwave power reflected by the loaded cavity. Owing to the much larger penetration depth of the microwaves and the application of the resonator technique, the PMR yields different and supplementary information as compared to the photomodulated optical reflection (POR). In this contribution we report on a comparative study of PMR and POR. The sample used for these measurements is a silicon wafer, which had been implanted locally by argon ions from a micro beam. The beam was focused on the target to a spot of 20 m diameter. The dots were implanted at fixed distances. 
The amplitude images obtained from the ion-implanted Si-wafer with the two techniques clearly show distinct differences. Whereas the optical reflectivity is sensitive only to the dot areas implanted by the argon-ions, the PMR image displays additional features around the dots. The additional traces in the PMR-image correspond to the paths of a focused 3 MeV He beam on the target after the current was cut off. A thorough study of the frequency dependence of the amplitude and phase of the POR signal shows that the POR response is purely thermal. In contrast to this, the microwave response is dominated by the electronic contribution. Furthermore, the markedly different behavior of the PMR can be explained partially by the larger penetration depth of the microwaves.

E7.2 
IN SITU TRANSMISSION ELECTRON MICROSCOPY STUDY OF ION BEAM -INDUCED SILICON AMORPHIZATION, F. Fortuna, H. Bernas, P. Nedellec, CNRS, Dept of CSNSM, Orsay, FRANCE.

The present work extends our previous study, via in situ transmission electron microscopy (TEM), of ion beam induced epitaxial crystallization (IBIEC) to the reverse process. We irradiated small (ca. 50-100nm) pure Si cystallites, buried in amorphous Si, with 150 keV Si ions at temperatures below 220C. As previously demonstrated by Linnros et al. /J. Mat. Res. 3 (1988) 1208/, amorphization proceeds from the interface. TEM allows a study of the change in interface roughness dur- ing the amorphization dynamics. We find that roughening is much larger for amorphization than for the IBIEC process, and that it is mainly determined by ion beam energy deposition. The basic mechanisms behind ion beam interfacial crystalliza- tion and amorphization are apparently unrelated. Modelling of the latter is in process.

E7.3 
RADIATION ENHANCED INDIFFUSION OF GERMANIUM IMPLANTED IN (100) SILICON AT ELEVATED TEMPERATURES, A. Nejim, C. Jeynes, R. P. Webb, Univ of Surrey, Dept of E&EE, Surrey, UNITED KINGDOM; N.E.B. Cowern, Philips Research Laboratories, Eindhoven, NETHERLANDS; Chitranjan J. Patel, Middlesex Univ, Microelectronics Research Centrå, London, UNITED KINGDOM; D. Kimpton, Middlesex Univ, Microelectronics Reasearch Centre, London, UNITED KINGDOM; John B. Butcher, Middlesex Univ, Microelectronics Research Centre, London, UNITED KINGDOM.

The mechanism of Ge diffusion during implantation at elevated temperatures is investigated. (100) silicon wafers were implanted at temperatures up to 650C, with doses of 2x10 and energies of 80 keV, 100 keV, and 120 keV. The implanted Ge profile was monitored as a function of implant temperature using RBS-channeling. Considerable profile broadening was seen, together with mass migration of Ge atoms away form the surface in samples implanted at temperatures of 300C and above. At the highest temperature, 650C, the Ge profile peak was shifted by 50 nm, which is nearly twice the straggle of the Ge profile for this energy. Both the peak shift and the broadening of the profile increased with temperature, exhibiting an effective activation energy of 50 meV. The peak shift does not arise from loss of Ge from the surface-analysis of control implants performed simultaneously in both hot an cold samples shows no significant loss of Ge from the surface at elevated temperatures. Evidently, the hot implantation provides a driving force for Ge migration away from the near-surface (vacancy-rich) region towards the deeper (interstitial-rich) region. This is a clear signature of Ge diffusion by a vacancy pair mechanism. The data will be presented together with results from simulation studies.

E7.4 
DISLOCATION FORMATION AND POINT DEFECT PROFILE EVOLUTION DURING ANNEALING AND HIGH-TEMPERATURE ION IMPLANTATION, Grigorii Gadiyak, Inst of Computational Technologies, Novosibirsk, RUSSIA.

High energy beams are starting to play an important role in silicon device technology. During ion implantation the point defects and dislocations are formed. They play an important role for conventional furnace drive-in diffusion technology as trapping centers, assistants for doping atoms diffusion (pairs, defect-dopant atom), and sinks for dopants. Precise process simulation requires accurate, physically based diffusion models, which include the effects of point defects on the enhanced diffusion of dopants and sinks evolution (dislocation density and their size-radius of dislocation loops). In our paper accurately diffusion model of ion implanted species and point defects as well as a single set of reaction constants between dopants and point defects, dopants and sinks are determined and used to simulate B, Fe, and P implantation in Si for a wide range of the doses and temperatures.

E7.5 
THERMAL EVOLUTION OF RECTIFIER SPEED AND DEEP LEVELS IN IRRADIATED SILICON, Esidor Ntsoenzok, P. Desgardin, Gilbert Blondiaux, CNRS, CERI, Orleans, FRANCE; D. Schmidt, Jean Francois Barbot, C. Blanchard, Pierre Olivier Renault, CNRS, LMP URA 131, Futuroscope, FRANCE.

The need of high speed power devices requires new technologies for the control of the carrier lifetime. Alone, traditional technologies such as the diffusion of metallic (gold or platinum) impurities or electron irradiation cannot reach the new requirements. Ion implantation (alone or in combination with one of the above methods) provides a good way to solve most of these problems. The ions used commonly range from hydrogen to oxygen. Although many studies involving ion induced defects in semiconductors have been conducted, it is still difficult to determine the effect of each defect on the lifetime reduction. The efficiency of a deep level depends on its position in the bandgap, on the doping concentration, and on the injection level. 
We irradiated rectifiers with both protons and alpha particles. After irradiation, the speed of the diode was determined by measuring the reverse recovery time (the so-called) at relatively high injection conditions. At the same time, DLTS was performed in order to characterize the different defects induced by the irradiation. In the case of protons, the major result is that when the sample is annealed at 400C, the speed of the rectifier is reduced by a factor close to two, compared to the non-annealed one. On the other hand, before the annealing of the samples, the DLTS provides three major levels: the A center or oxygen-vacancy (located at Ec-0.17 eV), the association of divacancy and E center (located at Ec-0.42 eV) and one level located at about Ec-0.35 eV. After annealing, the concentration of the divacancy-E center is drastically reduced when the concentration of the one located at 0.35 eV below the conduction band is reduced by a factor of about two. 
From these results, one can conclude that in the case of high injection, the level located at 0.35 eV below the conduction band is more efficient than the divacancy-E center one. The study of the alpha particles is still in progress.

E7.6 
EFFECT OF END-OF-RANGE DEFECTS, ARSENIC CLUSTERING AND PRECIPITATION ON TRANSIENT ENHANCED DIFFUSION IN As IMPLANTED Si, V. Krishnamoorthy, Kevin S. Jones, Univ of Florida, Dept of MS&E, Gainesville, FL; David Venables, North Carolina State Univ, Dept of MS&E, Raleigh, NC.

(001) Cz silicon wafers were implanted with As at 100 keV to a dose of 1x10/cm. The implant was amorphizing in nature and the peak As concentration was below the as clustering threshold. Subsequently, a second As or Ge implant at 30 keV at doses of 2x10/cm, 5x10/cm, and 1x110/cm were performed, respectively, into the as implanted samples. The samples with a double arsenic implant induce As clustering at the lower doses and As precipitation at the highest dose at the projected range. However, the samples with the Ge do not induce clustering or precipitation. The samples were annealed at 700C for various times to regrow the amorphous layer and to cause enhanced arsenic diffusion beyond the end of-range region. These samples were analyzed by SIMS and TEM. The difference in the defect evolution at the EOR region and TED beyond the EOR region between the As and Ge implanted samples was used to isolate the effects of As clustering and precipitation.

E7.7 
GIANT CoSi2 HUT-CLUSTER FORMATION ON Si(100) AND Si(111), Sywert H. Brongersma, Univ of Western Ontario, Dept of Physics & Astronomy, London, CANADA; Martin Castell, Univ of Toronto, Dept of Metallurgy & Matls Sci, Toronto, CANADA; Doug D. Perovic, Univ of Toronto, Dept of M&MS, Toronto, CANADA; Martin Zinke-Allmang, Univ of Western Ontario, Dept of Physics & Astronomy, London, CANADA.

Crystalline CoSi2 hut-clusters with very large aspect ratios can be grown on a Si(100) surface. They have a typical width of 40 nm, while lengths of up to 3 um have been observed. The average height is about 30 nm. The Co, required for the growth of these clusters, diffuses to the surface through heat-induced defects from an implanted layer. FE-SEM imaging of these defects shows that they reflect the crystal orientations of the silicon surface and have a very narrow size distribution (FWHM<5nm). From the defect a CoSi2 hut-cluster grows outwards along either the (010) or (001) direction. The actual width of the cluster is determined by the size of the defect, while the length is limited by either the annealing period or the Co available in the implanted region. A cross-section perpendicular to the growth direction, obtained with FE-SEM shows that the clusters extend in to the silicon substrate in a V-shape, limited by a CoSi2-Si interfaces along the (111) planes. As for the width, the depth to which these clusters extend in to the substrate is determined by the size of the original defect, resulting in a small spread of the observed values. Growth perpendicular to the (111) plane, which would increase both depth and width, is apparently absent or very slow. Instead Co diffuses from the implanted layer through the defect and the hut to the end of the cluster, forms a silicide, and thus increases the cluster length. Similar clusters can be grown on Si(111) but now along six main crystal axes of this surface. Additionally we observed several perfectly hexagonal clusters with typical diameters of 250 nm.

E7.8 
LATTICE SITES AND DAMAGE ANNEALING OF IMPLANTED TM AND ER IN SI, Ulrich Wahl, Katholieke Univ Leuven, Dept of IKS, Leuven, BELGIUM; Joao Guilherme Correia, Lisbon Univ, Dept of CFNUL, Lisboa, PORTUGAL; Jo De Wachter, Guido Langouche, Katholieke Univ Leuven, Dept of IKS, Leuven, BELGIUM; Jose Goncalves Marques, Lisbon Univ, Dept of CFNUL, Lisboa, PORTUGAL; Raf Moons, Andre Vantomme, Katholieke Univ Leuven, Dept of IKS, Leuven, BELGIUM; Isolde Collaboration, CERN, Dept of PPE-IS, Geneve, SWITZERLAND.

Implantation of rare earth elements into Si is known to result in the formation of luminescent centers and is considered as a possible means of fabricating Si-based optoelectronic devices [1]. A key problem with this approach, however, is the removal of implantation damage and its related non-radiative recombination centers. At the same time rare earth atoms have to be prevented from segregation and should be incorporated into optically active sites. 
As a new technique to study these phenomena, we have applied the channeling effect of charged particles emitted from radioactive isotopes [2]. For this purpose, radioactive Tm-167 (9 days half life) was implanted with 60 keV into FZ and CZ Si single crystals at doses around 4E13/cm2 using the ISOLDE facility at CERN. This isotope decays into two excited states of Er-167, which have half lives of 1.5 ns and 2.3 s, respectively. Position sensitive detection of conversion electrons emitted in the decay of the excited Er states allowed us to in situ determine the preferred lattice sites of Tm and Er before, during and after annealing at temperatures up to 900 deg C. We will give convincing evidence that both Tm and Er are stable on tetrahedral interstitial lattice sites (T) in the whole temperature range from 20 deg C to 900 deg C. Following room temperature implantation, the fraction of Tm and Er on T sites increased significantly for annealing at 600 deg C, indicating a major damage annealing step around this temperature.

E7.9 
ATOMISTIC SIMULATION OF THE EFFECT OF ION MASS AND ENERGY ON TRANSIENT ENHANCED DIFFUSION, Martin Jaraiz, Bell Labs, Lucent Technologies, Dept of Silicon Processing Research, Murray Hill, NJ; Lourdes Pelaz, George H. Gilmer, Bell Labs, Lucent Technologies, Dept of Silicon Processing, Murray Hill, NJ; Hans J. Gossmann, Bell Labs, Lucent Technologies, Murray Hill, NJ; Conor S. Rafferty, Bell Labs, Lucent Technologies, Dept of RSLI Technology Research, Murray Hill, NJ; David J. Eaglesham, John M. Poate, Bell Labs, Lucent Technologies, Dept of Silicon Processing Research, Murray Hill, NJ.

We have carried out an atomistic simulation study of the influence of ion mass and energy on defect production and its time evolution during ion implantation and annealing. The binary collision simulator MARLOWE is used to generate the cascades and our Monte Carlo code simulates the subsequent anneal. The net excess of Interstitials after implantation is always close to +1, independent on the implanted species and energy. Our simulations provide detailed information on the evolution of interstitials and vacancies and their effects on TED. We have simulated implantation with different ions (B, Si, As, Sn, Pb) and different energies and subsequent annealing. We compare the behavior that results from the implantation of different ions with the same range. We find that the heavier ions cause more TED because of the larger displacements of the Si atoms. We also compare the implantation of different ions with the same energy. We show that the initial damage distribution has an important effect on the defect evolution and the TED that it produces. Atomistic simulations are an excellent tool to explain the detailed behavior of TED under very different conditions and also can be used to provide parameters and simplified models to computationally more efficient continuum type process simulators. For this kind of simulator, the ''+1 model'' successfully explains TED for Si implantation, but a higher excess of silicon interstitials is required to explain the actual TED for heavier mass ions.

E7.10 
SELFINTERSTITIALS AND VACANCY-SELFINTERSTITIAL PAIRS IN IRRADIATED SILICON, Bulat N. Mukashev, Yurii V. Gorelkinskii, Khabib A. Abdullin, Physical-Technikal Inst, Almaty, KAZAKSTAN.

In this paper we review recent studies of point defects in H and He ions bombarded silicon at 77 using DLTS and ESR methods. Combined DLTS and ESR studies revealed correlation between behavior of E1=E-0.39eV DLTS state and new intensive isotopic spectrum (labelled Si-AA12). We have indentified E1 state and Si-AA12 spectrum as a selfinter- stitial. Jur suggestion based on the following experimental data: i) injection enhanced low temperature migration and appearance interstitial impurities (C, Al, B) as well as Si - O metastable complex [1] and H7 state, ii) the same reversible transformation between AA12<-> Si - O and E1 <-> H7, iii) temperature independent injection rate of annealing E1 defect indicates athermal mechanism of migration as predicted for selfinterstitial, iv) cubic symmetry of the AA12 center may be the result of motional average for the predicted bonded paramagnetic state [Si], v) the same temperature range of annealing AA12-E1 defect occurs due to thermal transition of electron to E- 0.39 eV level. Level energy position of AA12 was found to be 0.4 eV from analysis the kinetics of recharging process. We have observed new H4 DLTS state. The kinetics of different stages of H4 annealing is described by first, second and third orders. Later is corresponded to diffusion controlled recombination of defects expected for vacancy-selfinterstitial pairs. We have indentified H4 defect as a vacancy- selfinterstitial pair.

E7.11 
POINT DEFECT AGGREGATION IN SILICON UNDER THERMOELASTIC STRESSES, W. Wijaranakula, SEH America, Inc., Vancouver, WA.

Point defect aggregation in silicon material is an intermediate stage of the defect generation process and therefore receives significant attention because crystal originated defects directly affect integrated circuit device performance. In this study, a silicon-on-insulator (SOI) structure is employed as the test vehicle to analyze the effect of thermoelastic stresses on point defect aggregation. The result from TEM contrast analysis and HREM lattice imaging indicates that an extended low temperature annealing at 650C under a compressive stress induces the formation of rod-like defects having sizes between 30 to 100 on planes. Subsequent oxidation at 1000C causes an increase in the rod-like defect density which suggests that the defects are of interstitial-type. Based upon the present analysis, it is suggested that compressive stress causes lattice contraction which may in turn raise the free-energy of silicon interstitial formation. Further work will involve the determination of the thermoplastic stress level at which silicon interstitial aggregation is affected by finite element calculation.

E7.12 
ELECTRICAL DEFECTS OF SHALLOW (P/N) JUNCTIONS FORMED BY BORON IMPLANTATION INTO GE-PREAMORPHISED SI-SUBSTRATES18348, Daniel Alquier, CNRS, LAAS, Toulouse, FRANCE; M. Benzohra, F. Boussaid, F. Olivie, A. Martinez, LAAS-CNRS, Toulouse, FRANCE.

In this paper, we reported Current-Voltage (I-V) and Deep Level Transient Spectroscopy (DLTS) measurements on ultra-shallow (p/n) junctions obtained by boron implantation into crystalline and Ge preamorphized Si substrates. Germanium implantations were carried out at the energies of 30, 60 and 150 keV at a dose of 10cm. Boron was further implanted at an energy of 3 keV at a dose of 10 cm. Dopant activation was obtained by Rapid Thermal Annealing (RTA) performed at 950C for 15 s in a nitrogen ambient. The aim of this work is to study the relation that exists between a population of End Of Range (EOR) defects, measured by TEM, and electrical properties of the Ge preamorphized diodes. The electrical measurements allow us to give the conduction mechanism which dominates in the diodes. Moreover, DLTS measurements show the presence of two majority-carrier traps in direct relation with EOR defects, measured at Ec-0,22eV and Ec-0,47eV. The presence of G-R centers distributed in energy (with a dominant level at Ec-0,36eV) independent upon the preamorphization stage is also discussed. Nevertheless, high quality (p/n) junctions can be obtained by this technology with a judicious choice of Ge implantation energy.

SESSION E8: DIFFUSION MECHANISMS IN Si 
Chair: Ulrich M. Goesele
Thursday Morning, April 3, 1997
Salon 1/2

8:30 AM *E8.1 
DIFFUSION OF TRANSITION METALS IN AMORPHOUS SILICON, GERMANIUM, AND SILICON-GERMANIUM ALLOYS, Werner F.J. Frank, Wolfgang Gustin, Michael Horz, Peter M. Scharwaechter, Max-Planck-Inst, Stuttgart, GERMANY.

Systematic investigations of the diffusion of Au and Ag in amorphous silicon (a-Si), germanium (a-Ge), and silicon-germanium alloys (a SiGe) have been carried out by means of the radiotracer technique. Free specimens were prepared by depositing a-Si, a-Ge, or a-SiGe on glass substrates by radio frequency sputtering. The results confirm our previous proposal that, in both a-Si and a-Ge, transition metals diffuse in an interstitial-like manner that does not involve intrinsic defects as diffusion vehicles. On the contrary, immobile intrinsic defects act as saturable traps which temporarily immobilize the diffusing atoms and thus decrease their diffusivities. The saturability of the traps leads to a strong dependence of the diffusion coefficients on the concentrations of the diffusing elements. In the case of Au in a-Si, the influence of thermal-annealing-induced structural relaxation on the diffusivity has been investigated. It was found that the diffusion coefficient passes through a maximum during relaxation. A straightforward explanation of this finding is that the traps are vacancy-type intrinsic detects which, during relaxation, undergo agglomeration that results in trap deepening. In the case of a-SiGe, the diffusion enthalpy of Au and thus the nature of the traps are almost not affected by the alloy composition.

9:00 AM *E8.2 
DIFFUSION OF GOLD INTO HEAVILY BORON-DOPED SILICON, Hartmut Bracht, Inst fuer Metallforschung, Muenster, GERMANY; Rodriquez Schachtrup, Univ Munster, Inst fuer Metallforschung, Muenster, GERMANY.

Diffusion of Au into dislocation-free and highly dislocated Si with high B background doping levels has been investigated with the aid of neutron activation analysis in conjunction with mechanical sectioning. The high B doping level causes extrinsic conditions, i.e., the hole concentration exceeds the intrinsic carrier concentration even at the temperatures used for diffusion. Au profiles produced at temperatures between 1173 K and 1373 K show penetration of Au to be enhanced in B-doped Si compared to undoped Si samples. All profiles are successfully fitted on the basis of the kick-out diffusion model and a mechanism which takes into account trapping of Au due to dislocation. This analysis provides solubility data of Au in Si and effective diffusion coefficients related to interstitial Au and Si self-interstitials. The dependence of these quantities on the B background doping level is described by the Fermi-level effect and enables us to deduce charge states and energy levels of point defects involved in the Au-diffusion process. Additionally, Au profiles in highly dislocated Si yields information about the enthalpy of binding of Au to trapping centers caused by dislocations.

9:30 AM E8.3 
DIFFUSION OF ANTIMONY IN SILICON AT CONCENTRATIONS AROUND ITS SOLID SOLUBILITY, Arne Nylandsted Larsen, Per S. Kringhoj, Univ of Aarhus, Inst of Physics & Astronomy, Aarhus, DENMARK; J. Lundsgaard Hansen, S. Yu. Shiryaev, Univ of Aarhus, Institute of Physics & Astronomy, Aarhus, DENMARK.

Antimony as an impurity in silicon is a well-suited system for studying the diffusivity of dopant atoms in silicon at concentrations around their solid solubility, as it has been experimentally demonstrated that 1) Sb is diffusing almost entirely by the vacancy-assisted mechanism in silicon, making the system particularly simple, and 2) its solid solubility is far below critical concentrations for collective phenomena, which otherwise might obscure the results. 
In the present investigation, we have produced isoconcentration structures in silicon for diffusion studies by molecular-beam epitaxial growth comprising a low-concentration Sb spike of Sb in a constant Sb-background concentration of Sb. Samples with Sb background concentrations from 2.6 x 10 to 4.5 x 10 cm were produced. A combination of TEM, SIMS, and differential Hall/resistivity measurements were used to evaluate the diffusivity. 
For Sb concentrations at and above the solid solubility, the Sb form precipitates at elevated temperatures, accompanied by the formation of interstitial-type dislocations, which we argue is indicative of an injection of self-interstitials during the precipitation process. This injection of self-interstitials results in a decrease in the Sb diffusivity. For Sb concentrations below both the solid solubility and the intrinsic carrier concentration, the diffusivity is observed to increase with increasing concentration. This is in conflict with a diffusivity based only on Sb-vacancy pairs, which predict a constant diffusivity for a constant Fermi-level position. Instead, a parallel mechanism consisting of diffusion via Sb-vacancy pairs and Sb V-triple complexes is suggested.

9:45 AM E8.4 
HIGH SILICON SELF-INTERSTITIAL DIFFUSIVITY AS REVEALED BY LITHIUM ION DRIFTING, William B. Knowlton, Jack T. Walton, Lawrence Berkeley National Laboratory, Berkeley, CA; Eugene E. Haller, Lawrence Berkeley National Laboratory, Matls Science Div, Berkeley, CA; Yu K. Wong, Isaac A. Mason, Lawrence Berkeley National Laboratory, Berkeley, CA.

We report on the use of lithium ion (Li) drifting in an electric field at low temperatures (100C) as a sensitive means to study Si self-interstitial (Si) diffusion. Interstitial Li is a donor in Si and is known to interact with dopants and point defects. Vacancy-like defects are present in some p-type FZ Si which severely impede Li drifting. Injection of Si by the POCl process (i.e., oxidation and p-doping with thermal annealing 100 min at 950C) successfully removes these vacancy-defects up to distances as far as 10 mm from the surface. The POCl treated wafers drifted normally, indicating the removal of vacancy-related defects. The POCl process was performed on several, up to 2 cm thick, 100 mm diameter, -type, <111> FZ Si wafers. Following the POCl, process, the wafers were Li drifted on samples cut from sections selected from across the wafers. The Li drifting through the crystal was complete in all POCl treated wafers, including the 2 cm thick slices. Our results strongly suggest Si diffusion occurs to a depth of at least, and possibly further than, 10 mm into the bulk during the POCl process. We were able to model the 10 mm SiI diffusion using SUPREM-IV by altering the default point defect parameters. Process modeling of this lower bound Si diffusion using SUPREM-IV indicates a Si diffusivity of at least 3.5x10 cm/s at 950C for 100 min.

SESSION E9: GETTERING PROCEDURES AND MECHANISMS 
Chair: Eicke R. Weber
Thursday Morning, April 3, 1997
Salon 1/2

10:30 AM E9.1 
HELIUM INDUCED CAVITIES IN SILICON: THEIR FORMATION, MICROSTRUCTURE AND GETTERING ABILITY, J. R. Kaschny, A. Muecklich, U. Kreissig, Forschungszentrum Rossendorf, FWIM, Dresden, GERMANY; Rossen A. Yankov, Research Center Rossendorf Inc, Inst of Ion Beam Physics, Dresden, GERMANY; Reinhard Koegler, Forschungszentrum Rossendorf, FWIM, Dresden, GERMANY; Wolfgang Skorupa, Research Center Rossendorf Inc, Inst Ion Beam Phys & Matls Research, Dresden, GERMANY; P.F.P. Fichtner, UFRGS, Dept de Metalurgia, Porto Alegre, BRAZIL; A. B. Danilin, Centre for Analysis of Substances, Moscow, RUSSIA.

The creation of cavity microstructures in silicon following helium implantation (10 or 40 keV, 1 x 10, 1 x 10 and 5 x 10 cm) and annealing (800C, 10 min) is investigated by means of transmission electron microscopy (TEM), Rutherford backscattering/channelling RBS/C) and elastic recoil detection (ERD). The processes of cavity nucleation and growth are found to depend critically on the implanted He concentration. For a peak He concentration of 3.5 x 10 cm the resulting structures appear to contain large overpressurized bubbles whose formation cannot t be accounted for by the conventional gas release model and bubble-coarsening mechanisms predicting empty cavities. The trapping of Fe at such cavity regions is studied by secondary ion mass spectrometry (SIMS) and a comparison is made with the gettering behavior of Fe in separation-by-implanted-oxygen (SIMOX) structures. Complementary analysis is undertaken to obtain information about the properties of the internal cavity surfaces in an attempt to explain the experimental observations.

10:45 AM E9.2 
THE STRONG INFLUENCE OF POINT DEFECT FLUXES DURING CAVITY FORMATION ON TRANSIENT Cu GETTERING AND BORON DIFFUSION IN Si, J. Wong-Leung, Australian National Univ, Dept of MS&E, Canberry, AUSTRALIA; J. S. Williams, M. Petravic, Australian National Univ, Dept of Electronic Matls Engr, Canberra, AUSTRALIA.

Cavities, formed in Si by hydrogen implantation and subsequent annealing, can provide ideal gettering sites for metal impurities. In this study, we have observed large differences in the accumulation of Cu at cavities depending on whether Cu was introduced into Si during cavity formation or into wafers with preformed cavities. The observed behavior is consistent with a high flux of Si interstitials emitted during cavity formation which induce the dissolution of CuSi and the enhanced transport of Cu to cavities. In further studies, boron implantation was carried out into such wafers to also monitor the strong influence of cavity formation on transient enhanced diffusion of boron. SIMS, ion channeling and TEM techniques have been used to monitor Cu and boron diffusion and also correlations with defect profiles and structures during annealing.

11:00 AM E9.3 
DIFFUSION AND SELF-GETTERING OF NICKEL IN FLOAT ZONE SILICON WAFERS, N. Gay, Univ Aix-Marseille III, Marseille Cedex 20, FRANCE; Santo Martinuzzi, Univ Aix-Marseille III, Dept of Physics, Marseilles, FRANCE.

External gettering techniques trap removed impurities in regions of a semiconductor which contains defects or in which the solubility is enhanced, as in phosphorus diffused layers or in Al-Si alloy. 
P and Al atoms cannot diffuse in silicon, however; if a fast diffuser is used in place of Al to obtain an alloy or a compound close to the surface, contamination and decontamination can occur as a result of the indiffusion and removal of metallic impurity. This is possible with nickel, which forms with silicon several eutectic and peritectic compositions and phases below 1000C varying from NiSi to NiSi, in which a high solubility of metals (particularly nickel) is expected. 
P-type FZ samples were partly covered by nickel (nickel on part 1 and not on part 2) and then annealed at 950C for 1 h. After polishing, the samples are examined by scanning infrared microscopy (SIRM) and then transformed by semitransparent MIS diodes for minority carrier diffusion length measurements. 
As expected, after nickel indiffusion, L decreases, but the values are always higher in part 1 than in part 2. SIRM detects precipitates in part 2 and not in part 1. This difference is still observed after phosphorus gettering at 900C for 4 h. The results can be explained assuming that the compound formed at the surface serves as diffusion source and as an external self-gettering region.

11:15 AM E9.4 
OXYGEN STABILIZATION OF DAMAGE INDUCED BY MeV ION IMPLANTATION AND IMPACT ON DEVICE PERFORMANCE, Amitabh Jain, Doug Mercer, Texas Instruments Inc, Dept of SPDC, Dallas, TX.

As devices are scaled down and their performance is enhanced, the role of oxygen in silicon remains critical. The precipitation of oxygen away from the device region leads to desirable gettering of deleterious impurities and is a useful technique. In the device region, however, oxygen has recently been shown to take part in the annealing of dopant implants and to segregate to damaged regions. This may result in the stabilization of damage structures and the attraction of unwanted impurities to sensitive regions of the device. In this work we examine these phenomena and their potential impact on device performance, specifically with regard to leakage current. For example, oxygen has been observed to segregate at two positions following a 1000C, one-hour anneal of a 2 MeV, 3.5 x 10/cm phosphorus implant into CZ p-Si. The oxygen concentration is enhanced by a factor of three above its initial level of 10 O/cm, both in the end-of-range region and at approximately half that depth, near the peak of the predicted damage profile. No significant redistribution of carbon from its initial concentration of approximately 10 C/cm can be detected. The results assume significance in view of the increasing use of high energy implantation for both epilayer replacement and retrograde well formation, and the reduced possibility of annealing out these effects completely due to ever shrinking thermal budgets.

11:30 AM E9.5 
RELEASE OF IMPURITIES FROM STRUCTURAL DEFECTS IN POLYCRYSTALLINE SILICON SOLAR CELLS, Scott A. McHugo, Lawrence Berkeley National Laboratory, Advanced Light Source Center, Berkeley, CA; Mitsuru Imaizumi, Toyota Technological Inst, Nagoya, JAPAN.

It is critical to understand the behavior of metallic impurities in polycrystalline silicon used for solar cells. These impurities significantly increase the minority carrier recombination rate and, in turn, degrade cell performance. Impurity gettering is a commonly used method to remove these impurities from the material; however, past work has shown that impurity release from structural defects drastically limits the gettering process. Presently, there is a limited understanding of impurity release from structural defects. In this work, the release of nickel from structural defects in polycrystalline silicon was studied in as-grown material and after sequential heat treatments which dissolve the nickel into the silicon matrix. Synchrotron-based x-ray fluorescence impurity mapping with spatial resolution of 1 m, was used to determine impurity distributions in the material at each stage of the treatments. Our studies provide insight into the release process of impurities from dislocations and grain boundaries in polycrystalline silicon.

11:45 AM E9.6 
IMPURITY REMOVING AT DISLOCATIONS IN FLOAT ZONE SILICON BY Al-Si ALLOYING, Isabelle Perichaud, Santo Martinuzzi, Univ Aix-Marseille III, Dept of Physics, Marseilles Cedex 20, FRANCE.

Aluminium silicon alloying is a new external gettering technique which removes metallic impurities from silicon wafers. It is applied to dislocation containing FZ silicon samples in order to verify if this gettering technique is able to remove impurities trapped by these defects. P-type FZ silicon samples were scratched (front surface), bent, and annealed at 750C for 6 h in order to create dislocation arrays. These samples were investigated by light beam induced current (LBIC) mapping technique before and after alloying at 900C for 4 h with 1 m thick aluminium layer deposited on the back side. 
X-ray topography was also used to reveal the dislocation arrays. It is found that the LBIC contrast detects the features of the dislocation array in agreement with x-ray topograms. The contrast is related to an inadvertent contamination of the samples and disappears after the external gettering due to the Al-Si alloy. As this gettering results in a segregation mechanism only, it is assumed that the removing of impurities segregated by dislocations does not involve self interstitials, and kick-out reactions like shrinkage of precipitates are not needed to clean the dislocations, probably because impurities are aggregated and weakly bounded to the defects.