Meetings & Events

Publishing Alliance

MRS publishes with Springer Nature

 

spring 1998 logo1998 MRS Spring Meeting & Exhibit

April 13 - 17, 1998 | San Francisco
Meeting Chairs: John A. Emerson, Ronald Gibala, Caroline A. Ross, Leo J. Schowalter









Symposium K—Materials Reliability in Microelectronics VIII

Chairs

John Bravman 
Dept of MS&E 
Stanford Univ 
Bldg 550 Rm 554P 
Stanford , CA 94305-2205 
415-723-3698

Matt Korhonen
Dept of MS&E
Cornell Univ
Bard Hall
Ithaca, NY 14853-1501
607-255-5190

James Lloyd 
Lloyd Technology Associates Inc 
Stow, MA 01775-0194 
978-461-0753

Thomas Marieb
Dept of Components Research
Intel Corp
MS SC1-03
Santa Clara, CA 95052-8119
408-765-5255

Symposium Support 
*Advanced Micro Devices, Inc. 
*Aetrium, Inc. 
*Bell Laboratories, Lucent Technologies 
*Bell Laboratories, Lucent Technologies, Orlando 
*IBM Corporation/T.J. Watson Research Center 
*Lloyd Technology Associates, Inc. 
*Sandia National Laboratories/Electronic Components Center 
*Sandia National Laboratories/Microelectronics & Photonics 

1998 Spring Exhibitor 

Proceedings published as Volume 516 
of the Materials Research Society 
Symposium Proceedings Series.
 


* Invited paper

SESSION K1: NOVEL MEASUREMENT TECHNIQUES 
Chair: Thomas Marieb 
Monday Morning, April 13, 1998 
Golden Gate A1
8:30 AM K1.1 
ELECTROMIGRATION DAMAGE IN ALLOYS STUDIED BY 1/f NOISE. C.A. Kruelle, E. Ochs, H.Stoll, and A. Seeger, Max-Planck- 
Institut fMetallforschung, Heisenbergstr. Stuttgart, GERMANY; I. Bloom, Electrical Engineering Dept, Technion, Haifa, ISRAEL. 

Electromigration damage contributes to electrical noise by resistance fluctuations associated with the formation and relaxation of mesoscopic and microscopic defects as well as the diffusion of these defects. A high-resolution ac noise-measurement technique1 allowed us to investigate polycrystalline Al [1% wt. Si, 0.5% wt. Cu] lines damaged by a high dc current at 500 K without further electromigration during the noise measurement. Hence the information obtained is certain to pertain to the damage caused by the electromigration pre-treatment. The distribution D(E) of activation energies, E, for the defect motion derived from the dependence of the 1/f-noise power, S(T), on temperature T was found to peak at about  eV, i.e. at a value derived earlier both from electromigration experiments on AlSiCu lines2 as well as from measurements of Cu diffusion along Al grain boundaries3
As a function of damaging time the noise power exhibited step-like increases that could be related to sudden changes in the rate of the overall resistance increase. A multiple-probe setup allowed us to monitor the resistance increase and the 1/f noise locally for several segments of the line. The steps in the noise power and the resistance increases were found to occur in the same segment. This implies that they have a common origin, presumably the formation of a void of mesoscopic dimension in that segment. The distribution function D(E) was the same in all segments. From this we conclude that noise generation by Cu diffusion in the grain boundaries is the principal noise mechanism throughout the polycrystalline AlSiCu lines. 

8:45 AM K1.2 
EVOLUTION OF THE ELECTRICAL PROPERTIES OF INTERCONNECTS UNDER ELECTROMIGRATION STRESS. B.K. Jones, Gianping Guo, Yanzhong Xu and G. Trefan, Dept. of Physics, Lancaster University, Lancaster, UNITED KINGDOM. 

We have reported previously on a system which can take multiple electrical measurements on integrated circuit interconnects while under electromigration stress. The system is an AC bridge which can measure resistance changes with high resolution at 2s intervals during the stress. Through the generated second harmonic signal we can also record changes in the temperature coefficient of resistance and the thermal conductance from the heated track to the surroundings. With simple and reasonable assumptions, which are given, this gives the cross sectional area change, the resistivity change and an indication of any delamination. It is now accepted that the normal progression of the electrical properties of a sample are an initial change, over only a few percent of the total lifetime to failure, which is due to the relief of the stress built in by the differential thermal expansion during the processing, subsequent annealing and the rise to the stress temperature. Over the final 10-20% of the life there are large, rapid and transient changes in the properties due to void formation, motion and annihilation. We concentrate here on the analysis of the behaviour of many samples during the main part of the lifetime between these initial and final periods. It is assumed that during this time the electromigration is rearranging any impurity atoms, to deplete some regions and to form inclusions of compounds. The host metal then forms microvoids and microhillocks. The similarities and differences between the behaviour of nominally similar samples and those with different technology will be discussed. Some conclusions are that: the evolution of the changes in area and resistivity are more linear than those of the resistivity, the changes in both are about -1% extrapolated over the lifetime of the sample and hence also approximately at the onset of the final voiding behaviour. 

9:00 AM K1.3 
ELECTROMIGRATION TESTING OF A MODIFIED BLECH PATTERN WITH A SIDE BRACH. Shoso Shingubara, Tamotsu Osaka, Saad Abdeslam, Hiroyuki Sakaue, Takayuki Takahagi, Hiroshima Univ, Dept of Electrical Engineering, Higashi-hiroshima, JAPAN; A H. Verbruggen, Delft Univ of Technology, DIMES, Delft, NETHERLANDS.

The well-known Blech pattern, commonly used for drift velocity measurements, was modified by adding a side branch. The whole electric current passes through the side branch and there is no net current at the rest of the Blech pattern. This pattern has geometrical similarity to multi-layered Al interconnects which are connected by a via hole. Electromigration induced voiding was investigated for various DC current density stressing conditions at 150C. At the low current density conditions, a single void was formed at the end of the Blech pattern where no current passed (type A). While voids were formed near the branching point when current density was increased (type B). With the further increase in the current density, voids of type B extended into the no current stressed region and sometimes significant resistance oscillations were observed (type C). One dimensional analysis of drift diffusion equation of vacancies suggested that vacancy concentration had the maximum at the branching point. This was supported by the current reversal experiment in which a hillock was formed at the boundary. Thus type B void is considered to be formed by the accumulation of a huge number of vacancies at the boundary. In order to clarify the mechanisms of the type A void and transitions between different types of voidings, further analysis of stress build-up using resistance change monitoring with a high spatial resolution as well as analytical method are in progress. 

9:15 AM *K1.4 
EARLY DETECTION OF THE METALLIZATION QUALITY USING MODERATELY ACCELERATED ELECTROMIGRATION STRESS CONDITIONS. Andrea Scorzoni, Roberto Balboni, Maurizio Impronta, CNR-LAMEL, Bologna, ITALY; Ilaria De Munari, MTI, University of Parma, ITALY. 

In this paper, after a short review on the influence of different physical mechanisms on resistance changes during electromigration, an application of a high resolution wafer-level resistance measuring technique is discussed with the aim of detecting the quality of Al-Cu interconnections. Two lots of 4m wide metal lines have been tested at moderately accelerated stress conditions, obtaining largely different lifetimes. A microstructural analysis confirmed a major defectivity of the lot with shorter lifetime. An accurate examination of the early resistance variations revealed the presence of two distinct and subsequent stages, namely an initial pseudo-parabolic resistance increase followed by a linear resistance drop. The latter has been attributed to Cu transport and precipitation caused by the electron wind while the former has been correlated either with the thermal history of the samples or with vacancy accumulation at blocking grain boundaries caused by Al electromigration. Significant differences between the resistance behavior of the two lots were detected during the first stage, lasting a few hours. Measurable differences could even be detected in the first few minutes. These results pave the way for a new application of high resolution methods to assess the quality of a metallization system in a reasonable amount of time. Should this conclusion be confirmed by further experiments, early resistance changes could be proposed as an effective indicator of the metallization quality. 

10:15 AM K1.5 
INVESTIGATIONS OF ELECTROMIGRATION FAILURE BY ELECTRICAL MEASUREMENT AND SCANNING PROBE MICROSCOPY WITH ADDITIONAL SIMULATION. Alexander Fabricius, Volkmar Breternitz, Christian Knedlik, Institut fuer Werkstoffe; Andreas Henning, Eckard Liebscher, Silvia Vogel, Institut fuer Mathematik, Technische Universitaet Ilmenau, GERMANY. 

A set of 10, 5 and 2 m wide, 500 m long and 0.7 m thick Al/Si/Cu-layers (1 , 0.5  Cu) were investigated at different stress conditions. The stress conditions varied at current densities of 2 to 6 MA/cm2 and at ambient temperatures of 125 to 225ºC. For additional mathematical simulation it was important to observe the complete resistance development from the beginning till the break down of the samples. Using an automatic measurement program it was possible to vary the interval between the measurements. Depending of the layer width a lot of different resistance developments and times to failure occurs. Especially at smaller layer widths, near to bamboo structures, the differences of the resistance developments and times to failure are wide-ranging. Therefore the simulating program had to be modified for different layer widths. Furthermore unpassivated samples were used to enable investigations of the structures by SEM and SPM. Measurements of the size of hillocks and voids has been carried out by AFM. Thereby twin-crystals were observed. This fact indicates that there are special strains, which could be estimated. Another aspect of investigations was the exactly measurement of the temperature at the break down area. For that purpose a special test structure with diodes below the stressed line was developed. These diodes are used to measure the temperature.

10:30 AM K1.6 
IN SITU TEM STUDIES OF ELECTROMIGRATION IN SUBMICRON Al/TiN STACKED INTERCONNECTS. J.A. Prybyla, X. Chu*, J.T. Lau, and S.K. Theiss, Bell Laboratories, Lucent Technologies, Murray Hill, NJ; *Carnegie Mellon University, Dept. of Materials Science and Engineering, Pittsburgh, PA. 

Novel samples have made possible plan-view TEM studies of electromigration to be carried out in real time on Al(0.5wt%Cu)TiN žnterconnect stacks. The most stricking differences in electromigation behavior between Al(0.5wt%Cu)/TiN stacked interconnects compared to single-layer Al(0.5wt%Cu) interconnects are 1) a lower void nucleation rate combined with a much higher hillock-formation rate for the Al/TiN stacks and 2) much less void migration once a void forms in the Al/TiN stacks. A thin dielectic (2000 A) window sample with an interlaced pattern of metal runners and heat sinks has kept unwanted Joule heating to a minimum (few degrees C). The current density used in the experiments was 2MA/cm2and temperatures ranged between 200-300 C. Both the low void nucleation rate and low incidence of void migration for the Al/TiN stacks were observed even at high stress temperatures where much higher rates for these two processes were observed for the case of single layer Al(0.5wt%Cu) runners. Once a void forms in an Al/TiN stack, it grows along Al grain boundaries and sidewalls as for previous samples without TiN, but can grow to become very large and tortuous before an open in the Al finally occurs. When an open in the Al appears, the failed Al segments continue to evolve in shape and size, with the gap slowly getting larger, and the segment-ends becoming distinctly facetted. Our findings are significant because they show that the TiN cladding layers not only behave as shunting layers to improve overall electromigration reliability in Al metallization, but they also act to reduce the void nucleation and void migration rates in Al, two key contributors to a failure process. Mechanisms for explaining this behavior will be presented. 

10:45 AM K1.7 
QUANTITATIVE MEASURE OF ELECTROMIGRATION- 
INDUCED DRIFT IN SUBMICRON AL LINES. C. Witt and C.A. Volkert, Bell Labs, Lucent Technologies, Murray Hill, NJ. 

Electromigration-induced drift in submicron wide Al segments has been measured using energy dispersive x-ray analysis in a scanning electron microscope. This technique, which works on both passivated and unpassivated samples, is sensitive to Al edge displacements as small as 1000. The samples are 0.3 and 0.5m wide runners consisting of a continuous Ti/TiN line on top of which are patterned 0.4m thick Al(0.5 wt.% Cu) segments of lengths varying between 5 and 100m. The microstructure, as determined by focused ion beam imaging, is near-bamboo. We have measured the Al depletion at the cathode ends of these segments after stressing for various times at 2x106A/cm2 at 200ºC. For segments shorter than roughly 15m, no depletion occurred during the entire 136 hours of the experiment, yielding a value of the current-length threshold product between 3000 and 4000/cm, in agreement with previously published data. For longer segments (20-25m) the depletion is roughly linear for the duration of the experiment, yielding a constant drift velocity of around 4nm/hr in the 0.5m wide lines, also in good agreement with published data for near-bamboo lines. However, the 0.3m wide lines drifted faster, at roughly 12nm/hr, which suggests that there is a measurable contribution from interfacial diffusion in these narrow lines. The longest segments, which started drifting at the same rate as the shorter segments, accelerated after around 50 hours of testing to drift velocities in excess of 25nm/hr. This may be associated with the depletion of Cu from the drifting end. 

11:00 AM K1.8 
IN-SITU X-RAY SPECTROMICROSCOPIC STUDY OF ELECTROMIGRATION IN PATTERNED Al-Cu LINES. Harun H. Solak, Gian Franco Lorusso, Sangeet Singh, Franco Cerrina, University of Wisconsin-Madison, Dept of Electrical and Computer Engineering, Madison, WI; James H. Underwood, Phil Batson, Lawrence Berkeley National Laboratory, Berkeley, CA. 

We observed the evolution of Cu in Al-Cu thin film lines using the scanning x-ray photoemission spectromicroscope MAXIMUM. Cu can be in various phases in Al lines and especially the  phase Al2Cu precipitates are considered to play an important role in preventing electromigration (EM) damage. In this study we utilized the unique capabilities of MAXIMUM of elemental and chemical sensitivity with sub-micron spatial resolution. Using this technique we were able to distinguish different phases of Cu and follow its evolution as electromigration proceeded. Samples were stressed (250oC, 2MA/cm2) and examined in situ in the UHV environment of the microscope. The experiments were done on lines with 0.5, 2 and 4 wt% Cu and with linewidths between 2-10  m. The samples were characterized using complementary techniques such as TEM for microstructure determination. Median time to Failure (MTF) of the prepared lines were determined ex situ in separate experiments under same conditions; the MTF was correlated with the observed microscopic changes in the patterned lines. 

11:15 AM K1.9 
INFRARED MONITORING OF ELECTRIC-CURRENT INDUCED DAMAGE CLOSE TO A CRACK TIP IN THIN FILM CONDUCTORS. Ashraf -F. Bastawros, Division of Engineering and Applied Sciences, Harvard University, Cambridge, MA. 

A high-resolution infrared imaging system is employed in monitoring the time-dependent temperature distribution induced by electric-current heating near double edge-cracks in wide unpassivated interconnect lines. The tested lines are pure aluminum or gold films of 0.2 micron thick, deposited by high-vacuum evaporation coating. The acquired temperature profiles are used to assess the operative mechanisms for mass transport. The pre-cracked aluminum film showed fine crack growth toward the positive electrode, which originated from the initial crack tips. The crack-tip temperature was close to melting, during propagation. A hot spot was formed afterward between the two elongated cracks and led to failure. The crack growth generated a backward mass flow toward the negative electrode. The gold film showed a different pattern where the original cracks propagated toward each other with a slight tilt toward the negative electrode. The tip temperature was lower than the melting temperature. 

11:30 AM K1.10 
LOCAL CHANNEL TEMPERATURE MEASUREMENTS ON PSEUDOMORPHIC HIGH ELECTRON MOBILITY TRANSISTORS BY PHOTOLUMINESCENCE SPECTROSCOPY. Jean-Pierre Landesman, Benoit Depret, Arnaud Fily, Julien Nagle, Thomson-CSF, Laboratoire Central de Recherches, Orsay, FRANCE; Peter Braun, United Monolithic Semiconductors, Ulm, GERMANY. 

We have performed spatially resolved photoluminescence (PL) measurements on GaAs/GalnAs/GaAlAs pseudomorphic high electron mobility transistors (PHEMT) with the aim to map the local channel temperatures. These transistors are designed for low to medium power applications up to 60 GHz. The PL tests were done on devices having 2 T-shaped gates with a length of 0.25 m and a width of 75 m. The local temperature is deduced from the energy shift of one of the peaks in the PL spectra. We used an optical microprobe where the laser beam (647 nm line from a Kr' laser) can be focused through a 50x long working distance lens. This allows to handle the transistors in their standard microwave package. The spatial resolution is better than 1 m, and the temperature resolution is better than 1ºC. The tests were carried out at Vdrain-source= 1.5 and 2 Volts, Vgate-source = 0, 0.4 and 0.8 Volts and a chip package backside (``case'') temperature of 23 and 55ºC. Under these conditions, we were able to observe an asymmetry in the temperature distribution between drain and source sides (temperature higher by 7 to 10ºC on the drain side for the operating conditions tested here). This asymmetry is induced by the shape of the potential curves when a positive voltage is applied to the gate and drain electrodes on these transistors. In parallel, an estimate of the channel temperature was done using an analytical model for the thermal resistance of these specific transistors (ref. 1). The expected thermal resistance is 410ºC/Watt. A very good agreement was observed between the temperatures calculated with this model and the values measured on the dry side, although the model systematically yields slightly higher temperatures (by 7ºC at most). 

11:45 AM K1.11 
EFFECT OF THICKNESS ON THE TRANSVERSE THERMAL CONDUCTIVITY OF SiO2 FILMS. Wei Zhao, Franz R. Brotzen, Rice University, Dept. of Mechanical Engineering and Materials Science, Houston, TX; Lucien Hehn, University of Houston, Dept. of Electrical Engineering, Houston, TX; Peter Loos, Texas Instruments Inc., Stafford, TX. work revealed that the transverse thermal conductivity of thin films of amorphous SiO2 and Si3N4 deposited on monocrystalline silicon decreased substantially when the film thickness was less than about 1 m. It is believed that the thickness effect has its origin in a high-resistance dielectric/silicon interface. When multiple interfaces were created by the intercalation of thin intermediate layers of polycrystalline silicon into the SiO2 film, the thickness effect was enhanced. This observation pointed to an interfacial effect. Yet, when the intermediate layers consisted of metallic or dielectric materials, no enhancement was found. The thermal conductivity measurements were sensitive to the manner in which the SiO2 films were deposited. A model accounting for the thickness effect is discussed.

SESSION K2: MICROSTRUCTURAL EFFECTS 
Chair: John C. Bravman 
Monday Afternoon, April 13, 1998 
Golden Gate A1
1:30 PM *K2.1 
THE KINETICS OF THIN FILM REACTIONS BETWEEN Ti, TiN AND Al DURING HIGH TEMPERATURE Al REFLOW FOR 1 Gb DRAM INTERCONNECTS. K.P. Rodbell, L.M. Gignac, IBM-T.J. Watson Research Center, Yorktown Heights, NY; L.A. Clevenger*, R.C. Iggulden*, DRAM Development Alliance, IBM Microelectronics, Hopewell Junction, NY; R.F. Schnabel**, S.J. Weber**, DRAM Development Alliance, Siemens Microelectronics, Hopewell Junction, NY; *IBM Microelectronics; **Siemens Microelectronics; P.W. DeHaven, Y.Y. Wang, IBM Analytical Services, Hopewell Junction, NY. 

For gigascale DRAM interconnects, liner materials must provide both good electromigration behavior along with good barrier properties. In this work the effectiveness of sputtered (PVD) and chemical vapor deposited (CVD) TiN as a diffusion barrier between Ti and Al in damascene lines was determined. Initial cross-section electron microscopy of the as-deposited films showed that both Ti and TiN could react with the Al-alloy to form Al3Ti, and that the aluminide thickness was strongly dependent on both the Al-Cu reflow temperature and the Ti/TiN deposition method. It was found that CVD TiN with a < 410ºC AlCu reflow temperature was an excellent barrier film, preventing Al3Ti formation during the Al-Cu reflow deposition process, while, in contrast, Al3Ti formed when PVD TiN and a higher temperature Al-Cu reflow process were used. Furthermore, when PVD Ti/TiN liners were used with a reflow deposition temperature > 410ºC, the Al3Ti reaction consumed 70% of the available Al cross-sectional area. This excessive aluminide formation created lines with unacceptably high resistance. The kinetics of Al3Ti formation was then determined on planar samples using in situ sheet resistivity measurements in helium as a function of ramping rate. The activation energy for Al3Ti formation was found to be 2.1 +/- 0.2 eV (using Avrami kinetics) which is consistent with previous reports. Surprisingly, however, Al3Ti formation with CVD TiN was found to occur at lower temperatures than in similar films containing PVD TiN. Two possible mechanisms for Al3Ti formation were explored, in which the moving species was (1) Al and (2) Ti. 

2:00 PM K2.2 
NOVEL ELECTROMIGRATION FAILURE MECHANISM FOR ALUMINIUM BASED METALLIZATION ON TITANIUM SUBSTRATE. Giuliana Girardi, Candida Caprile, Francesco Cazzaniga, Luca Riva, Sgs-Thomson Microelectronics Central R&D, Agrate Brianza, ITALY. 

Electromigration (EM) in metal stripes has been identified, since long time, as one of the primarily failure mechanisms limiting the reliability of device interconnections. In this paper we report on an anomalous grain growth observed after classical electromigration lifetests, on Al-1Si-0.5Cu multigrain stripes with linewidths>3m, deposited at high temperature on Ti substrate. Al-alloy has been deposited in a single sputter chamber of the Applied Materials Endura system at high temperature (460ºC) on 650 Ti substrate and covered by a TiN (350) film as anti-reflecting layer. EM lifetests were performed on 0.8, 3 and 5m wide, 3mm long and 0.8m thick stripes with Kelvin type sensing. Interconnect lines were stressed at 200º with a current density 2.5MA/cm2. Failure criterion was 10 resistance increase. Failure analysis (SEM) has detected an abnormal single-grain growth in the vertical direction along the entire stripe length. ''Icebergs'', craking the final passivation, protrude from the line with voids positioned in the anode side direction; these structures are characterised by an height up 5-8m and are topped with the original TiN film. FIB analysis has shown that the icebergs are Al single crystal, starting from Ti/Al interface. MTF data were compared with those of stripes on Ti/TiN (500/1000) substrate, on which no grain growth was observed. MTF in improved (930hrs versus 170hrs for 5m wide stripes) in presence of the Ti underlayer, notwithstanding the iceberg formation. The reason for the observed phenomenon ha been related to the Ti/Al interface properties. No intermetallic (TiAl3) has been observed at the interface (AES, TEM); a high Al grain boundary mobility then follows, which induces a columnar grain growth with a high texture (XRD, AFM). These features, as expected, have a positive impact on EM. Finally, during EM stress, the Al diffusivity at the Ti/Al interface is so high that grain boundaries cannot support the flow; the epitaxial grain growth then takes place and icebergs are formed. 

2:15 PM K2.3 
STATISTICAL EVALUATION OF STRESSMIGRATION RELIABILITY IN Al-Cu INTERCONNECTS. D. Jawarani, M. Gall, C. Capasso, J. Müller, R. Hernandez and H. Kawasaki, Advanced Products Research & Development Laboratory, Motorola, Austin, TX. 

High resolution resistance measurements of metal stripes have been performed to study void growth during in situ annealing at several different temperatures. Void growth has been characterized by resistance monitoring over 14000 hours.