Symposium Organizers
Ting Y. Tsui Texas Instruments, Inc.
Young-Chang Joo Seoul National University
Alex A. Volinsky University of South Florida
Lynne Michaelson Vishay Electro-Films
Michael Lane IBM T.J. Watson Research Center
F1: Processing and Characterizations of Low-k Dielectrics
Session Chairs
Michael Lane
Joost Vlassak
Tuesday PM, April 18, 2006
Room 3009 (Moscone West)
9:30 AM - **F1.1
Development of Ultralow-k SiCOH Dielectrics with K Values Down to 1.80.
Alfred Grill 1 , Vishnubhai Patel 1 , Son Nguyen 1 , Deborah Neumayer 1 , Muthumanickam Sankarapandian 1 , Yuri Ostrovski 1 , Eric Liniger 1 , Eva Simonyi 1
1 , IBM - T.J. Watson Research Center, Yorktown Heights, New York, United States
Show Abstract10:00 AM - **F1.2
Impact of Pore Size and Morphology of Porous Organosilicate Glasses on Integrated Circuit Manufacturing.
Mark O'Neill 1 , Raymond Vrtis 1 , Brian Peterson 2 , Mary Haas 1 , Scott Weigel 1 , Dingjun Wu 1 , Mark Bitner 1 , Eugene Karwacki 1
1 Electronics Technology, Air Products and Chemicals, Inc., Allentown, Pennsylvania, United States, 2 Computational Modeling Center, Air Products and Chemicals, Inc., Allentown, Pennsylvania, United States
Show AbstractMaterials with increasingly lower dielectric constant values are needed for future generation ICs in order to continue to enhance signal propagation. Current state of the art IC production uses organosilicate glasses (OSGs) with dielectric constant (k) values on the order of 3.0. Dense OSG materials, however, are inherently limited to k values ≥ 2.7.{1,2} To achieve k values of < 2.5, alternative materials, processing, or a combination of both must be used. The introduction of porosity is one commonly used technique to reduce dielectric constant, where the degree of reduction depends largely upon the film porosity. While porous materials inherently suffer from inferior mechanical properties relative to their non-porous predecessors, post-treatment processes such as UV and e-beam can dramatically improve the integratibility of these materials.Porous organosilicate glasses produced by plasma enhanced chemical vapor deposition have arisen as the leading candidates for back-end-of-line dielectric insulators for 45nm generation IC manufacturing. The PDEMS® ILD process involves the co-deposition of an organosilicate glass network with an organic porogen.{3} The deposition is followed by a post-treatment to liberate the labile organic material and mechanically fortify the porous structure. One of the main considerations for use of porous materials in IC manufacturing is pore size and pore interconnectivity. It is preferred that the porosity be homogeneously distributed and discrete, with a narrow size distribution of nanometer-sized pores. Porous organosilicate glasses produced by PE-CVD from the combination of diethoxymethylsilane (DEMS® ILD precursor) and α-terpinene (ATRP) can produce films with k values < 2.0 with monodisperse porosity centered around 1.5-1.7 nm diameter.{4} Here we report that the type of porogen has the most significant impact upon the average pore size, as determined by Positron Annihilation Lifetime Spectroscopy (PALS). Experimental data supports a distinct relationship between pore size and pore interconnectivity, where materials with smaller pores tend to have a more interconnected pore morphology. In addition, we report geometric modeling of two-phase composite materials in order to define the theoretical morphological transition between 1) discretely dispersed pores within an OSG continuum (cf. Swiss cheese) and 2) a homogeneous, low-density OSG matrix (cf. steel wool).In this paper we examine how pore size and morphology are affected by the choice of chemical precursors and process conditions and the implications this has on subsequent process steps such as dry etching, wet stripping, and pore sealing.
10:30 AM - F1.3
Pore Engineering: Ultra Low k Porous SiCOH For 45nm And Beyond.
Sang Ahn 1 , Josephine Chang 1 , Thomas Nowak 1 , Nagarajan Rajagopalan 1 , Kangsub Yim 1 , Khaled Elsheref 1 , Alex Demos 1 , Sanjeev Jain 1 , Derek Witty 1 , Hichem MSaad 1
1 , Applied Materials, Inc., Santa Clara, California, United States
Show Abstract11:15 AM - **F1.4
Novel Polysilsesquioxane Systems for Ultralow-Dielectric Films with High Modulus, Low CTE, and Closed-Pore Morphology
Do Yoon 1 , Hyun Wook Ro 2 , Jie Hye Park 1 , Jae Hwan Shim 1 , Eun Su Park 1 , Jin-Kyu Lee 1 , Hee-Woo Rhee 3 , Hae-Jeong Lee 2 , Christopher Soles 2 , David Gidley 4
1 Department of Chemistry, Seoul National University, Seoul Korea (the Republic of), 2 Polymer Division, National Institute of Standards and Technology, Washington, District of Columbia, United States, 3 Department of Chemical Engineering, Sogang University, Seoul Korea (the Republic of), 4 Department of Physics, University of Michigan, Ann Arbor, Michigan, United States
Show AbstractNovel polysilsesquioxanes (PSSQs) have been developed which contain thermally degradable groups (porogens) grafted to the polymer backbone. Upon optimizing the backbone polymer structure and the grafted porogen, the fully cured films exhibit nanoporous structures with excellent mechanical properties significantly improved over those of conventional blended porogen systems, together with closed-pore morphology up to the porosity of 15 %. However, as the porosity increases over 20 %, the pores become highly interconnected although the average pore diameter remains smaller than ca. 2 nm as determined by the positronium annihilation lifetime spectroscopy (PALS). In order to overcome the pore interconnectivity, a dual porogen system containing another blended porogen polymer has been investigated. As a result, nanoporous films of ultralow-dielectric constant (<2.1), with the porosity greater than 30 %, have been obtained, which also exhibit excellent mechanical properties, including a high modulus (> 6 Gpa) and a low coefficient of thermal expansion (CTE) (< 10 ppm/K), with nearly closed-pore morphology.
11:45 AM - F1.5
Matrix Structure of Organo-Silicate Glasses and Thermo-Mechanical Properties of Thin Low-K Films.
Francesca Iacopi 1 , Gerald Beyer 1 , Kristof Houthoofd 2 , Peter Adriaensens 3 , Carlo Waldfried 4 , Steven Demuynck 1 , Youssef Travaly 1 , Salvador Eslava-Fernandez 1 2 , David Gage 5 , Simone Giangrandi 1 , M Rennau 6 , Knut Schulze 6 , Stefan Schulz 6 , Giovanni Carlotti 7 , Reinhold Dauskardt 5
1 , IMEC, Leuven Belgium, 2 Bio-Engineering Dept., Katholieke Universiteit Leuven, Leuven Belgium, 3 Chemistry Dept., Universiteit Hasselt, Diepenbeek Belgium, 4 , Axcelis technologies, Beverly, Massachusetts, United States, 5 Meterials Science and Engineering, Stanford University, Stanford, California, United States, 6 Center for Microelectronics, TU Chemnitz, Chemnitz Germany, 7 Physics Dept., University of Perugia, Chemnitz Italy
Show AbstractThe great majority of low dielectric constant materials currently proposed for use in interconnects belongs to the category of organosilicate glasses. Common denominator for all of these dielectrics is an amorphous matrix composed by a silica backbone to which a variable amount of C –based functional groups is attached. Nevertheless, the short and long –range matrix structure of such dielectrics can vary to a great extent depending on the precursors and deposition processes used for film deposition. As a consequence, the film properties show a large range of variability as well.The introduction of porosity in the films is required for lowering the dielectric constant, but has been shown to dramatically weaken most thermo-mechanical film properties. Therefore the understanding of how the glass structure is linked to film properties and how it can be optimized so to compensate as much as possible for the worsening due to porosity becomes of crucial importance. In this work we compare different organosilicate glasses, deposited either by Chemical Vapour Deposition or spin-on coating. Also, we use highly selective post-deposition treatments such as UV –curing to modify their structure in a controlled fashion. The glass short-range structure and bonding type are studied by means of solid state Nuclear Magnetic Resonance (29Si and 13C spectra) combined to Fourier Transform Infra-Red spectroscopy. Compositional analysis is performed with Elastic Recoil Detection. Elastic properties are retrieved through nanoindentation and acoustic techniques such as Surface Acoustic Waves and Brillouin Light Scattering. Fracture properties are evaluated in four-point bending and double cantilever beam geometries, and thermal conductivity is evaluated with the 3-omega method [1]. Thermal stability of the films is also compared.It is found that besides the already well-known dependence of most thermo-mechanical properties on mass density [2], for a given density also the degree of matrix connectivity [3] and the predominant type of silica bonds [4] have significant impact on such film properties. In terms of fracture it is also observed that a substantial increase in the elastic modulus of an organosilicate glass film does not necessarily correspond to an enhancement of its cohesive strength. Cohesive strength appears most sensitive to the changes in silica bond type. [1] D.G. Cahill and R.O. Pohl, Phys. Rev B 35 (1987) [2] M.F.Ashby, Proc.R.Soc.Lond. A 454, 1998.[3] A.Ross, K.K.Gleason, J.Appl.Phys. 97, 113707, 2005.[4] F.Iacopi et al., proceedings of the Advanced Metallization Conference, Sept. 27th-29th, Colorado Springs, Co., 2006.
12:00 PM - F1.6
Film Characterization of Ultra Low-k Dielectrics Modified by UV Curing with Different Wavelength Bands.
Masazumi Matsuura 1 , Kinya Goto 1 , Noriko Miura 1 , Shinobu Hashii 2 , Koyu Asai 1
1 , Renesas Technology Corp., Itami Japan, 2 , Renesas Semiconductor Engineering Corp., Itami Japan
Show AbstractRecent challenges to Ultra Low-k (ULK) integration for Cu interconnects reveal that degraded mechanical strength of ULK causes undesirable issues such as Low-k voiding, metal penetration and fatal delamination failure in CMP and packaging. UV curing is a promising approach to the hardening of ULK-SiOC (k=2.6, E=8GPa). The key to UV curing is the optimized UV wavelength band for ULK dielectrics. In this study, SiOC films modified by UV curing with different wavelength bands are characterized by Solid-state NMR spectroscopy and Raman spectroscopy. Two types of UV lamps (Lamp(I) and Lamp(II)) were used for UV curing. The wavelength band of Lamp(I) is lower than that of Lamp(II), that is, Lamp(I) generates higher photon energy. UV curing with Lamp(I) and Lamp(II) increase the elastic modulus of ULK-SiOC films to 14Gpa and 11GPa, respectively. 29Si Solid state magic angle spinning NMR spectroscopy is a powerful tool to analyze the change of the first-order molecule and network structure in SiOC films. From the first-order molecule structure analysis, Lamp(I) generates Si-H groups (TH,DH) which is undetectable in the pristine ULK-SiOC, while Lamp(II) enhances Si-O group (Q). These results indicate that Lamp(II) prefers to Lamp(I) in terms of enhanced Si-O crosslinking to ULK-SiOC. Relaxation time T1 for 29Si nucleus is available for the analysis of Si-O molecule motility in the Si-O network of UV modified SiOC films. UV curing with both lamps reduces T1, which indicates that UV curing increases Si-O molecule motility in Si-O network. This result is inconsistent with the first-order molecule structure analysis which shows enhanced Si-O crosslinking. Raman spectroscopy analysis was employed to characterize the change of chemical bonding structure in UV modified SiOC films. Raman spectra in the case of Lamp(I) show that UV curing with Lamp(I) increases Si-H bond, which is consistent with NMR spectroscopy analysis. In addition, UV curing with both lamps generates different chemical bonds corresponding to amorphous carbon structure. Long-time UV curing causes strong fluorescence which disturbs Raman spectroscopy measurement. These results indicate that UV curing generates aliphatic hydrocarbon groups. In conclusion, NMR spectroscopy analysis reveals that Lamp(II) is preferable for UV curing modification of ULK-SiOC in terms of enhanced Si-O crosslinking. In contrast, T1 for 29Si nucleus and Raman spectra show that UV curing increases Si-O molecule motility in Si-O network and generates amorphous carbon structure corresponding to aliphatic hydrocarbon groups. The further investigation will be needed to discuss the impact of UV curing modification on ULK integration issues, such as etch/ash plasma damage and wet damage.
12:15 PM - F1.7
Fracture Property Improvements of a Nanoporous Thin Film via Post Deposition UV Curing.
Jeannette Jacques 1 , Ting Tsui 1 , Andrew McKerrow 1 , Robert Kraft 1
1 Silicon Technology Development, Texas Instruments, Inc., Dallas, Texas, United States
Show AbstractOrganosilicate glass (OSG) materials have emerged as the predominant choice for intermetal dielectrics in advancing technology nodes of 90 nm and beyond. A potential failure mechanism for this class of low-k dielectric films during the manufacturing process is catastrophic fracture due to channel cracking. The driving force for channel cracking is dependent upon several film properties, including the plane strain modulus, volumetric density, and residual stress. To improve the mechanical strength and stability of these silicon-based materials, the use of post-deposition curing processes is under evaluation. Within this work, the effects of UV curing upon the structure and mechanical properties of OSG films were characterized. Data are reported for a set process temperature of 400oC and UV exposure times ranging from 0 minutes to 7 minutes. OSG thin films were deposited on bare (100) silicon wafers at a thickness of 1.3 μm and k value of ~ 2.6 via plasma enhanced chemical vapor deposition. Nano-indentation measurements were conducted at a depth of 68.5 nm, representing less than 10% of the total film thickness and therefore minimizing any potential substrate effects. After UV curing, film hardness and elastic modulus are improved according to power law functions, with no measurable increase in the residual tensile film stress. For a UV exposure time of 5 minutes, the film hardness increased by approximately 26% and the resultant elastic modulus increased by 47%. The average film density, as determined by Rutherford Backscattering analysis, was observed to increase linearly as a function of UV exposure time. The average volumetric film density rose by 5% in specimens cured for 5 minutes, correlating well with measured film shrinkage data. Channel crack propagation velocities were observed to decrease as a power law function of UV exposure time. The UV cure process facilitates a 2.5 order of magnitude decrease in channel cracking rates, as compared to as-deposited OSG films. Crack susceptibility is only improved by a factor of 4X when the UV exposure time is increased from 3 minutes to 7 minutes. FTIR spectral analysis was used to characterize structural molecular film changes as a result of the UV cure process. The Si-O-Si bond population was observed to increase as a function of UV exposure time, however, the ratio of individual bond types within the spectral band was not altered. The area of the Si-O-Si band increased by 9% after UV curing for 7 minutes, as compared to uncured films. No measurable changes in the Si-H or Si-(CH3)X bond populations resulted from UV curing. The improvements in the mechanical properties of these OSG thin films are believed to correlate with the increasing Si-O-Si bond population. Si-O bonds are the most robust structures comprising OSG materials and can have a significant impact on mechanical stability. Comparisons between post-deposition UV and Electron Beam curing processes are also provided.
12:30 PM - F1.8
Characterization of Chemical Bonding in Low-K Dielectric Materials for Interconnect Isolation: A XAS and EELS Study.
Patrick Hoffmann 1 , Dieter Schmeisser 1 , Ehrenfried Zschech 3 , Hans-Juergen Engelmann 3 , Franz Himpsel 2 , Heiko Stegmann 4 , Jonathan Denlinger 5
1 Applied Physics II, Brandenburg University of Technology Cottbus, Cottbus, Brandenburg, Germany, 3 , AMD Saxony LLC & Co KG, Dresden, Saxony, Germany, 2 , University of Wisconsin / Madison, Madison, Wisconsin, United States, 4 , Carl Zeiss NTS GmbH, Oberkochen Germany, 5 , Advanced Light Source, Berkeley, California, United States
Show AbstractThe use of low dielectric constant materials in the on-chip interconnect process reduces interconnect delay, power dissipation and crosstalk noise. To achieve the requirements of the ITRS for 2007-2009 minimal sidewall damage from etch, ash or cleans is required. In chemical vapor deposited (CVD) organo-silicate glass (OSG) which are used as intermetal dielectric (IMD) materials the substitution of oxygen in SiO2 by methyl groups (-CH3) reduces the permittivity significantly (from 4.0 in SiO2 to 2.6-3.3 in the OSG), since the electronic polarizability is lower for Si-C bonds than for Si-O bonds. However, plasma processing for resist stripping, trench etching and post-etch cleaning removes C and H containing molecular groups from the near-surface layer of OSG. Therefore, compositional analysis and chemical bonding characterization of structured IMD films with nanometer resolution is necessary for process optimization. OSG thin films as-deposited and after plasma treatment are studied using X-ray absorption spectroscopy (XAS) and electron energy loss spectroscopy (EELS). In both techniques, the fine structure near the C1s absorption or energy loss edge, respectively, allows to identify C-H, C-C, and C-O bonds. This gives the opportunity to differentiate between individual low-k materials and their modifications. The O1s signal is less selective to individual bonds. XAS spectra have been recorded for non-patterned films and EELS spectra for patterned structures. The chemical bonding is compared for as-deposited and plasma-treated low-k materials. The Fluorescence Yield (FY) and the Total Electron Yield (TEY) recorded while XAS measurement are compared. Examination of the C 1s near-edge structures reveal a modified bonding of the remaining C atoms in the plasma-treated sample regions.
12:45 PM - F1.9
Ultra Low-k Film Deposition by PEVCD Using a Novel Organosilane as a Precursor
Yonghua Xu 1 , Ikuyo Muramoto 1 , Masato Ishikawa 1 , Hideaki Machida 1
1 , Tri Chemical Laboratories Inc., Uenohara, Yamanashi Japan
Show Abstract The use of low dielectric constant (Low-k) or ultra low-k dielectrics are required in advanced ULSI with technology nodes of 65 nm and beyond, to reduce the RC time delay and cross-talk. Up to now, many kinds of low-k films prepared by PECVD or spin coating have been reported, but the most suitable candidate for future ULSI still has not been developed. In fact, films with the two opposite properties of low k (<2.4) and high mechanical strength (elastic modulus >8 GPa) have not been developed yet. These two properties are required in ULSI technology at the same time. At this report, we will investigate films prepared with three different precursors, and will discuss the influence of the functional groups in the precursors on k and mechanical strength. The three precursors used are dimethyldimethoxysilane (DMDMOS), diisopropyldimethoxysilane (DiPDMOS), and dicyclopentyldimethoxysilane (DcPDMSO), which have one, three, and five carbon atoms in the functional group, respectively. Films were prepared by PECVD at various experimental conditions. The lowest k for DMDMOS, DiPDMOS, and DcPDMOS films are 2.72, 2.65, and 2.22, respectively. This indicates that k decreases as the carbon atom number increases in the functional group. On the other hand, the elastic modulus for all of the films is larger than 8 GPa. FT-IR spectra show that CHx composition and the component of carbon atoms in C-C chains in the films increase with the increase of the carbon atom number. We consider that these facts contribute to the low permittivity of DcPDMOS films. Furthermore, the influences on k of each experimental parameter, such as precursor flux, plasma power, and chamber pressure are also discussed. In this research, we also studied the effect of UV cure. It is found that k is reduced about 13% after 90 s of UV irradiation, although no effect on the mechanical strength was observed.
F2: Reliability of Low-k Dielectrics
Session Chairs
Tuesday PM, April 18, 2006
Room 3009 (Moscone West)
2:30 PM - **F2.1
Reliability of Interconnect Dielectrics.
Gaddi Haase 1
1 SiTD, Texas Instruments, Dallas, Texas, United States
Show AbstractInterconnect systems in modern integrated circuits carry electrical signals in typically 5-8 levels of metal, with line-to-line spacing < 100 nm and with a total minimum-pitch line length > 100 m. The metal wiring is supported/surrounded by low dielectric constant (low-k) materials generally with relatively low electrical and mechanical strength. Also, numerous interfaces are introduced because of the various barrier and capping layers that are used during interconnect processing. Integrating these new materials and interfaces into a very reliable network is a challenge for the reliability engineer. Therefore, dielectric degradation mechanisms must be carefully evaluated when ensuring the expected lifetime for the entire interconnect system. This reliability assurance is further exacerbated by complications that often arise from the difficulties in accurate testing and measurements because of the high variability in critical dimensions and material composition introduced by the various process steps. Much of the existing literature cites the cause of interconnect dielectrics failures as due to Cu extrusion or Cu-ion drift. However, often, from post time-dependent dielectric breakdown (TDDB) failure-analysis, it is very difficult to extract whether the free Cu (unconfined Cu due to a disruption in barrier and/or capping layer) was the cause or an effect of the dielectric breakdown process. Generally, the dielectric failure is very destructive because of the high voltages required to test interconnect dielectrics. In this presentation, we will discuss various models and avenues for interconnect dielectric breakdown. We will present a methodology for overcoming many of the line-to-line spacing variations that routinely interfere with interconnect dielectric-breakdown accelerated testing.The characteristics of line-to-line dielectric breakdown for a good interconnect system, with no loose copper, will be compared to a system with an intentionally leaky Ta-based barrier. We will show the effect of baking in a case of a leaky barrier, and how no loose Cu results in a very little to no temperature dependence for the dielectric breakdown parameters. Finally, the effect of dielectric liners and trap-charging on the possible spatial distribution of the electric field between metal-lines, and its effect on the dielectric degradation, will be discussed.
3:00 PM - F2.2
Detection of Copper and Water in low-k dielectrics by Triangular Voltage Sweep measurements.
Ivan Ciofi 1 , Zsolt Tokei 1 , Marco Saglimbeni 1 , Marleen Van Hove 1
1 , IMEC, Leuven Belgium
Show AbstractIn the attempt to further reduce the interconnect RC delay, porous low-k dielectrics are being actively tested in combination with Cu for advanced interconnect schemes. It is known that the use of porous materials presents a few process challenges. In particular, pores make the material prone to water absorption, which affects both performance and reliability of back end-of-line (BEOL) structures. Time Dependent Dielectric Breakdown (TDDB) tests are commonly used to predict the lifetime of interconnect schemes at user conditions: the time-to-failure is extrapolated from those obtained under different Bias Temperature Stress (BTS) conditions. However, the failure mechanisms associated with Cu and water are not yet fully understood. Besides, in TDDB tests it is often necessary to overstress the investigated structures in order to make them fail in a reasonable time. In this case the applied electric fields may be much higher than those expected at user conditions, which makes the validity of the related predictions questionable. In this work, we evaluated the Triangular Voltage Sweep (TVS) method as a possible low electric field technique for addressing degradation mechanisms that occur in Cu/low-k structures. A set of dedicated MIS (Metal-Insulator-Semiconductor) planar capacitors were fabricated in order to investigate the effects of Cu and water in low-k materials. The low-k dielectric was an OSG material (porosity=7%, k=3.0) on top of which Cu was directly sputtered. Wet etch was then performed for defining the gate electrodes. The deposition of any Cu or water barrier for the dielectric was intentionally omitted in order to induce Cu contamination and water absorption and systematically study the associated effects. Al gate samples were fabricated as a reference. On the Cu samples, Cu ions were indeed detected after BTS at 1MV/cm and 190°C. However, on both Cu and Al samples we also detected another ionic specie, which we were able to relate with the presence of water. Our experiments show that water absorbed in low-k materials behaves as a source of ions. The experimental evidences we collected lead us to conclude that these are protons generated by the electrical decomposition of water, induced by the applied electric field. Correlation with the CV method is demonstrated. Furthermore, we were able to resolve distinctive features in the TVS traces related to Cu+ and protons, respectively. We demonstrate that these features can be used to distinguish the two ionic species. As a result, we provide a methodology that can be used to detect and distinguish Cu and water in porous dielectrics. The methodology was also used to compare the effect of different plasma treatments on the same OSG material with respect to material permeability and resistance to copper penetration. TDDB measurements were performed on the same samples and an excellent correlation with TVS results was found.
3:15 PM - F2.3
Suppression of Moisture-induced Electrical Instabilities in Mesoporous Silica Films Through Molecular Capping.
Amit Singh 1 , Darshan Gandhi 1 , Victor Pushpraj 1 , G. Ramanath 1
1 Materials Science & Engineering, Rensselaer Polytechnic Institute, Troy, New York, United States
Show AbstractIntroducing porosity into insulating materials is a promising strategy to decrease the dielectric permittivity to minimize resistance-capacitance (RC) delays in microdevice wiring. However, the resultant high surface area and high pore fraction in meso-porous silica (MPS) bring with them a high susceptibility to moisture uptake. Thus, it is essential to understand the mechanisms of, and obviate, such deleterious effects through control over pore-surface chemistry.Here, we first reveal the mechanisms of moisture-uptake-induced electrical property degradation, followed by a solution to suppress degradation. Capacitance voltage (C-V) characteristics of Al/MPS/Si(001) capacitors exposed to temperatures below 200 °C reveal flat-band shifts, hysteresis, increased leakage and permittivity. Infrared spectroscopy measurements indicate that these changes are due to interaction of moisture with the pore surfaces. Kinetics analyses reveal two thermally activated processes: proton generation through fissure of silanol bonds (activation energy Ea1 = 0.42 ± 0.04 eV) and proton-induced depassivation of dangling bond traps (Ea2=0.54 ± 0.05 eV) at the MPS/Si interface. Incorporation of organosilane molecular layers into MPS completely suppresses the afore-described electrical property signatures and chemical changes. X-ray photoelectron spectroscopy, ellipsometry, and contact angle analyses of the silylated MPS films reveal that the increased stability is due to the hydrophobicity of the silylated surfaces in MPS that provide resilience to water attack. Our findings will be important considerations in designing processes for integrating MPS films into microdevices.
3:30 PM - F2.4
Morphological and Structural Evolution of an Ultra-low-k Dielectric During the Porogen Removal.
Diane Rebiscoul 1 , Helene Trouve 2 , Bruno Remiat 1 , Laurence Clerc 3 , Didier Louis 1 , Gerard Passemard 1
1 DRT/LETI/D2NT/Laboratoire Back End, CEA, Grenoble France, 2 LETI, Rohm and Haas Electronic Materials LLC, Grenoble France, 3 DRT/LETI/DPTS/SDOT , CEA, Grenoble France
Show AbstractReducing the RC delay is becoming an important challenge for high performance interconnects for sub 45nm technologies. It will require the use of low capacitance and low resistance materials such as porous ultra-low-k materials. Because of the porosity, integration of these materials can lead to mechanical polishing and barrier layer diffusion problems. In order to avoid these issues, a hybrid dense material containing a silsesqioxane matrix and a porogen, i.e. Solid First™ ILD approach proposed by Calvert and Gallagher, can be used as the integration scheme. The porogen is then removed after the metallization and CMP steps by a thermal, UV or supercritical CO2 cure in order to remove the porogen and create a porous ILD.Standard processes used during the integration can be performed at temperatures above350°C, consequently the material morphology and structure could be modified. In this investigation, we have studied the impact of the temperature and the duration of the thermal cure on a hybrid spin-on methylisesquioxane (MSQ) material. Initially we characterized the hybrid material’s morphology and structural evolution by ellipsometry, X-ray reflectometry and infra-red spectroscopy after different thermal cures. The shrinkage, weight loss, porogen loss and matrix crosslinking were assessed as a function of time and temperature of the cure. Regardless of the cure duration shrinkage, porogen loss, and weight loss are less than 10% when the cure temperature is less than 350°C. Moreover, the X-ray reflectivity of these samples shows a decrease in roughness and/or density gradient thickness at the material-substrate interface after a cure at 370°C.Secondly, in order to assess the porogen distribution and the structure of the layer as a function of the depth, the material was etched in a 0.05% HF solution during 2 or 5 min and then characterized by FTIR and ellipsometry. This operation was repeated until the etching stop. According to the temperature and time cure, the etch-rate varies as a function of the material depth. It appears that the etch-rate decreases as the matrix crosslinking and the porogen content increases.
4:15 PM - **F2.5
Effect of Water Diffusion in Organosilicate Glass Film Stacks on Adhesion
Youbo Lin 1 , Ting Tsui 2 , Joost Vlassak 1
1 Division of Engineering & Applied Sciences, Harvard University, Cambridge, Massachusetts, United States, 2 Silicon Technology Development, Texas Instruments, Dallas, Texas, United States
Show AbstractOrganosilicate glass (OSG) is utilized as an interlayer dielectric (ILD) in advanced integrated circuits. Previous studies have shown that this material is very susceptible to fracture in the presence of water molecules. We have developed a novel technique to study the diffusion of water in OSG film stacks based on fracture mechanical measurements. It is found that the fracture toughness of a film stack degrades with time exposed to water prior to fracture. This degradation is the result of water diffusion and is present even if measurements are made in an inert environment. A quantitative model is presented to predict adhesion degradation as a function of exposure time by coupling the results of independent subcritical crack growth measurements with Fick’s law. The model makes it possible to quantitatively determine the diffusion coefficient of water in the film stack. We will present data for various barrier/OSG systems and for various interface treatments.
4:45 PM - F2.6
Moisture Induced Degradation of Porous Low-k Materials.
Mikhail Baklanov 1 , David O'Dwyer 1 , Adam Urbanowicz 1 , Quoc Toan Le 1 , Steven Demuynck 1
1 SPDT, IMEC, Leuven Belgium
Show AbstractReduction of dielectric constant of silica-based films is provided by introduction of porosity and hydrophobic properties. The hydrophobicity is important because even a small amount of adsorbed water significantly increases the dielectric constant. Moisture also affects cohesive and fracture properties of low-k dielectrics. Therefore, the interaction with water is extremely important for integration of low-k films. In this paper, reaction kinetics of water vapor with porous low-k films has been evaluated using in situ ellipsometric setup that allows gradually change the water pressure from 10-3 Torr to saturated ones. The amount of the adsorbed water is calculated from the change of refractive index measured during the adsorption. The low-k materials considered for the study have porosity ranging from 30 to 50 %. Pristine low-k films reversibly adsorb 2-5% of water. This amount reflects the presence of constitutive hydrophilic centers that fairly correlate with the carbon concentration in the films. Plasma and thermal treatments increase the number of hydrophilic centers. Once the amount of these centers has reached a certain critical value sufficient to form a continuous film, bulk water condensation is observed. Our study resulted in the following observations:1.The change of the film properties during the water adsorption/desorption cycle for damaged low-k films is not completely reversible. Each additional adsorption/desorption cycle increases dielectric function of the film. The increase of dielectric function is related to a decrease of porosity, increase of the skeleton density and decrease the film thickness.2. The relative pressure corresponding to the bulk condensation allows us to calculate internal contact angle of damaged low-k materials. We will show that the internal contact angle reflects the degree of damage of the low-k films and in certain cases (high temperature and O2-containing plasma treatment) the internal contact angle becomes close to zero.3. The moisture - induced degradation has autocatalytic character. The water molecules adsorbed on separate OH groups play the role of catalyst that hydrolyses the siloxane bridges initially present on hydrophobic surface. For this reason, even slightly damaged low-k films with internal contact angle close to 90 degrees may become completely hydrophilic after few adsorption/desorption cycles. It is important that the water diffusion is not the rate limiting step. Shrinkage, densification and hydrophilisation of low-k materials that occurred as a result of interaction with moisture degrade the effective dielectric constant and mechanical properties of integrated structures.
5:00 PM - F2.7
Methodology To Determine The Toughness Of A Brittle Thin Film By Nanoindentation.
Helene Brillet-Rouxel 1 2 , Marc Verdier 2 , Muriel Braccini 2 , Michel Dupeux 2 , Stephane Orain 3
1 Mechanical and Thermal Simulations , STMicroelectronics, Crolles France, 2 , LTPCM (CNRS/INPG/UJF), Grenoble France, 3 , PHILIPS semiconductors , Crolles France
Show AbstractNanoindentation is the most convenient method to determine the mechanical properties of thin films. This approach is applied to bulk silicon and dielectric thin films (porous and non-porous) on silicon substrate. After determining Young’s moduli and hardness for the materials of interest with a Berkovitch indentor, we generate reproducible stable cracks from the edges of a cube corner indentor. The shape of the crack fronts has been explored with help of Focused Ion Beam (FIB) cross-sections on bulk silicon as a reference material. The validity of theoretical models [1] used to estimate the toughness from crack lengths has been verified on these reference cases.To calculate the toughness of thin film on silicon substrate, we first established the loading range in which the cracks only affect the thin film without substrate damage. Thanks to cross-section views obtained by FIB, we note that the surface radial cracks shape presents two regimes according to the applied load (i) the cracks have a half-penny semi-circular shape as long as their visible length on film surface is smaller than twice the film thickness. (ii) when the crack length is larger than twice the film thickness, their shape tends to a semi-elliptic contour, limited by the film/substrate interface. Several corrective terms have been introduced to the classical toughness estimation formula to take into account these various crack shapes, the proximity of the film/substrate interface and the residual stress pre-existing in the film. Results obtained as well for bulk silicon as for dielectric thin film are in good agreement with literature.[1] BR Lawn, TR Wilshaw. J Mater Sci 1975;10:1049
5:15 PM - F2.8
Supercritical Carbon Dioxide Process to Improve Dielectric and Mechanical Properties of Porous ULK Thin Films.
Julien Beynet 1 , Vincent Jousseaume 2 , Alain Madec 1 , Bruno Remiat 2 , Regis Mercier 3 , N. Dominique Alberola 3 , Gerard Passemard 4
1 , AIR LIQUIDE, Jouy-en-Josas France, 2 , CEA/LETI, Grenoble France, 3 , LMOPS, Le Bourget du Lac France, 4 , STMicroelectronics, Crolles France
Show AbstractSpin-on glass organosilicate films are promising Ultra Low K (ULK) candidates in which porosity can be created by incorporating thermally labile porogens. The as deposited film (a.k.a., hybrid film) consists of a methylsilsesquioxane (MSQ) matrix and an organic porogen. However, thermal annealing at 450°C does not completely remove the sacrificial phase; consequently, the polymeric residue that remains is highly detrimental to the film’s dielectric constant. In order to remove the residue, a supercritical carbon dioxide (scCO2) processing step was added after the film deposition and before the thermal anneal. This additional process step led to complete removal of the polymeric residues as determined by Fourier Transform Infrared Spectroscopy (FTIR). As expected, the dielectric constant was improved from 2.5 without the scCO2 step to 2.1 with the scCO2 step. Furthermore, the breakdown voltage was greatly enhanced (4.6 MV/cm instead of 3.4 MV/cm) and leakage current was strongly decreased. The film’s mechanical properties were also improved after scCO2 processing as determined by nano-indentation and by noting an increase in the abundance of Si-O-Si bonds in the FTIR spectra. The pore size distribution of films obtained with or without the scCO2 step was compared by Ellipsometric Porosimetry (EP) analysis. Even though a two step process can successfully produce a ULK film, it is preferred to have a single processing step. So, scCO2 was studied in a single-step process for removing the porogens from hybrid films. Selective porogen extraction by scCO2 was established using FTIR analysis of the chemical bonds in the films. Porosity generation was assessed by spectroscopic ellipsometry and pores structure was studied by EP. The impact of process parameters are currently under investigation (pressure, temperature, additives, CO2/additive ratio, duration of exposure, mass flow…) and will be discussed. The impact of material characteristics (thickness, porogen type, porogen loading) will be explored to study matrix behaviour and fluid penetration into the hybrid film. In depth work is on-going to understand extraction mechanisms.
5:30 PM - F2.9
Mechanics and Fracture of Low-k Organosilicate Thin Films: Effects of UV Curing.
David Gage 1 , Eric Guyer 1 , Reinhold Dauskardt 1
1 Materials Science and Engineering, Stanford University, Stanford, California, United States
Show AbstractUltra-violet (UV) radiation curing has emerged as a promising technique for enhancing the glass network structure and mechanical properties of organosilicate thin films, while simultaneously preserving their low dielectric constant (k) value. In the present work, we examine the effects of UV curing on the adhesive and cohesive fracture properties of carbon-doped oxide (CDO) low-k thin films. Detailed NMR studies were employed to characterize the effects of UV cure on glass structure. The UV curing process is demonstrated to significantly improve interfacial fracture energies, as measured by the four-point bend technique. The interfacial fracture energies of UV treated films were found to be as much as 45% higher than those of untreated films. However, an interesting finding is that UV curing does not lead to similar improvements in the films’ cohesive strength or their resistance to environmentally-assisted cracking in humid environments, as measured by the double cantilever beam method. For example, while fracture energies on both sides of the CDO layer were found to increase, no change in the cohesive fracture resistance was observed for k = 3.0 and k = 2.5 films after UV cure. This unexpected behavior poses a challenge to the efficacy of the UV curing process in reducing the propensity for cracking in a given environment. Possible mechanisms responsible for the observed behavior are proposed and discussed.
5:45 PM - F2.10
Critical and Sub-critical Debonding in Nano-clustering Porous Low-k Films.
Ryan Smith 1 , Chihiro Uchibori 2 , Paul Ho 1
1 Laboratory for Interconnect and Packaging, The University of Texas, Austin, Texas, United States, 2 , Fujitsu Laboratories of America, Inc., Sunnyvale, Californi