Symposium Organizers
Yves Chabal Rutgers University
Alain Esteve CNRS
Nicolas Richard Commissariat a l’Energie Atomique
Glen Wilk ASM America
H1: Si Oxidation, High-k Growth
Session Chairs
Alex Demkov
David Vanderbilt
Tuesday PM, April 10, 2007
Room 3007 (Moscone West)
9:30 AM - **H1.1
Atomic-scale Modeling of Kinetic Processes During Silicon Oxidation.
Alfredo Pasquarello 1
1 , EPFL-SB-ITP-CSEA, Lausanne Switzerland
Show Abstract10:00 AM - H1.2
Silicon and Oxygen Self-Diffusion in SiO2: A Contribution From First-principles Calculations.
Guido Roma 1 , Yves Limoge 1 , Layla Martin-Samos 2 1 , Nicolas Richard 3
1 Service de Recherches de Métallurgie Physique, CEA-Saclay, Gif sur Yvette France, 2 S3-center, University of Modena e Reggio Emilia, Modena Italy, 3 , CEA-DIF, Bruyère le Châtel France
Show Abstract10:15 AM - H1.3
Using OXCAD Monte Carlo Package as a Characterization Tool of Silicon Oxide Growth.
Anne Hemeryck 1 , Alain Estève 1 , Nicolas Richard 2 , Mehdi Djafari Rouhani 1 , Andrew J. Mayne 3 , Yves J. Chabal 4 , Gérald Dujardin 3 , Geneviève Comtet 3
1 , LAAS - CNRS, Toulouse France, 2 , CEA - DIF, Bruyères Le Châtel France, 3 , LPPM - CNRS, Orsay France, 4 , Laboratory for Surface Modification, Rutgers University, New Jersey, United States
Show Abstract10:30 AM - H1.4
XPS and STM Studies on Initial Oxidation of Si(110)-16x2
Maki Suemitsu 1 , Hideaki Togashi 1 , Atsushi Kato 1 , Yuya Takahashi 1 , Atsushi Konno 1 , Yoshihisa Yamamoto 1 , Yuden Teraoka 2 , Akitaka Yoshigoe 2 , Hidehito Asaoka 3
1 CIR, Tohoku University, Sendai Japan, 2 , Japan Atomic Energy Agency (JAEA), Kouto, Mikazuki-cho Japan, 3 , Japan Atomic Energy Agency (JAEA), Tokai, Ibaraki Japan
Show AbstractFrom its enhanced hole mobility as well as its inevitable usage in the multi-gated FETs, Si(110) surface has attracted much attention as an orientation for the active layer(s) in the next-generation CMOS devices. Despite the importance, little is known so far regarding the kinetics of the initial oxidation on Si(110) surface, which should be the key process in the fabrication of (110)-based devices. We have in this study conducted real-time synchrotron-radiation XPS measurements as well as in-situ STM measurements on the initial dry oxidation of Si(110)-16x2 clean surface. One of the striking feature in the oxidation of this surface is the presence of a rapid initial growth regime, in which 20 to 30% of the surface Si atoms are immediately reacted after introduction of the oxygen molecules. Structural analysis using STM strongly suggests selective reactions at the "pentagon pairs", a building block in the 16x2 reconstruction, being behind the phenomena. By detailed analysis of the O1s spectrum, several oxidation states, represented by corresponding subpeaks, are found to exist. The different time evolutions of the subpeaks result in a peak shift of the O1s spectrum, caused by a shift from the dominance of a lower binding-energy state to that of a higher binding-energy state. Based on the resuls, possible oxidation mechanism is discussed.
10:45 AM - H1.5
Experimental Measurement of Surface Valence Charge Density in Silicon.
James Ciston 1 , Laurence Marks 1 , Robert Feidenhans’l 2 , Oliver Bunk 3 , Bin Deng 1 , Arun Subramanian 6 1 , Erik Lauridsen 5 , Gerard Falkenberg 4
1 Materials Science and Engineering, Northwestern University, Evanston, Illinois, United States, 2 Niels Bohr Institute, University of Copenhagen, Copenhagen Denmark, 3 Paul Scherrer Institut, Swiss Light Source, PSI Switzerland, 6 , Intel Corporation, Santa Clara, California, United States, 5 Materials Research Department, Risø National Laboratory, Roskilde Denmark, 4 , HASYLAB, Hamburg Germany
Show AbstractMeasurement of charge density, the distribution of valence electrons important to bonding, in bulk materials is a very well established field in the diffraction community. The majority of current studies have used x-ray diffraction but in some cases transmission electron diffraction has been applied as well. In principle, being able to directly measure the charge density at a surface is at least as scientifically interesting as in the bulk, if not more so. Surfaces and interfaces of materials are becoming increasingly important as devices shrink to the nanoscale. Knowing where the electrons are at surfaces is one of the most important pieces of information one can have to understand how different materials behave, for example in materials used as catalysts that greatly reduce the amount of energy required to produce fuels and other useful products. The exact structure of these surfaces determines their usefulness and, in particular, the charge density at the surface determines the physical, chemical, and electronic properties important to building a device or designing a reaction. We have recently reported the first experimental three-dimensional refinement of the valence charge density for a surface using x-ray diffraction; this particular study was for the Si(100)-2x1H surface. This has been accomplished through the development of a new theoretical and experimental methodology using a combination of transmission electron microscopy, x-ray diffraction, and first-principles quantum mechanics calculations to determine the location of these important valence electrons at the surface. This new model parameterizes the details of the valence charge density in terms of closed functions of the Si-Si bond length implicitly refining the charge density concurrently with the atomic position refinement. This was the first time that this was accomplished for any surface of any material. To test the validity of the methodology, experimental data for the Si(100)-2x1H reconstruction was collected at the wiggler beamline BW2 of the 2nd generation synchrotron radiation facility HASYLAB in Hamburg, Germany. The use of the proposed model yielded a reduced χ figure of merit of 1.261 which is an improvement over the traditional neutral-atom model at a significance level of 99.9%. Therefore, our model is a more accurate representation of the charge density. We were also able to stably refine the positions of the surface hydrogen atoms to an 86% confidence level which has never previously been accomplished for any surface using x-ray diffraction data. Without the use of our improved model, the hydrogen refinement had only a 0.2% confidence level.The future implications of the use of this model will be discussed with preliminary results presented for other semiconducting surfaces.
11:30 AM - **H1.6
Modeling Defects in High-K Dielectrics on Silicon.
Jacob Gavartin 1 , David Munoz Ramo 1 , Alexander Shluger 1
1 London Centre for Nanotechnology, University College London, London United Kingdom
Show Abstract12:00 PM - H1.7
Diffusion of O Vacancies near Si:HfO2 Interfaces: A First Principles Investigation.
Chunguang Tang 1 , R. Ramprasad 1
1 , University of Connecticut, Storrs, Connecticut, United States
Show Abstract12:15 PM - H1.8
Oxygen Vacancy in Monoclinic HfO2: a Consistent Interpretation of Trap Assisted Conduction, Direct Electron Injection, and Optical Absorption Experiments
Peter Broqvist 1 2 , Alfredo Pasquarello 1 2
1 , EPFL-SB-ITP-CSEA, Lausanne Switzerland, 2 , IRRMA, Lausanne Switzerland
Show AbstractHfO2 is the most promising candidate high-κ material to replace SiO2 as gate oxide in metal-oxide semiconductor devices. However, device performance is affected by comparatively high densities of bulk defects, which give rise to flatband voltage instabilities. The occurrence of such defects is revealed in a variety of experiments which include (Poole-Frenkel-type) trap assisted electron conduction [1], direct electron injection [2], and optical absorption [3]. While the measured defect energy levels differ considerably among the various experiments, the oxygen vacancy is generally indicated as their common physical origin.Early electronic-structure calculations on the oxygen vacancy in HfO2 were based on standard density-functional methods. However, difficulties arise when comparing calculated energy levels with experiment due to the well-known band-gap problem from which these calculations suffer. Xiong et al. [4] realized that it was necessary to use electronic structure methods such as screened exchange to more reliably locate defect energy levels in the band gap. Along these lines, Gavartin et al. [5] recently studied the oxygen vacancy in HfO2 using another hybrid density functional. However, significant quantitative differences are still found between the calculated energy levels in the latter two investigations. Hence difficulties in the interpretation of experimental data persist.We here calculate energy levels of the oxygen vacancy in HfO2 which correspond to defect levels measured in various experiments [1]-[3]. To ensure quantitative accuracy for the energy levels, we adopt a hybrid functional (PBE0) and use a framework based on pseudopotentials and plane waves, a set-up which is particularly suitable for solid-state electronic-structure calculations. Further, we express defect levels as total energy differences specific to each experiment. Our results provide a consistent picture in which different states of the oxygen vacancy account for trap assisted conduction [1], direct electron injection [2], and optical absorption experiments [3]. This global interpretation results from the consideration of both the threefold and fourfold coordinated vacancies and their various charge states.[1] Bersuker et al., in Defects in High-k Gate Dielectric Stacks , edited by E. Gusev (Springer, Dordrecht, 2006), Vol. 220, p. 227; Ribes et al., IEEE Trans. Dev. Mat. Rel. 5, 5 (2005).[2] Mitard et al., in Defects in High-k Gate Dielectric Stacks , edited by E. Gusev (Springer, Dordrecht, 2006), Vol. 220, p. 75.[3] Takeuchi et al., J. Vac. Sci. Technol. A 22, 1337 (2004).[4] Xiong et al., Appl. Phys. Lett. 87, 183505 (2005).[5] Gavartin et al., Appl. Phys. Lett. 88, 082901 (2006).
12:30 PM - H1.9
Two Types of Oxygen Vacancies in Hf-based High-k Dielectrics - Existence of “Alive” and “Dead” Oxygen Vacancies.
Kenji Shiraishi 1 2 , Takashi Nakayama 3 , Seiichi Miyazaki 4 , Naoto Umezawa 5 , Kikuo Yamabe 1 , Heiji Watanabe 6 , Toyohiro Chikyow 5 , Yasuo Nara 7 , Keisaku Yamada 8
1 Graduate School of Pure and Applied Physics, University of Tsukuba, Tsukuba, Ibaraki, Japan, 2 , CREST-JST, Kawaguchi, Saitama, Japan, 3 Department of Physics, Chiba University, Chiba, Chiba, Japan, 4 Grauate School of Advanced Sciences of Matter, Hiroshima University, Higashi-Hiroshima, Hiroshima, Japan, 5 , National Institute for Material Science, Tsukuba, Ibaraki, Japan, 6 Graduate School of Engineering, Osaka University, Suita, Osaka, Japan, 7 , Semiconductor Leading Edge Technologies Inc., Tsukuba, Ibaraki, Japan, 8 Nano Technology Research Laboratory, Waseda University, Shinjuku, Tokyo, Japan
Show Abstract12:45 PM - H1.10
Fluorine Passivation of Vacancies in HfO2 Gate Oxide.
Koon-yiu Tse 1 , John Robertson 1
1 Engineering, Cambridge University, Cambridge United Kingdom
Show AbstractH2: Si and Oxidation and High-k Growth
Session Chairs
Tuesday PM, April 10, 2007
Room 3007 (Moscone West)
2:30 PM - **H2.1
Density Functional Theory of High-k Dielectric Gate Stacks.
Alex Demkov 1
1 Department of Physics, The University of Texas, Austin, Texas, United States
Show Abstract3:00 PM - H2.2
First-Principles Calculations of the Structure and Dielectric Properties of HfO2-SiO2-Si Stacks
Eric Cockayne 1
1 Ceramics Division, NIST, Gaithersburg, Maryland, United States
Show Abstract First-principles density function theory calculations were used to investigate the structure and dielectric properties of HfO2-Si stacks with a SiO2interface layer. Periodic boundary conditions were used, with a vacuum layer between the repeating stacks. Relaxed interface structures were determined via ab-initio moleculardynamics followed by total energy minimization. The dangling Si bonds on the free Si surface were hydrogenated. A bandgap was opened by terminating the free HfO2 surface with O bridging between two Hf. The Born effective charges tensors of the ions were calculated using the Berry's phase method of King-Smith and Vanderbilt. The effective charge components within the layers are nearly the same as the values in the corresponding bulk oxides, exceptnear the surfaces, where they are suppressed. The effective charge components perpendicular to the stack are suppressed due to depolarizing fields. Phonons and dielectric properties were also calculated. Phonons with frequency near 330 cm-1 contribute most to the permittivity, as in bulk HfO2. The results are discussed in terms of the macroscopic electrostatics of parallel capacitors.
3:15 PM - H2.3
Local Dielectric Permittivity of HfO2 Based Multi-layers: A First Principles Study.
Ning Shi 1 , Rampi Ramprasad 1
1 Department of Chemical, Materials & Biomolecular Engineering,Institute of Materials Science, University of Connecticut, Storrs, Connecticut, United States
Show Abstract3:30 PM - H2.4
Fluorine Incorporation at HfO2/SiO2 Interfaces in High-k Metal-Oxide-Semiconductor Gate Stacks.
Jeong-Hee Ha 1 , Paul McIntyre 1 , Kyeongjae (KJ) Cho 2
1 Materials Science and Engineering, Stanford University, Stanford, California, United States, 2 Department of Physics, Department of Electrical Engineering, University of Texas at Dallas, Dallas , Texas, United States
Show Abstract For several decades, silicon semiconductor devices have been dramatically scaled down to sub-100 nm MOSFET channel lengths in order to achieve higher device density and performance. In this regime, high-k dielectrics which can give large gate capacitances with dielectric films that are physically thicker than corresponding silicon oxide or oxynitride gate dielectrics are needed to reduce the substantial gate leakage current resulting from direct quantum mechanical tunneling across the dielectric layer. Recently research on materials selection for alternative gate stack has converged on HfO2 based high-k oxides (HfO2, HfSiO4, or HfSiON) deposited in a process which results in controlled formation of an ultra-thin SiO2-like passivation layer on the Si (100) surface. This SiO2-based interface layer provides the advantages of relatively low defect density and possible hydrogen passivation of dangling bonds afforded by the Si/SiO2 interface. However, it has been reported that defects at the internal dielectric interface between HfO2 and SiO2 may produce fixed charge and threshold voltage instability under bias. In this presentation, we explore the possibility of passivating such defects by fluorine incorporation, using both computational and experimental analyses. Both ab-initio simulations and electrical measurements show that highly electronegative F atoms are able to remove midgap states that provide positive fixed charge, an intrinsic defect feature of HfO2/SiO2 gate stacks. Our results also indicate F incorporation increases the leakage current if an excessive amount of F is incorporated in the dielectric films after passivating under-coordinated Hf ions at HfO2/SiO2 interface. However, considering the passivating ability of F ions for under-coordinated Hf ions at this interface and the strength of their bonding, a fluorination process is advantageous for improving the reliability of high-k gate stacks. Approaches to maximize the advantages of F incorporation in high-k gate stacks are also discussed.
3:45 PM - H2.5
Chemical Properties of HfSiO:N / Si Stacks Studied by Auger and Photoemission Spectroscopy.
Eugenie Martinez 1 , Lionel Fourdrinier 1 , Olivier Renault 1 , François Martin 1
1 , CEA-LETI, Grenoble France
Show Abstract4:30 PM - **H2.6
Density Functional Theory Simulations of the Interfacial Electronic Structure of HfO2 Films on Ge.
Charles Musgrave 1
1 , Stanford University, Stanford , California, United States
Show AbstractWe use density functional theory to investigate the electronic properties of Ge-HfO2 interfaces. A series of interface structures are generated including epitaxially connected HfO2 on Ge, defective HfO2 on Ge, HfO2 on Ge with germanium suboxide interlayers and disordered HfO2 on Ge and on Ge with a GeOx interlayer. The projected density of states of these interfaces indicate the source of gap states for these interfaces and the effect of passivants on these states. We also use Born-Oppenheimer quantum molecular dynamics and simulated annealing to evolve interface structures and also to investigate bias temperature instabilities of these interfaces.
5:00 PM - H2.7
Theoretical Study of the Insulator/insulator Interface: Band Alignment at the SiO2/HfO2 Junction.
Onise Sharia 1 , Alex Demkov 1 , Gennadi Bersuker 2 , Byoung Hun Lee 2
1 Physics, The University of Texas, Austin, Texas, United States, 2 , SEMATECH, Austin, Texas, United States
Show Abstract5:15 PM - H2.8
Defect States in HfO2 Caused by Silicate Formation or Oxygen Vacancies.
Dieter Schmeisser 1 , Hans-Juergen Engelmann 2 , Ehrenfried Zschech 2
1 Applied Physics, BTU Cottbus, Cottbus Germany, 2 , AMD Saxony, Dresden Germany
Show Abstract5:30 PM - H2.9
High-Resolution X-ray Phototoelectron Spectroscopy Investigations on the Core-shell Interface of Nitrided Si Nanocrystals.
Joel Dufourcq 1 , Olivier Renault 2 , Corrado Crotti 5 , Nick Barrett 4 , Sylvie Bodnar 1 , Gilles Festes 1 , Pierre Mur 2 , Thierry Baron 3 , Romain Coppard 1
1 , Atmel Rousset, Rousset France, 2 , CEA-LETI-MINATEC, Grenoble France, 5 , C.N.R. Istituto Struttura Della Materia, Trieste Italy, 4 , CEA-DSM-DRECAM, Saclay France, 3 , CNRS-LTM, Grenoble France
Show Abstract
Symposium Organizers
Yves Chabal Rutgers University
Alain Esteve CNRS
Nicolas Richard Commissariat a l’Energie Atomique
Glen Wilk ASM America
H3: High-k/Semiconductor Interfaces I
Session Chairs
Wednesday AM, April 11, 2007
Room 3007 (Moscone West)
9:30 AM - **H3.1
Scaling of Hafnium-based High-k Dielectrics.
Dina Triyoso 1 , Rama Hegde 1 , Rich Gregory 2 , David Gilmer 1 , James Schaeffer 1 , Srikanth Samavedam 1
1 ASTS, Freescale Semiconductor Inc., Austin, Texas, United States, 2 MMSTL, Freescale Semiconductor Inc., Tempe, Arizona, United States
Show Abstract10:00 AM - H3.2
The Correlation Between the Growth Conditions and the Local Crystal Structure in Hf and Zr Based Oxide Thin Films.
Mehmet Sahiner 1 , Rebecca Weeks 1 , Brendan Benapfl 1 , Joseph Woicik 2
1 Physics, Seton Hall University, South Orange, New Jersey, United States, 2 , NIST, Gaithersburg, Maryland, United States
Show AbstractIn this work, the effects of the growth conditions, on the thin film structural properties of Hf and Zr oxides have been investigated. The objective is to correlate and understand the intricate relation between thin film growth mechanisms and the resulting local structure of these oxide films. Thin films of HfO2, ZrO2, and HfxZr1-xO2 (x=0.1-0.9) were synthesized using pulsed laser deposition (PLD) on Si(100) substrates at various substrate deposition temperatures ranging from 100oC to 800oC. The detailed local structural information, obtained from extended x-ray absorption spectroscopy (EXAFS) on these films, have been correlated with (i) the deposition temperature for HfO2 and ZrO2 thin films (ii) with the Hf to Zr composition ratio in HfxZr1-xO2 thin films. EXAFS, being very sensitive to slight modifications of the local structural environment around Hf or Zr atom caused by temperature variations or compositional differences, yield interesting results on the competing crystal phases of HfO2 and ZrO2 in the thin films. Specifically, we have observed a narrow deposition temperature range, where the amorphous structure transforms into the tetragonal or monoclinic phases. The response of the local structural environment to growth conditions will be presented in the light of detailed EXAFS modeling.This work is supported by NSF Award #:DMI-0420952 and Research Corporation Award #:CC6405
10:15 AM - H3.3
A Nanoanalytical Investigation of Elemental Composition in High-k Dielectric Gate Stacks for GaAs Based MOSFET Devices.
Paolo Longo 1 , Alan Craven 1 , Jamie Scott 1 , Martin Holland 2 , Iain Thayne 2
1 Department of Physics and Astronomy, University of Glasgow, Glasgow United Kingdom, 2 Department of Electronics & Electrical Engineering, University of Glasgow, Glasgow United Kingdom
Show Abstract10:30 AM - H3.4
Effect of Systematic Changes of Ti and Hf Si-oxynitride Alloys by Nitrogen Incorporation as a Bond Constraint on Electrical and Material Properties.
Sanghyun Lee 1 , Gerry Lucovsky 1 2 , L. Fleming 2 , Jan Luning 3
1 Electrical and Computer Engineering, North Carolina State University, Raleigh, North Carolina, United States, 2 Department of Physics, North Carolina State University, Raleigh, North Carolina, United States, 3 Stanford Synchrotron Radiation Labs, Stanford University, Menlo Park, California, United States
Show Abstract10:45 AM - H3.5
Negative Bias Stressing Interface Trapping Centers in Metal Gate Hafnium Oxide Field Effect Transistors Using Spin Dependent Recombination.
Corey Cochrane 1 , Patrick Lenahan 1 , Gennadi Bersuker 2 , Arnost Neugroschel 3
1 , The Pennsylvania State University, University Park, Pennsylvania, United States, 2 , SEMATECH, Austin, Texas, United States, 3 , University of Florida, Gainsville, Florida, United States
Show AbstractAlthough great progress has recently been made in the development of HfO2 based metal oxide field effect transistor (MOSFET) technology, very little is known about the reliability problems associated with this new materials technology. One of the most vexing problems of conventional Si/SiO2 and SiO2/nitrided oxide MOS devices is the negative bias temperature instability (NBTI) which causes reduced drain current and shifts in threshold voltage when pMOSFETs are subjected to modest negative gate bias, typically at an elevated temperature.We combine conventional metal oxide semiconductor (MOS) gated diode measurements and very sensitive electrically detected electron spin resonance (ESR) measurements to detect and identify negative bias temperature instability (NBTI) generated defect centers in fully processed HfO2 pMOS field effect transistors (pMOSFETs). The spectra of the short stress-generated defects were found to be quite different from those generated by NBTI in conventional Si/SiO2 based devices. The defect spectra generated by long term stressing differ from the short term stressing signals and are somewhat similar to those observed in plasma nitrided oxide Si/SiO2 based devices. Our results suggest that, in these HfO2 based devices, NBTI defects are located in the interfacial SiO2 layer.
11:30 AM - **H3.6
Atomic Layer Deposition of High-k Gate Dielectrics onto Si, Ge, and III-V Semiconductors: Interface Chemistry.
Martin Frank 1
1 , IBM T.J. Watson Research Center, Yorktown Heights, New York, United States
Show AbstractThe impact of interface chemistry on the structure and quality of novel metal-oxide-semiconductor field-effect transistor (MOSFET) gate stacks will be reviewed. We focus on the high-permittivity (‘high-k’) gate dielectrics HfO2 and Al2O3 grown by atomic layer deposition (ALD) on conventional (Si) and high carrier mobility (Ge, GaAs, InAlAs/InGaAs) channels. Surface preparation schemes considered include native oxide formation as well as hydrogen, nitrogen, sulfur, and silicon passivation. A variety of chemical spectroscopies and microscopies is employed both in situ and ex situ. In this way, we shed light on the ways processing parameters (choice of materials; surface preparation; high-k dielectric deposition process; thermal history) determine stack structure/composition (continuity of the high-k layer; interfacial oxide thickness; detrimental channel-dielectric interactions) and hence electrical quality. Trends will be rationalized based on thermodynamic properties of semiconductor substrates, high-k materials, and precursors. Example A – Interfacial oxide growth: Interfacial SiO2 formation on Si during (and after) high-k growth can be observed pulse-by-pulse with in situ infrared spectroscopy [1]. SiO2 formation can be prevented, e.g., by nitrogen passivation or by high-k deposition near room temperature [2]. By contrast, for high-mobility channel materials such as Ge or GaAs, with their low oxidation enthalpies, sharp high-k/channel interfaces are more easily formed, in particular when employing metal precursors with low free enthalpy of formation and/or thermal processing in reducing (or only mildly oxidizing) environments [3]. Example B – High-k/channel interactions and passivation: Structural analysis of HfO2/Ge stacks indicates that poor electrical quality is caused by a direct HfO2-Ge interaction in the absence of a passivating stable interfacial oxide. The conventional solution, nitridation, induces detrimental fixed charge. We will show that a sulfur passivation layer on Ge reduces interface state density while creating little fixed charge [4]. [1] M.M. Frank et al., Appl. Phys. Lett. 82, 4758 (2003). [2] M.M. Frank et al., J. Electrochem. Soc., in press. [3] M.M. Frank et al., Appl. Phys. Lett. 86, 152904 (2005). [4] M.M. Frank et al., Appl. Phys. Lett. 89, 112905 (2006).
12:00 PM - H3.7
Texture and Crystallinity Development in Ultra-thin HfO2 Films Studied by Electron Microscopy.
Fakhruddin Bohra 1 2 , Bin Jiang 1 2 , Kevin Johnson 3 , Zhiyong Ma 3 , Jian-Min Zuo 1 2
1 Materials Science and Engineering, UIUC, Urbana, Illinois, United States, 2 Frederick-Seitz Materials Research Laboratory, UIUC, Urbana, Illinois, United States, 3 , Intel Corporation, Hillsboro, Oregon, United States
Show Abstract12:15 PM - H3.8
Study of Pt/ Epitaxial Gd2O3 /Si Stacks.
E. Lipp 1 , M. Eizenberg 1 , M. Czernohorsky 2 , H. Osten 2
1 Department of Materials Engineering, Technion - Israel Institute of Technology, Haifa Israel, 2 Institute of Electronic Materials and Devices, Leibniz University of Hannover, Hannover Germany
Show Abstract12:30 PM - H3.9
Nucleation studies of HfO2 thin films produced by Atomic Layer Deposition
Justin Hackley 1 , John Demaree 2 , Theodosia Gougousi 1
1 Department of Physics, UMBC, Baltimore , Maryland, United States, 2 Weapons & Materials Research Directorate, Army Research Laboratory, Aberdeen Proving Ground, Maryland, United States
Show AbstractA hot wall Atomic Layer Deposition (ALD) flow reactor equipped with a Quartz Crystal Microbalance (QCM) has been used for the deposition of HfO2 thin films on H-terminated Si and SC1 chemical oxide starting surfaces using tetrakis (ethylmethylamino) hafnium (TEMAHf) and H2O as precursors. Spectroscopic ellipsometry and QCM measurements confirm linear growth of the films with a growth rate of ~1.1Å/cycle at 250°C. Nucleation and initial growth behavior of the films were examined using x-ray photoelectron spectroscopy (XPS), Rutherford backscattering spectrometry (RBS) and atomic force microscopy (AFM). H-terminated Si surfaces are generally regarded as nucleation barriers for the deposition of metal oxide thin films and in our process we find that for the first 3-5 cycles the surface coverage for H-terminated Si is only about a quarter of that for the chemical oxide surface. However, within a few cycles this difference is compensated and the surface coverage for both samples becomes similar. A possible explanation for this enhancement of the growth rate for the H-terminated Si is that the film structure is rougher, providing a larger surface area for subsequent nucleation. RBS results show that the initial growth period lasts approximately 40 cycles until the complete transition to linear ALD growth is achieved. Angle-resolved XPS at take-off angles of θ=0, 15, 30, 45 and 60° measured from the normal to the sample surface is used to probe the interfacial region of thin films (15 and 25 cycles) on H-terminated samples. We observe the “paradox” that the 25 cycle film has a thinner interfacial layer (7Å vs. 10Å comprised of a SiOx/silicate mixture), even though it receives a longer exposure to the ALD process environment (250°C) which is favorable to oxidation of the Si substrate. We explain that in terms of unreacted Si-H bonds that persist in the interface and oxidize post deposition. The 25 cycle film has fewer unreacted Si-H bonds and thus is less susceptible to post-deposition oxidation. XRD data on thicker films indicate that the as-deposited films are mainly amorphous but begin to crystallize upon annealing at 300°C (2 min in Ar).
H4: High-k Interfaces: High Mobility Substrates and Metal Electrodes
Session Chairs
Wednesday PM, April 11, 2007
Room 3007 (Moscone West)
2:30 PM - **H4.1
Atomic-layer-deposition Grown Al2O3 on III-V Compound Semiconductors - Surface Passivation and Energy-band Parameters.
M. Huang 1 3 , Y. Chang 1 , C. Chang 1 , Y. Lee 1 , T. Lin 1 , P. Chang 1 , T. Wu 1 , J. Kwo 2 , M. Hong 1
1 Department of Materials Science and Engineering, National Tsing Hua University, Hsinchu Taiwan, 3 Research Division, National Synchrotron Radiation Res. Ctr., Hsinchu Taiwan, 2 Department of Physics, National Tsing Hua University, Hsinchu Taiwan
Show Abstract3:00 PM - H4.2
Influences of Plasma Processed Interface Layers on Germanium MOS Devices with ALD Grown HfO2.
Takuya Sugawara 1 2 , Raghavasimhan Sreenivasan 2 , Yasuhiro Oshima 3 2 , Paul McIntyre 2
1 Leading-edge Process Development Center, Tokyo Electron Ltd., Nirasaki, Yamanashi, Japan, 2 Dept. of Materials Science and Engineering, Stanford University, Stanford, California, United States, 3 Development and Planning Department, Tokyo Electron America, Santa Clara, California, United States
Show Abstract3:15 PM - H4.3
Interface Analysis Between ALD high-κ HfO2 and Sulfur Passivated GaAs.
Po-Ta Chen 1 , Yun Sun 2 , Chi On Chui 3 , Eunji Kim 1 , Michael Garner 3 , Piero Pianetta 2 4 , Niti Geol 3 , Wilman Tsai 3 , Paul McIntyre 1 , Yoshio Nishi 4
1 Materials Science and Engineering, Stanford University, Stanford, California, United States, 2 Stanford Synchrotron Radiation Laboratory, Stanford Linear Accelerator Center, Menlo Park, California, United States, 3 , Intel Corp., Santa Clara, California, United States, 4 Electrical Engineering, Stanford University, Stanford, California, United States
Show Abstract3:30 PM - H4.4
Characteristics of HfN/HfO2 Gate Stacks deposited by Remote Plasma Atomic Layer Deposition Method
Keunwoo Lee 1 , Seungho Lee 1 , Keunjun Kim 1 , Wooho Jeong 1 , Taeyong Park 1 , Hyeongtag Jeon 1
1 Division of Materials Science and Engineering, Hanyang University, Seoul Korea (the Republic of)
Show AbstractMetal oxide films with high dielectric constants (high-k) have been studied recently to overcome the current disadvantages of SiO2 material. This high-k oxide material also needs to apply a new gate electrode because of the problems of poly-Si/high-k gate stacks such as poly-Si depletion effect, Fermi level pinning, surface phonon scattering, high threshold voltage(Vth) and channel mobility degradation in real devices. Current poly-Si as a gate electrode results in poor transistor performance. Due to these problems new metal gate materials are needed to solve these problems because the metal/high-k/Si gate stack is very effective in improving the current gate electrode properties. And the use of metal gate electrode eliminates poly-Si depletion effect and Fermi level pinning. Among the many candidates the refractory metal nitrides such as HfN, TiN and TaN are considered as the solutions to replace current poly-Si gate electrode. HfN exhibits various advantages such as thermal stability and low lattice mismatch with HfO2 and is considered as one of the most suitable candidates as gate electrode. In this work, we studied HfN film deposited by remote plasma atomic layer deposition (RPALD) method using tetrakis dimethylamino hafnium(TDMAH), Hf[N(CH3)2]4 as a Hf precursor with NH3 plasma. The chemical composition of HfN films were analyzed by RBS. The carbon and oxygen contents of HfN film were below 5at.% and 1at.%, respectively. The AES data showed a 1:1.2 ratio of Hf:N in HfN film. This HfN gate electrodes were deposited on the HfO2 gate oxide. After deposition, the physical and chemical characteristics were evaluated with TEM, AES, XPS, and SIMS and MOS capacitors were fabricated with the HfN/HfO2 gate stacks to measure the electrical properties.
4:15 PM - H4.5
Characterization of Interfacial Stability by Differential Scanning Nano-calorimetry.
Lawrence Cook 1 , Richard Cavicchi 1 , Mark Vaudin 1 , Christopher Montgomery 1 , William Egelhoff 1 , Nabil Bassim 1 , Martin Green 1
1 , NIST, Gaithersburg, Maryland, United States
Show Abstract4:30 PM - H4.6
Improving the Electrical Properties of TiN/HfSiO Gate Stacks using the PVD-based In-situ Fabrication Method
Naomu Kitano 1 2 , Shinya Horie 2 , Takashi Minami 1 , Motomu Kosuda 1 , Takayoshi Shimura 2 , Kenji Shiraishi 3 , Heiji Watanabe 2
1 , Canon ANELVA Corp., Tokyo Japan, 2 Graduate School of Engineering, Osaka University, Osaka Japan, 3 Graduae School of Pure and Applied Physics, University of Tsukuba, Ibaraki Japan
Show AbstractCombination of high-k gate dielectrics with metal electrodes is a promising candidate technology for advanced low-power, high-performance MOSFETs. Among the various high-k dielectrics, hafnium silicate (HfSiO) and its nitrided (HfSiON) films showed excellent properties, such as sufficiently high carrier mobility and thermal stability in contact with Si substrates. Titanium nitride (TiN) is a good candidate for a p-metal electrode due to its compatibility with the conventional Si-LSI process. CVD is a preferred growth method from the viewpoint of process damage and productivity and, thus, commonly used for fabrication of metal/high-k gate stacks. However, it has been reported that residual impurities within high-k films and at metal/high-k interfaces, which degrade device performance, have become a serious problem. Previously, Watanabe demonstrated a method for fabricating high-quality silicate gate dielectrics by utilizing a solid phase interface reaction (SPIR) between a PVD-grown metal-Hf and a SiO2 underlayer [1]. Recently, we also proposed an in-situ PVD method, which continuously fabricates high-k gate dielectrics by SPIR and metal electrodes using a newly developed cluster tool with a low-damage sputtering system [2]. This in-situ process enables us to precisely control SPIR and reduce impurity of the metal/high-k gate stacks. We fabricated TiN/HfSiO stacks by the in-situ method and demonstrated electrical properties superior to the conventional CVD and ex-situ SPIR methods. This paper describes the physical characteristics of TiN/HfSiO gate stacks prepared using the in-situ fabrication process and the electrical reliability of the gate stacks, including EOT and Vfb stability against thermal and electrical stressing. Our results showed that carbon impurity causes a Vfb shift in as-fabricated MOS devices and accelerates thermal degradation in terms of EOT versus Jg characteristics and changes in effective work function of the gate electrodes. We also found that air exposure before SPIR annealing caused severe electrical degradation due to intense impurity adsorption on the metal surface. Details of the degradation mechanism caused by carbon impurity are also presented. [1] H. Watanabe et al., Appl. Phys. Lett. 85, 449 (2004). [2] S. Horie et al., Ext. Abst. SSDM, pp.414 (2006).
4:45 PM - H4.7
Si-based Resonant Tunneling Devices (RTD) using UHV wafer Bonding
Taehun Lee 1 , M. Kim 1 , J. Kim 1 , R. Wallace 1 , B. Gnade 1
1 EE, University of Texas at Dallas, Richardson, Texas, United States
Show AbstractThe resonant tunneling-based quantum devices have been studied extensively in compound semiconductors. However, there are limited studies on scaled silicon CMOS processing. It has been shown that the fabrications of high quality dielectric-semiconductor interface and single crystalline silicon quantum well are critical to the resonant tunneling-based quantum devices. Nowadays, most attempts on silicon-based RTDs have been performed by using single crystal, epitaxial dielectrics with similar lattice constant to that of silicon, such as CaF2. These approaches have been hampered by the difficulty to fabricate high quality silicon on single crystal CaF2 and thus, resulting in poor quality interfaces.The ultra high vacuum wafer bonding is a promising technique to fabricate high quality silicon-based RTD. It eliminates the need for lattice-matched, crystalline dielectrics since the crystalline silicon quantum well is bonded directly to the dielectric. In this work, the wafer bonding was used twice in order to produce double dielectric barrier with an ultra thin single crystal silicon quantum well (<5nm) in between. The first step is to bond a SOI wafer with a thin Si layer to a high quality thin SiO2 layer, which forms the first tunnel barrier. After mechanical polishing, silicon wet etching and HF dipping process, the first Si/SiO2 interface is then bonded to another SiO2/Si interface to produce final structure. C-V and I-V measurements, as well as high resolution transmission electron microscopy (HRTEM) and electron energy loss spectroscopy (EELS) have been employed to examine the quality of interface and the performance of the device. Our results show that the ultra high vacuum wafer bonding is an essential technique to produce electrically active interfaces for appropriate performance of RTD devices.
5:00 PM - H4.8
Metal-HfO2 Interfaces and Work Function Control.
Koon-yiu Tse 1 , John Robertson 1
1 Engineering, Cambridge University, Cambridge United Kingdom
Show Abstract5:15 PM - H4.9
Systematic Study on Effective Work Function Instability of Metal/High-k Gate Stacks
Yuki Kita 1 , Shiniti Yoshida 1 , Takayoshi Shimura 1 , Kiyoshi Yasutake 1 , Heiji Watanabe 1 , Kenji Shiraishi 2 , Yasuo Nara 3 , Keisaku Yamada 4
1 Graduate School of Engineering, Osaka University, Osaka Japan, 2 Graduate School of Pure and Applied Physics, University of Tsukuba, Tsukuba Japan, 3 , Semiconductor Leading Edhe Technologies, Inc. (SELETE), Tsukuba Japan, 4 Nano Technology Research Laboratory, Waseda University, Tokyo Japan
Show AbstractThe main concern about high-k gate transistors is controlling the effective work function (WF) for various kinds of gate materials. Fermi level pinning (FLP) in poly-Si gates and oxygen-pressure-dependent WF instability of p-metals have been reported by many groups [1, 2]. These studies reported that the effective WFs of the electrodes shifted (decreased) toward the Si-midgap. In contrast, the effective WFs of inert p-metals, such as Au and Pt, were found to increase under specific interface conditions [3]. Recently, Shiraishi proposed a universal model for the unusual behaviors of metal/high-k gate stacks, which explains decrease in WF by oxygen vacancy (Vo) formation in the Hf-based oxides (Vo model) and increase in WF by interface hybridization between occupied states of metal electrodes and unoccupied states of Hf-oxides (generalized charge neutrality level (ΦGCNL) model) [4, 5]. However, elucidating these complicated phenomena requires systematic experiments that consider both the Vo and ΦGCNL models. In this study, we fabricated metal/HfSiON gate stacks and investigated instability of the effective WFs of the metal/high-k stacks in detail. The HfSiON dielectrics were formed using MOCVD and plasma nitridation. To precisely control the electrode interface, the HfSiON dielectrics were either annealed or exposed to hydrogen radicals before metal deposition. Conventional C-V measurements were carried out to estimate effective WFs. In the case of the inert p-metal Au electrode, we observed an apparent positive shift in C-V curves after hydrogen radical exposure. This indicates strong hybridization at the metal/high-k interface (increased WF) due to a cleaning effect on the HfSiON surface by the atomic hydrogen. We also found that the hybridization is unstable and that the C-V shift gradually recovered and showed an ideal flat band voltage (Vfb) after being exposed to air for a few days. These results are fully explained by the ΦGCNL model and by modification of the interface hybridization by diffusion of species through the metal electrode from the air. Furthermore, a negative Vfb shift, which indicates Vo formation in the Hf-based oxides, was also observed when the dielectrics were exposed to radicals after formation of an ultrathin (<10nm) Au layer on the high-k film. This result agrees well with the Vo model, which is driven by electron transport from the Vo levels in the Hf-based oxides to the p-type electrodes. These experimental results clearly showed that the universal theory is valid for effective WF at the metal/high-k interface. Further experimental results on physical characterization and n-metal electrodes will also be presented in detail. [1] C. Hobbs et al., Tech. Digest VLSI Tech. p.9 (2003). [2] E. Cartier et al., Tech. Digest VLSI Tech. p.230 (2005). [3] M. Koyama et al., Tech. Digest IEDM, p.499 (2004). [4] K. Shiraishi et al., Tech. Digest VLSI Tech. p.108 (2004). [5] K. Shiraishi et al., Tech. Digest IEDM, p.43 (2005).
5:30 PM - H4.10
Work Function Extraction from TayAl1-yNx Composition Spreads on HfO2 using Combinatorial Methodologies for the Advanced Gate Stack
Kao-shuo Chang 1 2 , Martin Green 1 , Nabil Bassim 1 , John Suehle 1 , Jason Hattrick-Simpers 2 , Ichiro Takeuchi 2 , Stefan De Gendt 3
1 Materials Science and Engineering, NIST, Gaithersburg, Maryland, United States, 2 Materials Science and Engineering, U. of Maryland, College Park, Maryland, United States, 3 , IMEC, Leuven Belgium
Show AbstractThe identification of new metal gate electrodes requires the work functions (Φm) to be aligned with the conduction and valence bands of Si, for n- and p-MOS applications, respectively. Metal nitrides might be good choices because of their robust thermal stability with underlying gate dielectrics. However, the exploration of metal nitrides is not trivial, since fabrication based on a one-composition-at-a-time approach is too time consuming to investigate. Combinatorial methodology offers a viable approach, since it allows a large number of samples to be made and characterized at a time. We demonstrate the efficiency of the combinatorial technique to enable rapid exploration of the TayAl1-yNx metal gate electrode on HfO2, through the deposition of a combinatorial composition spread. TayAl1-yNx composition spreads were fabricated with a moving shutter using reactive radio-frequency (RF) sputtering. We have systematically measured the equivalent oxide thickness (EOT) and Vfb shift from the C-V characteristics. From the map of EOT, a maximum of 30 ~ 40% Al content is confirmed to maintain sufficient conductivity for the metal gate application. The extracted Φm values of the TayAl1-yNx (x <1) composition spreads were ~ 5 eV, which show promise for the p-MOS application. Φm as a function of N content will be presented as well.
H5: Poster Session: High-k Dielectrics/Semiconductor Interfaces
Session Chairs
Thursday AM, April 12, 2007
Salon Level (Marriott)
9:00 PM - H5.1
Microstructure and Electronic Structure Characterization of Interface Between the Hf-based high-K Thin Film and Si Using Spatially Resolved Electron Energy Loss Spectroscopy.
Quan Li 1
1 Physics, The Chinese University of Hong Kong, Hong Kong Hong Kong
Show Abstract9:00 PM - H5.10
Effect of Oxidizer on Chemical Vapor Deposited Hafnium oxide-based Nanostructures and the Engineering of their Interfaces with Si(100).
Manish Singh 1 , Gregory Jurisch 2 , Christos Takoudis 3
1 Department of Chemical Engineering, University of Illinois at Chicago, Chicago, Illinois, United States, 2 , American Air Liquide, Countryside, Illinois, United States, 3 Departments of Chemical Engineering and Bioengineering, University of Illinois at Chicago, Chicago, Illinois, United States
Show Abstract9:00 PM - H5.11
Electronic Structure and Thermal Stability of HfSiON Using a Direct Plasma Nitridation.
Kwun Bum Chung 1 , Chan Jung Lim 3 1 , Moon Hyoung Jang 2 1 , Dae-Hong Ko 3 , M. Cho 2
1 Advanced Analysis Group, Korea Research Institute of Standards and Science, Daejeon Korea (the Republic of), 3 Ceramic Engineering, Yonsei University, Seoul Korea (the Republic of), 2 Institute of Physics and Applied Physics, Yonsei University, Seoul Korea (the Republic of)
Show Abstract9:00 PM - H5.12
Electronic Structure and Defects in Al2O3 Gate Oxides.
Dameng Liu 1 , Koon-yiu Tse 1 , John Robertson 1
1 Engineering, Cambridge University, Cambridge United Kingdom
Show Abstract9:00 PM - H5.13
IBA of Ordered Ultra-thin SiO2 Grown on (1x1) Si(100).
Nicole Herbots 1 , James Bradley 1 , Robert Culbertson 1 , Justin Shaw 2 , Vasu Atluri 3
1 Physics, Arizona State University, Tempe, Arizona, United States, 2 Magnetics Group, NIST, Boulder, Colorado, United States, 3 , Intel Corp., Chandler, Arizona, United States
Show Abstract2 - 20 nm thick SiO2 films were grown on OH-passivated, ordered (1x1)Si(100) surfaces. The passivated (1x1)Si(100) surfaces are stable in ambient air and formed at room temperature by wet chemical cleaning [US Patent 6,613, 677, Herbots et al.]. The oxides are grown by Rapid Thermal Oxidation. Oxygen and silicon in the oxide are detected by Ion Beam Analysis (IBA) using a combination of ion channeling with the 3.05 MeV 16O(alpha,alpha)16O nuclear resonance. IBA yields Si surface peak areal densities (SP) lower than that of a disorder-free, bulk-terminated (1x1)Si(100) crystal calculated by the Monte-Carlo program 3-D string. This indicates that Si substrate atoms are shadowed by Si atoms within the oxide in the first 2 nm, after which the Si SP increases linearly with oxygen coverage. Beyond 2 nm, the oxide becomes amorphous. No unregistered Si is found at the SiO2/Si interface. Shadowing is detected in <100>, <110> and <111> direction. This is consistent with insertion of oxygen atoms close to a strained crystobalite-like phase. Alignment to Si(100) is also supported by 10 keV Reflection High Energy Electron Diffraction (RHEED).Comparative Infrared spectroscopy ordered oxides exhibit a constant, well-defined frequency of optical absorption across a 1 nm thickness in the interfacial region near Si. This is in contrast to a rapidly changing frequency in conventional oxides in the same region. Thus, IR supports the presence of a well defined bond-length and stoichiometry as detected by IBA and RHEED. Comparison between HRTEM and ellipsometry measurements show that the HRTEM thickness is significantly lower, by 30%, when compared to the thickness measured by ellipsometry. indicating a change in optical properties. TOF-SIMS shows that carbon concentrations in the ultra-thin ordered oxides is lower by several order of magnitudes when compared to conventional oxide.
9:00 PM - H5.14
A New 3D Multistring Code to Identify Compound Oxide Nanophase With Ion Channeling.
Nicole Herbots 1 , James Bradley 1 , Robert Culbertson 1 , Justin Shaw 2 , Vasu Atluri 3
1 Physics, Arizona State University, Tempe, Arizona, United States, 2 Magnetics Group, NIST, Boulder, Colorado, United States, 3 , Intel Corp., Chandler, Arizona, United States
Show AbstractA new computer code has been developed and tested to simulate channeling data of ordered Silicon Oxide nucleated on passivated (1x1) silicon formed via oxygen hydroxyl-like termination of silicon (100) in air at 300 K via the Herbots-Atluri clean as described in U.S. patent 6,613,677 (9/3/2003). Short range order within these new nanofilm phases has been investigated along the three major crystal directions of <100>, <110>, and <111> of the cubic diamond Si (100) substrate via ion channeling and blocking combined with the 3.05 MeV nuclear resonance analysis and comparison of ion scattering data with computer simulations of beta-cristobalite silicon dioxide 1-50 nm thick nanofilms on Si (100) as a function of oxygen coverage The new computer code, which we call "3D MultiSTRING", is derived from the original 3DSTRING program that originated at Bell Labs, NJ . When epitaxially ordered silicon oxide is modeled as _- cristobalite layered on (1x1) terminated cubic diamond silicon, the simulated ion channeling spectra confirm what is observed experimentally via Ion Beam Analysis (IBA) combining ion channeling and nuclear resonance analysis (NRA) with the 3.045 MeV 16O(alpha,alpha)16O interaction. A new, never previously identified ordered oxide interphase between the amorphous silicon oxide top films and the ordered Si (100) interface is discovered and exhibits a critical thickness measured at the (1x1) Si (100)/epitaxial silicon dioxide interface and the ordered/amorphous glass interphase.
9:00 PM - H5.16
Chemistry and Electronics of CH3-Ge(111).
David Knapp 1 , Nathan Lewis 1
1 Division of Chemistry and Chemical Engineering, California Institute of Technology, Pasadena, California, United States
Show Abstract One of the reasons Germanium has been outpaced by Silicon as an electronic device material, despite higher carrier mobilities, is the instability and higher electrical defect density of the Germanium oxide. This drawback disappears if the silicon dioxide is to be replaced with other dielectrics, provided the semiconductor surface can still be passivated. As with Silicon, the chemsitry of Germanium permits methyl termination of the (111) surface, which for Silicon has proven to be an effective method for passivating an oxide-free surface. Such a surface is obtained from an oxidized substrate in three steps: fluoride solution based hydrogen termination; radical halogenation; and methylation with methylmagnesium chloride. In addition, the solubility of GeO2 allows for direct aqueous hydrochloric or hydrobromic acid based halogenation not possible for Si substrates. We address the applicability of the two- and three-step methylation reactions to producing passivated Ge surfaces. We compare electrical stability and defect density, as measured by surface conductance and surface recombination velocity, to chemical composition and stability, as measured by XPS and FTIR. A major impediment to obtaining methyl surfaces of the same quality as CH3-Si(111) is the instability of the precursor H-Ge(111) and X-Ge(111). There is evidence that this is due in part to surface roughness.
9:00 PM - H5.17
Structural Properties and Electrical Performance of La2Hf2O7 on Si.
Monica Sawkar-Mathur 1 , Jane Chang 1
1 Chemical Engineering, UCLA, Los Angeles, California, United States
Show AbstractThe continuous down-scaling of microelectronic devices requires a replacement gate dielectric for future generation metal oxide semiconductor field effect transistors (MOSFETs). HfO2 has been studied extensively and is promising in replacing SiO2 because of its high dielectric constant and low leakage current. However, the interfacial issues arising from the amorphous HfO2/crystalline Si interface limit the successful integration of HfO2 in MOSFET devices. As a result, it may be beneficial to employ a crystalline oxide to achieve an atomically sharp interface between the gate dielectric and silicon, providing a low defect density. Furthermore, the use of a crystalline oxide may lower the leakage current and improve the reliability due to the lack of grain boundary formation upon post-deposition high temperature processing. An important criterion for the successful growth of crystalline oxides on silicon is a small lattice mismatch between silicon and the crystalline oxide. One crystalline oxide that has recently gained attention is La2Hf207, which has a lattice parameter approximately two times that of silicon, resulting in a lattice mismatch of ~1% at room temperature and essentially zero at ~800°C, making it an excellent candidate for epitaxial growth on Si.* A comprehensive study of La2Hf2O7 is performed, including detailed analysis of its bulk crystal structure, its interfacial properties, and its electrical performance. La2Hf2O7 is deposited by atomic layer deposition (ALD) on Si using hafnium t-butoxide as the Hf precursor and La[N(SiMe3)2]3 as the La precursor. The composition of the bulk film and at the interface is determined by X-ray photoelectron spectroscopy (XPS). Synchrotron X-ray diffraction (XRD) is used to determine the crystalline structure of La2Hf2O7 film and its epitaxial relationship to the Si substrate. Macroscopic structure determined by synchrotron XRD is used in conjunction with cross-sectional high-resolution transmission electron microscopy (HRTEM) images and the local structure determined from extended x-ray absorption fine structure (EXAFS) to fully assess the structure of the La2Hf2O7 films deposited. MOS capacitors fabricated on the films deposited are used to assess the electrical performance through leakage current density-voltage (J-V) and capacitance-voltage (C-V) analysis, which yield information about the leakage current density and barrier height across the La2Hf2O7/Si interface, the dielectric constant, equivalent oxide thickness, and density of interface states. * Mereu, B. et. al. Applied Physics A 80, (2005).
9:00 PM - H5.19
Material and Electrical Properties of HfRuN Gate Electrodes on HfO2.
Monica Sawkar-Mathur 1 , Jane Chang 1
1 Chemical Engineering, UCLA, Los Angeles, California, United States
Show AbstractMany alternative gate dielectric candidates for future generation MOSFET devices, including Hf based dielectrics, require the use of a metal gate, because of the instability issues, sheet resistance, gate depletion, and dopant penetration issues experienced with polysilicon gates. Hf based gate electrode materials may be promising candidates, because they are believed to reduce the charge transfer and subsequent dipole formation, as a result of the homo-nuclear bonds that form between the Hf in the metal gate and the Hf in the gate dielectric. It is important that the gate electrode material is thermodynamically stable with Hf based dielectrics, and no inter-diffusion occurs between the metal atoms in the gate electrode material and the metal atoms in the dielectric material. The bulk work function of Hf is ~4 eV, however, it is very reactive and unstable. As a result, it is necessary to reduce its reactivity by incorporating nitrogen and/or by alloying it with a less reactive higher work function metal. This paper discusses the material and electrical properties of sputter-deposited Hf-Ru and Hf-Ru-N gate electrodes atop atomic layer deposited HfO2. X-ray photoemission spectroscopy (XPS) and X-ray diffraction (XRD) analyses determined the composition and crystalline phase of the films. The thermal stability of the films is assessed through the depth profiling of the gate stacks using both Secondary Ion Mass Spectrometry (SIMS) and XPS before and after rapid thermal annealing at 800oC. Capacitance-voltage (C-V) characteristics of fabricated metal-oxide-semiconductor (MOS) capacitors are used to determine the effective work functions (EWFs). The EWFs are determined as a function of alloy composition to determine the best composition for p-MOSFET devices. Pure Hf and pure Ru gate electrodes deposited on HfO2 exhibited EWFs of 4.1eV and 5.3eV, respectively. HfRu alloys with EWFs ranging from 4.4 to 5.0eV have been deposited on HfO2. HfRuN (%N varying from 27-41%) films were synthesized to assess the effect of nitrogen incorporation on the work function. An EWF of a HfRuN film with a Hf:Ru:N ratio of 1:6:3 was determined to be ~5eV, a possible candidate for p-MOSFET devices.
9:00 PM - H5.2
Comparisons of Physical and Electrical Characteristics of Laser-MBE Fabricated HfSiO versus HfSiON Dielectrics
Yuekang Lu 1 , Weiguang Zhu 1 , R. Gopalkrishnan 2
1 School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore Singapore, 2 , Institute of Microelectronics, Singapore Singapore
Show Abstract9:00 PM - H5.20
Evaluation of Plasma Nitridation (PN) with Low Electron Temperature for the DRAM application.
Hyo-jung Kim 1 , Woo-jun Lee 1 , Sung-kwan Kang 1 , Jae-young Park 1 , Han-jin Lim 1 , Seok-woo Nam 1 , Tae-hyuk Ahn 1 , Chang-lyong Song 1
1 NRD-PJT, Samsung electronics Co., Hwaseung-Si Korea (the Republic of)
Show AbstractPlasma nitridation can introduce high nitrogen concentration to block boron penetration and decrease leakage current through very thin oxide and realize small EOT without the increase of thickness. However, nitrogen incorporation by plasma nitridation with the high electron temperature (Te) at the poly Si/SiON can induce plasma damage, which results in the decrease of mobility and the increase of leakage current. Especially, the effect of plasma damage on the electrical property of CMOSFETS becomes more severe as the gate oxide scales down. In this paper, two types of plasma nitridation with low electron temperature (<1.5eV) was compared in terms of boron penetration and leakage current for the DRAM application. One is the microwave source (2.45GHz) type and the other is RF source (13.56MHz). For the basic test, N atom profile and total N contents in about 20Å gate oxide was obtained using AR-XPS and shallow probe. With the microwave source plasma nitridation process, the re-oxidation occured at the gate oxide/Si substrate could reduce leakage current and improve on current characteristics. For the comparison of electrical results, all process used in this experiment were based on the high density DRAM technology. The electrical results, such as boron penetration and leakage current, will be discussed.
9:00 PM - H5.22
Gas Phase HF Etching of III-V Compound Semiconductors.
Fee Li Lie 1 , Anthony Muscat 1
1 Chemical and Environmental Engineering, University of Arizona, Tucson, Arizona, United States
Show Abstract9:00 PM - H5.23
Al-Oxynitride Buffer Layer Facilities for PrOX/SiC Interfaces.
Karsten Henkel 1 , Rakesh Sohal 1 , Carola Schwiertz 1 , Yevgen Burkov 1 , Dieter Schmeisser 1
1 Angewandte Physik-Sensorik, BTU Cottbus, Cottbus, Brandenburg, Germany
Show Abstract9:00 PM - H5.24
Metal Electrode Dependent Interface States of HfO2 Film on Si and Ge Substrates.
In-Sung Park 1 , Sunwoo Lee 2 , Sang Seol Lee 2 , Jungho Park 2 , JinHo Ahn 2
1 Information Display Research Institute, Hanyang Univeristy, Seoul Korea (the Republic of), 2 Department of Materials Science and Engineering, Hanyang University, Seoul Korea (the Republic of)
Show Abstract9:00 PM - H5.25
Surface Preparation of Germanium using Reactive Gas Phases
Shariq Siddiqui 1 , Anthony Muscat 1
1 Department of Chemical and Environmental Engineering, University of Arizona, Tucson, Arizona, United States
Show AbstractGermanium is a candidate to replace silicon in high performance devices because it has a higher carrier mobility and narrower band gap. Challenges must be overcome to use germanium in device manufacturing. For example, Ge oxides are not stable. Wet cleaning techniques to remove oxides and suboxides from Ge surfaces could be problematic, since GeO2 is soluble in water and could permit contamination to reach the Ge/GeO2 interface resulting in poor electrical properties. The lattice mismatch between Ge and GeO2 also produces an inhomogeneous oxide layer. The introduction of Ge consequently is an opportunity to use nonaqueous chemistries and deposit dielectric films needed for device fabrication directly on Ge surfaces. X-ray photoelectron spectroscopy (XPS) was used to study the removal of Ge oxides from Ge(100) with gas phase mixtures of HF and water vapor. Gas phase etching was performed at a total pressure of 100 Torr and a temperature of 29°C for 30 s exposures. The partial pressure ratio of HF and water vapor was varied from 0.3 to 2.3. The results were benchmarked with aqueous HF solutions at concentrations in the range of 1:100 to 1:10 (HF to ultra-pure water ratio by volume). Peak decomposition of the Ge 2p and 3d XPS traces showed how the oxidation state of Ge varied with the process fluid and conditions. The results show how to control the first step in developing a procedure to prepare clean Ge surfaces for the deposition of high dielectric constant films.
9:00 PM - H5.27
Investigation of Local Coordination and Electronic Structure of Dielectric Thin Films from Theoretical Energy-loss Spectra.
Manish Singh 1 , Javier Rosado 2 , Ramarajesh Katamreddy 1 , Anand Deshpande 1 , Christos Takoudis 3
1 Department of Chemical Engineering, University of Illinois at Chicago, Chicago, Illinois, United States, 2 , Air Products and Chemicals, Inc., Allentown, Pennsylvania, United States, 3 Departments of Chemical Engineering and Bioengineering, University of Illinois at Chicago, Chicago, Illinois, United States
Show Abstract9:00 PM - H5.28
Structure and Diffusion of Excess Silicon in Amorphous Silica: First Principles Studies.
Chin-lung Kuo 1 , Sangheon Lee 1 , Gyeong Hwang 1
1 Chemical Engineering, The University of Texas at Austin, Austin, Texas, United States
Show Abstract9:00 PM - H5.29
Microstructure Evolution in Yttria-doped Zirconia Thin Films Grown on High-mobility Semiconductor Substrates.
Masaru Tsuchiya 1 , Andrew Minor 2 , Shriram Ramanathan 1
1 Division of Engineering and Applied Sciences, Harvard University, Cambridge, Massachusetts, United States, 2 National Center for Electron Microscopy, Lawrence Berkeley National Laboratory, Berkeley, California, United States
Show AbstractDoped-transition metal-oxides such as Y-doped ZrO2, Gd-doped HfO2 are considered promising high-dielectric constant materials to replace SiO2 as the gate insulator for future nanoelectronic devices. Adding sufficient dopants such as yttria (Y2O3) to ZrO2 stabilizes high temperature cubic phase (referred to as YSZ) down to room temperature and can lead to an increased dielectric constant. This is an elegant approach to improving the scaling of the dielectric constant of the gate oxide. Due to its technological importance, microstructure of bulk YSZ had been extensively studied both experimentally and theoretically for many years. However, there has been very little research to understand role of substrate in the resulting microstructure of YSZ thin films and their stability. Moreover, very little is known about structure and stability of such oxides on high-mobility semiconductors such as Ge, InAs etc.Here we report in-situ transmission electron microscopy (TEM) observation of structure evolution in YSZ films grown by electron beam evaporation. YSZ (8 % Yttria doped) were grown on Ge, InSb, InAs, and MgO substrates by electron beam evaporation technique at room temperature. Samples were annealed both in-situ inside the TEM using a heating holder and also ex-situ and the resulting structures were studied. Interestingly, as-grown doped-zirconia films on Ge (100) show amorphous structure, while those on InSb and InAs substrates show polycrystalline structure. During in-situ heating experiments, we observed amorphous doped-zirconia thin film on Ge nearly instantaneously crystallized into cubic phase near 520 °C. On the other hand, as-grown polycrystalline YSZ thin film on InSb and InAs appeared to transform into single crystalline film at only 300 °C. These results were also correlated to ex-situ air annealing experiments.The differences in microstructure of as-grown samples and the crystallization temperature indicate the role of substrate in altering free energy of nucleation in nanoscale YSZ films and we will discuss this aspect in detail in this talk.
9:00 PM - H5.3
Electron-Stimulated Desorption of H / D from Silicon Surface with Homogeneous Electron Injection
Toshiki Mori 1 , Satoru Watanabe 1
1 , Fujitsu Laboratories Ltd., Morinosato-Wakamiya, Atsugi, Kanagawa Japan
Show AbstractIsotope effects of hydrogen (H) and deuterium (D) at gate dielectrics/Si interfaces have attracted much attentions, concerning with the life time of MOSFETs[1,2]. In this work, we present observations of electron-stimulated desorption of H and D from silicon surface simulating that happens under operations in the FETs. Electrons produced in low-pressure plasma were used in order to provide homogeneous injection simulating currents flowing through the dielectrics such as SiO2, SiON, and high-k. Kinetic energies of injected electron were controlled with bias applied to the sample located in the plasma chamber. Samples with an ordered Si (111) 1x1 surface fully terminated by H or D were prepared using a wet chemistry. The desorption of H or D atoms under electron injection were monitored with observing thermal vibrations originated from Si-H and Si-D structures with highly sensitive infrared spectroscopic technique.Desorption rates of H and D for electron injection had a threshold energy at 6 eV, which corresponds to that was reported by the observation of STM[2], while our estimated desoption yield was quite larger[3]. Under the threshold energy, the desorption was attributed to Si-H and D bond breaking by multiple vibrational excitations so that the desorption yield was strongly affected by injection current density, while above the threshold those was attributed to electronic excitations.[2,4] But in our experimental configuration with homogeneous injection, the desorption rates had linearly dependence to current densities under the threshold, and we could not observe the reported large isotope effect[2] originates multiple vibrational excitation model. Models which lead to H and D desorption to explain our chemical, isotopic results while comparing with the results deduced with the STM will be discussed.[1] J. W. Lyding, K. Hess and I. C. Kizilyalli, Appl. Phys. Lett. 68 (1996) 2526.[2] T. –C. Shen, C. Wang, G. C. Abeln, J. R. Tucker, J. W. Lyding, Ph. Avouris and R. E. Walkup, Science 268 (1995) 1590.[3] T. Mori and S. Watanabe, Jpn. J. Appl. Phys. 44 (2005) L839.[4] C. G. Van de Walle and W. B. Jackson, Appl. Phys. Lett. , 69 (1996) 2441.
9:00 PM - H5.30
Rare-earth Based Pseudobinary High-k Dielectric.
Peter Darmawan 1 , Jin Shun Teh 1 , Pooi See Lee 1
1 School of Materials Science and Engineering, Materials Technology, Nanyang Technological University, Singapore Singapore
Show Abstract9:00 PM - H5.31
Surface Reconstruction Dependence and Annealing of Amorphous Lanthanum Aluminate on GaAs.
Donghun Choi 1 , Maitri Warusawithana 3 , Chi On Chui 2 , Niti Goel 2 , Wilman Tsai 2 , Darrell Schlom 3 , James Harris 1
1 Electrical Engineering, Stanford University, Stanford, California, United States, 3 Materials Science and Engineering, Pennsylvania State University, University Park, Pennsylvania, United States, 2 , Intel Corporation, Santa Clara, California, United States
Show Abstract9:00 PM - H5.35
Formation of Low-leakage-current Ultra-thin SiO2 Films Using Low-temperature Neutral Beam Oxidation
Toru Ikoma 1 , Seiichi Fukuda 1 , Kazuhiko Endo 2 , Heiji Watanabe 3 , Seiji Samukawa 1
1 Institute of Fluid Science, Tohoku University, Sendai, Miyagi, Japan, 2 , National Institute of Advanced Industrial Science and Technology, Tsukuba, Ibaraki, Japan, 3 Graduate School of Engineering, Osaka University, Suita, Osaka, Japan
Show AbstractScaling down MOSFETs requires ultra-thin gate dielectric films that are less than 1.5-nm thick. At these thicknesses, it is difficult to use SiO2, a conventional dielectric material, because of high leakage currents. To solve this problem, many scientists have previously studied high-k materials, such as HfO2 and ZrO2, as new gate dielectric materials. It is also important to fabricate a very thin SiO2 underlayer between high-k films and Si substrates and to improve electrical characteristics. At the same time, high-quality thin SiO2 films need to be fabricated at low temperature for the replacement gate process.To fulfill these requirements, we formed an ultra-thin SiO2 film using an oxygen neutral beam at 300oC. An oxygen neutral beam (<10 eV) was irradiated on a Si substrate, and the substrate was atomically oxidized without any radiation damage, even at 300oC, lower than the temperature required for thermal oxidation. We believe that the low-energy oxygen neutral beam can be used to form low-temperature, high-quality ultra-thin (<1 nm) SiO2 films for future devices.We formed the SiO2 films using our neutral beam source, which consists of an inductively coupled plasma (ICP) source, a top graphite electrode, a bottom silicon electrode, and a process chamber. The bottom silicon electrode has many apertures. Oxygen ions generated in O2 plasma are neutralized by passing through the Si apertures. Then, oxygen neutral beams are irradiated on a silicon substrate in the process chamber. Since bombarding neutral particles with kinetic energy activates the Si surface, atomic layer oxidation easily progresses even at a low temperature. As a result, it is speculated that the interface structure in NBO film is drastically improved, as compared with that in thermally grown SiO2 film. The p-type Si (100) wafers were used to form the SiO2 films. Native oxide was removed by immersing the wafers in a 0.5% HF solution. The SiO2 films were then formed using neutral beam equipment at 0.14 Pa. The wafers were placed on a heated stage, and their surface temperatures were fixed at 300oC. Conversely, the thermally grown SiO2 films were formed on the 8-inch-diameter substrate at 850oC in O2 in the ULSI production line.To measure the electrical characteristics of the NBO films and the thermally grown SiO2 ones, we deposited Al electrodes on them and annealed them at 450oC in 3% H2 (+N2) for 30 min. We then measured the C-V and I-V characteristics and derived the leakage currents of the films as functions of their equivalent oxide thicknesses (EOTs). The fabricated NBO films were of higher quality and had lower leakage currents than the thermally grown SiO2 ones. We believe this is due to structural differences (e.g., density, interface structure) in NBO and thermally grown SiO2 films.
9:00 PM - H5.36
Study of Atomic Layer Deposited Gadolinium Oxide Thin Films on Silicon.
Salvador Duenas 1 , Helena Castan 1 , Hector Garcia 1 , Luis Bailon 1 , Kaupo Kukli 2 3 , Timo Hatanpaa 3 , Mikko Ritala 3 , Markus Leskela 3
1 Electronica, Universidad De Valladolid, Valladolid, Valladolid, Spain, 2 , University of Tartu, Tartu Estonia, 3 Chemistry, University of Helsinki, Helsinki Finland
Show Abstract9:00 PM - H5.37
Impact of Additives on the Microstructure of Hafnium-based High-k Dielectrics.
Richard Gregory 1 , Dina Triyoso 2 , Rama Hegde 2 , Jamie Schaeffer 2 , Peter Fejes 1 , Stefan Zollner 2 , Z. Yu 1 , Xiang-dong Wang 1
1 Wireless and Packaging Systems Laboratory - Technology Solutions Organization, Freescale Semiconductor, Inc., Tempe, Arizona, United States, 2 Austin Silicon Technology Solutions - Technology Solutions Organization, Freescale Semiconductor, Inc., Austin, Texas, United States
Show AbstractDevelopment of hafnium-based high-k dielectrics has evolved to include a variety of additive elements intended to improve performance of these materials in advanced CMOS platforms. Elements such as Ta, Ti, Si, or Zr are alloyed into pure hafnium dioxide (HfO2), altering the microstructure to overcome inherent deficiencies in its electrical properties. In this paper we report detailed physical characterization on the impact of Ta, Ti, Si and Zr on the microstructure of hafnium-based high-k dielectrics deposited using atomic layer deposition (ALD). Auger electron spectroscopy (AES), RBS, XRD, AFM, and TEM are used to investigate microstructural changes that occur in HfO2 as a result of these various additives. The analytical approach used typically starts with simpler spectroscopic methods such as RBS and XRD, then followed up with AFM, AES, and, if needed, TEM. Among the results to be presented are those highlighted as follows. AES analysis of HfTaOx shows that an upward trend in Ta:Hf ratio for ALD material correlates to increased k value. XRD of HfTaOx indicates crystallization following a 1000°C source/drain anneal to a mixture of tetragonal HfTaOx and monoclinic HfO2. A more promising system that uses Ti as the additive is more easily evaluated for content by RBS, which shows that ALD HfTiOx produced with a 2:1 Hf:Ti cycle ratio has 45% TiO2 and 55% HfO2. XRD analysis of the entire Hf:Ti cycle series shows that following simulated source/drain anneals, films approaching 45% TiO2 content show diffraction peaks corresponding to the orthorhombic HfTiO4 phase. However, some discussion has suggested that the XRD data alternatively show peaks for doubled tetragonal HfO2. Films with lower TiO2 content (12%) form the monoclinic HfO2 phase with smaller lattice constant due to some alloying with Ti. Surface roughness measured with AFM decreases as TiO2 content increases. A similar analytical approach is used to physically characterize the HfxZr1-xO2 system. RBS shows composition for ALD HfxZr1-xO2 measuring close to targeted values and remaining stable after a 1000°C anneal. XRD analysis of the ALD series indicates mixed tetragonal and monoclinic phases, with the desired tetragonal phase becoming more stabilized as the ratio of ZrO2:HfO2 is increased. In conclusion, detailed physical characterization reveals significant microstructural changes that occur in HfO2 by the addition of Ti, Ta, Si and Zr. These microstructure modifications result in better device performance.
9:00 PM - H5.4
Hydrogen Interaction with Point Defects in the Si-SiO2 System and its Influence on the Interface Properties.
Daniel Kropman 1
1 Department of Materials Science, Tallinn University of Technology, Tallinn Estonia
Show Abstract9:00 PM - H5.5
Comparative Study of Diffusion of Defects and Impurities in HfO2.
Valerie Cuny 1 , Nicolas Richard 1 , Alain Esteve 2 , Mehdi Djafari Rouhani 2
1 , CEA-DIF, Bruyères-le-Châtel France, 2 Laboratoire d'Analyse et d'Architecture des Systèmes, CNRS, Toulouse France
Show Abstract9:00 PM - H5.6
Design of Hafnium β-ketoiminato Precursors for the MOCVD of Hafnium Oxide Thin Films.
Bradley Fahlman 1 , Anne Germeroth 1
1 Department of Chemistry, Central Michigan University, Mount Pleasant, Michigan, United States
Show Abstract9:00 PM - H5.7
Chemical Vapor Deposition of Hafnium and Zirconium Oxide Thin Films.
Bradley Fahlman 1 , Jason Macdonald 1
1 Department of Chemistry, Central Michigan University, Mount Pleasant, Michigan, United States
Show Abstract9:00 PM - H5.8
Observation of an Interfacial Transition Layer in a Nano-scale SiO2 Layer on a Si Substrate by X-ray Reflectivity (XRR) Analysis.
Chang-Soo Kim 1 , Tae-Kyoung Koo 2 1 , Ji-Yeon Yun 2 1 , Byung-Sung Oh 2 , Young-Dae Choi 3
1 Div. Of Advanced Tech., Korea Research Institute of Standards and Science, Daejeon Korea (the Republic of), 2 Department of Physics, Chungnam University, Daejeon Korea (the Republic of), 3 Department of Optical and Electronic Physics, Mokwon University, Daejeon Korea (the Republic of)
Show AbstractNanometer scale single SiO2 layers of thicknesses of 2, 4, 8 and 10 nm were grown, respectively, on (100) Si substrates by thermal oxidation method. X-ray reflectivity (XRR) measurements were performed using a normal X-ray diffractometer equipped with an X-ray mirror. Since the amplitudes of the thickness fringes of a reflectivity curve for a SiO2 layer on Si are very low due to the small difference in the electron densities between SiO2 and Si, a measured reflectivity curve was normalized with a reference reflectivity curve for a Si substrate, and the normalized curve showed clearly the positions of the thickness fringes and the overall shape of the curve. The normalized reflectivity curve was analysed by fitting with the calculated reflectivity curve which was also normalized with the same reference curve. The positions of the thickness fringes for the measured reflectivity curve are identical with those for the average of two calculated curves, one is the best fit calculated for the SiO2 single layer with a higher refractive index than that of Si substrate, and the other calculated for the SiO2 single layer of a different thickness with a lower refractive index. The result suggests that the variation in the fringe positions is caused by the interference effect from the two oxide layers of different refractive indices and of different thicknesses with each other. The refinement of the simulation shows that between the SiO2 overlayer and the Si substrate there exists a thin SiO2 interfacial transition layer of a different refractive index from that of the SiO2 overlayer, and the thickness of the transition layer is about 0.9 nm. For all of the samples examined in the study the XRR results show the interfacial transition layers of almost the same thicknesses. The mechanism for the formation of the interfacial transition layer will be discussed. In addition, the analytical method used in the study determines the thickness of an ultra-thin SiO2 layer on Si with low uncertainty and the SiO2 thicknesses of the samples by XRR analyses are compared with those by TEM, XPS and SE. X-ray reflectivity curve for a SiO2 layer was influenced by surface contamination, and the effect of the surface contamination on the thicknesses of the overlayer and the interfacial transition layer will be also discussed.
9:00 PM - H5.9
Synthesis and in situ Characterization of High-k Oxides by Remote Plasma ALD.
Erwin Kessels 1 , Hans Van Hemmen 1 , Wytze Keuning 1 , Erik Langereis 1 , Stephan Heil 1 , Johan Klootwijk 2 , Fred Roozeboom 3 , Chris Hodson 4 , Richard Van de Sanden 1
1 Dept. of Applied Physics, Eindhoven Univ. of Technology, Eindhoven Netherlands, 2 , Philips Research, Eindhoven Netherlands, 3 , NXP Semiconductors Research, Eindhoven Netherlands, 4 , Oxford Instruments Plasma Technology, Yatton United Kingdom
Show Abstract
Symposium Organizers
Yves Chabal Rutgers University
Alain Esteve CNRS
Nicolas Richard Commissariat a l’Energie Atomique
Glen Wilk ASM America
H6: Complex and Crystalline Oxides
Session Chairs
Thursday AM, April 12, 2007
Room 3007 (Moscone West)
9:30 AM - **H6.1
Atomic Scale Characterization of Complex Oxides on Silicon.
David Muller 1 , Lena Fitting Kourkoutis 1 , C. Hellberg 2 , V. Vaithyanathan 3 , Darrell Schlom 3 , K. Andersen 2
1 School of Applied and EngineeringPhysics, Cornell University, Ithaca, New York, United States, 2 Center for Computational Materials Science, Naval Research Laboratory , Washington, District of Columbia, United States, 3 Dept. of Materials Science and Engineering, Penn State University, State College, Pennsylvania, United States
Show AbstractPerovskite oxides exhibit a wide range of electronic and magnetic properties with potential device applications. In particular, SrTiO3 could be used as a ferroelectric or buffer layer for the growth of more exotic perovskite oxide heterostructures on Si. This opens up possibilities for the incorporation of novel materials into existing Si-based technology. While the SrTiO3/Si interface structure has been studied extensively, most experimental techniques rely on averaging and hamper the determination of the local interface structure. Scanning transmission electron microscopy (STEM) is a powerful technique for imaging buried structures, such as thin films and isolated vacancies in a crystal. Here, clear imaging of buried SrTiO3 layers as thin as a single monolayer can be achieved in plan view STEM by exploiting electron channeling or in cross-section to allow atomic-scale measurements of interface electronic structure. We apply these methods to study how polarization, compensating interface charges and strain affect the growth, islanding and defects in thin epitaxial films. . This work was supported under the ONR EMMA MURI monitored by Colin Wood and by the Cornell NSF-MRSEC.
10:00 AM - H6.2
Preparation and Characterization of MOSFETs with Gadolinium Scandate as Alternative Gate Dielectric.
Martin Roeckerath 1 , Joachim Knoch 1 , Tassilo Heeg 1 , Juergen Schubert 1 , Siegfried Mantl 1
1 Institute of Bio- and Nanosystems (IBN 1), Research Centre Juelich, Juelich, NRW, Germany
Show Abstract10:15 AM - H6.3
Surprises in the Growth of SrTiO3 on Silicon: A Charged Interface and Polar Film.
C. Hellberg 1 , Kristopher Andersen 1 , Joseph Woicik 2 , P. Ryan 3 , Hao Li 4 , Lena Fitting 5 , David Muller 5 , V. Vaithyanathan 6 , Darrell Schlom 6
1 , Naval Research Lab, Washington, District of Columbia, United States, 2 , NIST, Gaithersburg, Maryland, United States, 3 , Ames Laboratory, Ames, Iowa, United States, 4 , Motorola Labs, Tempe, Arizona, United States, 5 , Cornell University, Ithaca, New York, United States, 6 , Pennsylvania State University, University Park, Pennsylvania, United States
Show AbstractThere has been great interest in growth of complex oxides on silicon for device applications. SrTiO3 has served as the prototypical system, but initial optimism has faded somewhat as well ordered epitaxial films have been difficult to achieve. Recently there have been several developments that have dramatically improved our understanding of these systems. Growth of coherent lattice-matched films has finally been achieved, and the measured expansion of the out-of-plane lattice constant exceeds the prediction of the bulk elastic constants of SrTiO3 by nearly 100%. Simultaneously, growth by a different process in thermodynamic equilibrium yields islands of SrTiO3. I will present first principles density functional calculations consistent with both experiments: The favored interface is electrically charged, and the film grows ferroelectrically polarized, with an accompanying out-of-plane expansion. Additionally, the calculations show the films are unstable to phase separation. Possible methods of substitutionally doping the interface to eliminate the charge are discussed.
10:30 AM - H6.4
Interface Control in High-k stacks on Semiconductors Grown by Molecular Beam Epitaxy.
Chiara Marchiori 1 , Daniele Caimi 1 , Roland Germann 1 , Jean-Pierre Locquet 1 , Bogdan Mereu 1 , Christophe Rossel 1 , Maryline Sousa 1 , Axelle Tapponnier 1 , Dave Webb 1 , Maria Seo 2 , Jean Fompeyrine 1
1 , IBM Research Lab Zurich, Rueschlikon Switzerland, 2 IPMC, Ecole Polytechnique Fédérale de Lausanne, Ecublens Switzerland
Show Abstract10:45 AM - **H6.5
Theory of Nonlinear Dielectric Response of Perovskite Superlattices and Interfaces.
David Vanderbilt 1
1 Physics and Astronomy, Rutgers University, Piscataway, New Jersey, United States
Show Abstract11:30 AM - **H6.6
Growth and Characterization of Epitaxial LaxLu2-xO3 Films on (111) Si.
Darrell Schlom 1 , Wei Tian 1 , Lisa Edge 1 , D. Klenov 2 , S. Stemmer 2 , J. Wang 3 , M. Kim 3 , V. Afanas'ev 4 , S. Stesmans 4 , S. Shamuilia 4 , B. Hollaender 5 , J. Schubert 5 , M. Hawley 6 , S. Rivillon 7 , Y. Chabal 7
1 Department of Materials Science and Engineering, Penn State University, University Park, Pennsylvania, United States, 2 Materials Department, University of California, Santa Barbara, California, United States, 3 Department of Electrical Engineering, University of Texas at Dallas, Richardson, Texas, United States, 4 Department of Physics, University of Leuven, Celestijnenlaan Belgium, 5 Institute of Thin Films and Interfaces and Center of Nanoelectronic Systems for Information Technology, Research Centre Jülich, Jülich Germany, 6 Materials Science & Technology Division, Los Alamos National Laboratory, Los Alamos, New Mexico, United States, 7 Departments of Chemistry and Chemical Biology,, Biomedical Engineering and Physics, Rutgers University, Piscataway, New Jersey, United States
Show Abstract12:00 PM - H6.7
Structual and Electrical Properties of Crystalline LaAlO3 on Silicon (001)
James Reiner 1 , Agham Posadas 1 , Miaomiao Wang 2 , Tso-Ping Ma 2 , Charles Ahn 1
1 Applied Physics, Yale University, New Haven, Connecticut, United States, 2 Electrical Engineering, Yale University, New Haven, Connecticut, United States
Show AbstractWe have grown epitaxial LaAlO3 (LAO) on silicon (001) surfaces by oxide molecular beam epitaxy using a 2 monolayer SrTiO3 buffer layer. LAO is a promising gate oxide candidate for integration into future generations of silicon technology because of its relatively large dielectric constant (24) and band gap (5.5 eV). The structure of the epitaxial LAO films has been characterized by reflection high energy electron diffraction during and after growth, x-ray diffraction, and transmission electron microscopy (TEM). All of these techniques reveal a highly crystalline, epitaxial LAO/Si heterostructure. Cross-sectional TEM of samples shows no SiO2 at the complex oxide-silicon interface. I-V and C-V measurements have been performed on MOS capacitor structures to characterize the leakage current and interface trap density. Samples have also been studied by inelastic electron tunneling spectroscopy, the results of which indicate an absence of SiO2 at the complex oxide-silicon interface.
12:15 PM - H6.8
Amorphous Lanthanum Lutetium oxide Thin Films as an Alternative High-κ gate Dielectric.
Joao Lopes 1 , Martin Roeckerath 1 , Tassilo Heeg 1 , Jurgen Schubert 1 , Uffe Littmark 1 , Siegfried Mantl 1 , Valeri Afanas'ev 2 , Sheron Shamuilia 2 , Andre Stesmans 2 , Y. Jia 3 , Darrel Schlom 3
1 Institute for Bio- und Nanosystems, Forschungszentrum Juelich GmbH, Juelich Germany, 2 Department of Physics, University of Leuven, Leuven Belgium, 3 Department of Materials Science and Engineering, Pennsylvania State University, Pennsylvania , Pennsylvania, United States
Show Abstract12:30 PM - H6.9
Defects in La and Hf Gate Oxides.
Koon-yiu Tse 1 , Dameng Liu 1 , Ka Xiong 1 , John Robertson 1
1 Engineering, Cambridge University, Cambridge United Kingdom
Show AbstractH7: High-k/Semiconductor Interfaces II, SiC, Electrical Characterization
Session Chairs
Thursday PM, April 12, 2007
Room 3007 (Moscone West)
2:30 PM - **H7.1
Compositional and Band Alignment Characterization in CMOS Gate Stacks.
L. Goncharova 1 , S. Rangan 1 , E. Bersch 1 , O. Celik 1 , T. Feng 1 , S. Sayan 1 , C. Hsueh 1 , Y. Chabal 1 , T. Gustafsson 1 , R. Bartynski 1 , E. Garfunkel 1
1 , Rutgers University, Piscataway, New Jersey, United States
Show AbstractThe properties of alternative dielectrics, metal electrodes and semiconductors are under intense scrutiny because of their potential to increase performance of nanoscale CMOS devices. To enable the rational selection of these new components, the ultrathin film and interface properties of adjoining materials must be understood at the atomic scale. There remain a variety of issues whose elucidation would greatly facilitate the integration of novel materials and structures. We will present selected results on structural, compositional, electrical, electronic band alignment and thermal stability properties of several classes of alternative (post-Si) materials. In the first part of the presentation we will review results primarily from medium energy ion scattering a high-resolution, low energy version of Rutherford backscattering. We will discuss the behavior of amorphous and polycrystalline films of materials such as HfO2, ZrO2, Y2O3, etc. We will present data on their thermal stability and their reactivity with adjoining semiconducting channel materials. We will next present isotopic labeling results to explore how oxygen reacts with and exchanges in the films, as oxygen chemistry appears critical to understanding defects in these systems. The third set of systems that we will discuss are metals electrodes deposited on dielectrics, focusing on their ability to add or remove oxygen from the dielectric layer(s). Finally, the stability of dielectrics on alternative channel materials (Ge and GaAs) will be presented.Proper band alignment at individual interfaces as well as across multilayer stacks is needed ensure proper device performance, including low leakage currents and appropriate threshold voltages. An understanding of the relevant energies, particularly the role played by interface dipoles, may enable the tuning of band offsets and effective work functions at interfaces. Band offset and DOS results of studies using direct, inverse and internal photoemission will be presented for a series of structures comprised of different metals (Ru, Al, Ti, Ni), dielectrics (HfO2, SiO2 and HfxSi1-xO2) and semiconductors (Si, Ge and GaAs). The band offsets we measure for the metal/oxide and oxide/semiconductor interfaces are, to first order, in agreement with a modified Schottky-Mott model. But as expected, the results reveal more complicated interfaces, and in particular strong chemical effects after metal deposition. Both Ru and Al induce an energy shift of the core, valence and conduction band levels of an adjoining material: Ru stays metallic upon deposition on the oxide, whereas interfacial Al is shown to become oxidized. NSF, SRC and MURI support are gratefully acknowledged. We also thank Sematech and several SRC member companies for very helpful collaborations.
3:00 PM - H7.2
Characteristics of Hafnium-based Gate Dielectrics Depending on the Silicon Content.
Sanghyun Woo 1 , Seokhoon Kim 1 , Hyungseok Hong 1 , Hyungchul Kim 1 , Hyeongtag Jeon 1
1 Materials Science and Engineering, Hanyang University, Seoul Korea (the Republic of)
Show Abstract3:15 PM - H7.3
Roles of Oxygen and Water Vapor in the Oxidation of Halogen and Hydrogen Terminated Ge(111) Surfaces.
Shiyu Sun 1 2 , Yun Sun 2 , Zhi Liu 2 , Piero Pianetta 2
1 Physics, Stanford University, Palo Alto, California, United States, 2 , Stanford Synchrotron Radiation Laboratory, Menlo Park, California, United States
Show AbstractGermanium (Ge) has emerged as a promising material for high-performance devices due to its high intrinsic electron and hole mobilities. To fabricate devices on Ge, it is essential to clean and passivate its surface effectively. Although clean Ge surfaces can be achieved by wet chemical etching, the hydrogen and halogen passivation layers formed during etching are not stable in the air. Therefore, it is crucial to understand the mechanism of the initial oxidation of the treated Ge surfaces in the air. Since Ge(111) surfaces are structurally simple, Ge(111) surface can serve as a model system to investigate the oxidation mechanism, and help to understand the Ge(100) surface, which is technologically more important. In this work, we study the initial stage of the oxidation of Ge(111) surfaces treated by HF, HCl and HBr solutions using synchrotron radiation photoelectron spectroscopy (SR-PES) at Stanford Synchrotron Radiation Laboratory (SSRL). It is well known that the oxidation in the air is complicated, since oxygen, water vapor, trace oxidants such as ozone etc. and illumination conditions can all affect the oxidation process. Therefore, controlled experiments are performed to differentiate the effects of different oxidation factors. Experimental results show that water vapor and oxygen play different roles in oxidation. For Cl and Br terminated surfaces, water vapor effectively replaces the termination layers with the hydroxyl group (OH), but does not oxidize the surfaces further in dark conditions. In contrast, little oxidation is observed with dry oxygen alone, but with the help of water vapor, oxygen oxidizes the surface by breaking the Ge-Ge back bonds instead of changing the termination layer. For H terminated surfaces, water vapor behaves the same, but surface undergoes significant oxidation with dry oxygen dosing in dark conditions. The stability difference between halogen terminated surfaces and hydrogen terminated surfaces will be discussed. In addition, the effects of other oxidation factors such as the trace oxidant species in room air and the UV components of room light will be addressed.
3:30 PM - H7.4
In-situ Infrared Absorption Monitoring of Atomic Layer Deposition of Metal Oxides on Functionalized Si and Ge Surfaces.
Min Dai 2 1 , Ming-Tsung Ho 2 1 , Yu Wang 1 2 , Sandrine Rivillon 3 1 , Meng Li 2 1 , Jinhee Kwon 2 , Yves Chabal 1 3 2
2 Department of Physics, Rutgers University, Piscataway, New Jersey, United States, 1 Laboratory for Surface Modification, Rutgers University, Piscataway, New Jersey, United States, 3 Department of Chemistry and Chemical Biology, Rutgers University, Piscataway, New Jersey, United States
Show Abstract3:45 PM - H7.5
X-Ray Reflectometry Determination of Structural Information from Atomic Layer Deposition, Nanometer-scale, Hafnium Oxide Thin Films.
Donald Windover 1 , Nicholas Armstrong 1 2 , James Cline 1
1 Ceramics Division, NIST, Gaithersburg, Maryland, United States, 2 Department of Physics and Advanced Materials, UTS, Sydney, New South Wales, Australia
Show Abstract4:30 PM - H7.6
Atomic-scale Characterization of HF-treated 4H-SiC(0001)1×1 Surfaces by Scanning Tunneling Microscopy.
Kenta Arima 1 , Hideyuki Hara 1 , Keita Yagi 2 , Ryota Okamoto 2 , Hidekazu Mimura 1 , Akihisa Kubota 3 , Kazuto Yamauchi 1
1 Department of Precision Science and Technology, Osaka University, Suita, Osaka, Japan, 2 Research Center for Ultra-Precision Science and Technology, Osaka University, Suita, Osaka, Japan, 3 Department of Mechanical Engineering and Materials Science, Kumamoto University, Kumamoto, Kumamoto, Japan
Show Abstract4:45 PM - H7.7
Investigation of 4H-SiC MIS Devices with AlON/SiO2 Layered Structures.
Makoto Harada 1 , Yu Watanabe 1 , Shgenari Okada 1 , Takayoshi Shimura 1 , Kiyoshi Yasutake 1 , Heiji Watanabe 1
1 , Osaka University, Suita-shi, Osaka, Japan
Show AbstractSilicon carbide (SiC) is a promising semiconductor material for high-power and high-temperature devices because of its wide band gap, high breakdown field, and high thermal conductivity. It can also be oxidized to grow the SiO2 insulator for fabrication of metal-insulator-semiconductor (MIS) structures. However, the major limiting factor in power electronic application is the poor characteristics of thermally grown oxides, especially for thick SiO2 (50-100 nm) on SiC substrates. Recently, high-permittivity metal oxides (high-k gate dielectrics) have gained considerable attention as alternative gate dielectrics for advanced Si devices. Although excellent electrical properties have been reported for Hf-based high-k dielectrics, alternative high-k insulators with a wider band gap and superior thermal stability are required for SiC applications. Aluminum oxides (Al2O3) are candidate materials for SiC-MIS devices, but they have been reported to have a lot of negative fixed charges. In this study, we propose high-quality SiC-MIS devices with layered structures of aluminum oxynitrides and thin SiO2 underlayers, in which the negative fixed charges of Al2O3 films are terminated by incorporation of nitrogen [1] and the interface property at SiO2/SiC is improved by using thin SiO2 layers. MIS capacitors were fabricated on Si-faced 4H-SiC(0001) wafers with n-type epitaxial layers. AlON insulators were deposited on thermally grown thin SiO2 (less than 20 nm thick) by reactive sputtering using a N2/O2 gas mixture, and then, high-temperature post-deposition annealing was carried out to improve electrical properties. Our physical characterization showed that the nitrogen content of the typical AlON films was 14% and that its energy band gap was 6.9eV. We found that the amount of residual carbon within the SiO2 layer and SiO2/SiC interface increased as the oxide thickness increased, which suggests both thermal desorption of carbon oxides through the growing SiO2 layer and use of thin SiO2 underlayers. Capacitance-voltage measurement revealed that nitrogen incorporation into Al2O3 dramatically reduced the negative fixed charge by one order of magnitude and hysteresis by less than 20 mV. We also demonstrated that the SiC-MIS devices have a high breakdown field of over 15 MV/cm. Advantages of the AlON/SiO2/SiC layered structure are discussed in detail.[1] K. Manabe et al., IEICE TRANS. ELECTRON, E87-C (2004) 30.
5:00 PM - H7.8
Impact of Interfacial Nitridation of HfO2 High-k Gate Dielectric Stack on 4H-SiC
Rajat Mahapatra 1 , Amit Chakraborty 2 , Bing Miao 1 , Alton Horsfall 1 , Sanatan Chattopadhyay 1 , Nick Wright 1 , Karl Coleman 2
1 School of Electrical, Electronic and Computer Engineering, Newcastle University, Newcastle United Kingdom, 2 Department of Chemistry, University of Durham, Durham United Kingdom
Show AbstractSilicon carbide (SiC) is a potential semiconductor for high temperature, high-power and high-frequency devices because of its wide band gap, high breakdown field and high saturation velocity. The ability to grow thermal oxides on SiC also gives it significant advantages over other compound semiconductors. However, the low dielectric constant of SiO2, fundamentally restricts the maximum allowable electric field in SiC devices. Therefore, the application of high-k materials, such as HfO2, will reduce the field strength within the dielectric itself and thus allowing better exploitation of the properties of SiC. Still several challenges such as low band offset at the high-k/SiC interface limits its potential applications in SiC-based metal-insulator-semiconductor (MIS) devices. The deliberate incorporation of an oxide or oxynitride interfacial layer between SiC and high-k gate dielectrics attracts great attention because it allows the increase in band offsets at the high-k/SiC interfaces and an improvement of interface quality. In this regard, we have investigated the effect of interfacial nitridation of HfO2 high-k gate dielectric stacks on 4H-SiC.The high-k gate dielectric stacks on SiC were fabricated by thermally growing an initial oxide/oxynitride layer, followed by e-beam evaporation of hafnium and its subsequent oxidation. The X-ray photoelectron spectroscopy study of the HfO2/oxynitride/SiC gate stack confirms the formation of stoichiometric HfO2 film. No undesired hafnium-silicide formation is observed at the surface as well as in the interfacial layers. It is interesting to note that there is no significant amounts of carbon pile up at the interface. Electrical properties of the dielectric stacks have been studied using capacitance-voltage (C-V) and current-voltage (I-V) characteristics of the MIS capacitors with Al top contact. It has been observed that the hysteresis behaviour for HfO2/SiOxNy dielectric stack is reduced in comparison to HfO2/SiO2, indicating the presence of fewer traps in the nitrided high-k gate dielectric stack. The interface state densities of the gate dielectric stacks are found to be ~7E11 and ~ 6E11 eV-1cm-2 @ Ec-Et = 0.2 eV in HfO2/SiO2 and HfO2/SiOxNy stacks, respectively. An improvement of gate leakage current density is also observed in HfO2/SiOxNy (~1E-8 A/cm2 @ E=1.5MV/cm) in comparison to HfO2/SiO2 stack (~1E-7 A/cm2 @ E=1.5MV/cm).
5:15 PM - H7.9
Engineering of Epitaxial γ-Al2O3 (111) Gate Dielectrics on 4H-SiC (0001)
Carey Tanner 1 , Monica Sawkar-Mathur 1 , Jun Lu 2 , Hans-Olof Blom 2 , Michael Toney 3 , Jane Chang 1
1 Chemical and Biomolecular Engineering, University of California Los Angeles, Los Angeles, California, United States, 2 Angstrom Laboratory, Uppsala University, Uppsala Sweden, 3 Stanford Synchrotron Radiation Laboratory, Stanford Linear Accelerator Center, Menlo Park, California, United States
Show AbstractThe development of epitaxial high-k gate oxides has the potential to improve the performance of 4H-SiC power MOSFETs by improving the semiconductor/dielectric interface and enabling operation at a higher electric field. The selection of candidate materials is limited by the strict requirement for adequate band offsets imposed by the wide bandgap of 4H-SiC (E
g = 3.26 eV). Al
2O
3 (κ = 10) was selected for investigation due to its large bandgap (E
g = 7.0 eV) and demonstrated stability in several crystalline phases.
Al2O3 thin films were grown on n-type 4H-SiC (0001) by thermal ALD at 200°C using trimethylaluminum and water vapor. The films were stoichiometric with carbon incorporation below detection limits as evaluated by in-situ XPS. The as-deposited Al2O3 films were amorphous as determined by in-situ RHEED. Upon rapid thermal annealing in N2 at 1100°C, the film crystallized to the γ-Al2O3 phase. The abrupt interface of the as-deposited Al2O3 film with the substrate was preserved during the crystallization process, as observed by cross-sectional HRTEM images. Selected area electron diffraction (SAED) indicated an epitaxial relationship between the film and the substrate, γ-Al2O3 (111) || 4H-SiC (0001) and γ-Al2O3 (-110) || 4H-SiC (-12-10).
More detailed analysis of the film crystallinity was performed by synchrotron X-ray scattering of γ-Al2O3 films of various thickness. For all films, the same epitaxial relationship as well as twinning around the [111] axis were observed. No peaks associated with other crystallite phases or orientations were observed in the specular scans. The FWHM of the (222) peak was 0.057° ± 0.02° for all film thicknesses, suggesting the high quality of the film. Strong interference fringes were seen for the γ-Al2O3 (222) reflection for films of ≤100 Å, indicating the parallelness of the atomic planes. No detectable misorientation in phi, chi, or θ was observed for the 25 Å films; however, an increase in the percentage of isotropically misoriented grains was observed for films of increasing thickness up to 200 Å. For thicker films, only partial crystallization was achieved under these processing conditions and mixed crystalline and amorphous regions were visible in the cross-sectional HRTEM images.
C-V and I-V measurements of 4H-SiC MOS capacitors fabricated with 200 Å thick Al2O3 dielectric films were performed to compare the dielectric constant, fixed charge, density of interface states, and breakdown field characteristics of epitaxial γ-Al2O3 films with respect to those of amorphous Al2O3 as well as state-of-the-art thermal oxides. A fairly symmetric band alignment was determined from synchrotron XPS spectra of Al2O3 thin films and the results were confirmed by the barrier height extracted from I-V measurements. Conductive AFM measurements provided nanoscale spatial resolution of the electrical properties of the films and elucidated the role of grain boundaries as leakage paths in the crystalline films.
5:30 PM - H7.10
Controllability of Flatband Voltage in Metal/High-k Gate Stack Structures.
Kenji Ohmori 1 , Parhat Ahmet 2 , Kuniyki Kakushima 2 , Hideki Yoshikawa 1 , Kenji Shiraishi 3 , Naoto Umezawa 1 , Kiyomi Nakajima 1 , Michiko Yoshitake 1 , Keishuke Kobayashi 1 , Kikuo Yamabe 3 , Heiji Watanabe 4 , Yasuo Nara 5 , Takashi Nakayama 6 , Martin Green 7 , Hiroshi Iwai 2 , Keisaku Yamada 8 , Toyohiro Chikyow 1
1 Advanced Electronic Materials Center, National Institute for Materials Science, Tsukuba, Ibaraki, Japan, 2 , Tokyo Institute of Technology, Yokohama Japan, 3 , University of Tsukuba, Tsukuba Japan, 4 , Osaka University, Osaka Japan, 5 , Semiconductor Leading Edge Technologies, Tsukuba Japan, 6 , Chiba University, Chiba Japan, 7 , National Institute of Standards and Technology, Gaithersburg, Maryland, United States, 8 , Waseda University, Shinjuku, Tokyo, Japan
Show AbstractWe have applied a combinatorial materials technique for investigating the controllability of flatband voltage in metal/high-k dielectric/Si stacking structures. Two kinds of high-k dielectric films, HfO2 and La2O3, were systematically compared under various annealing conditions. The Pt-W alloy films were used as metal electrodes so that the work function can be tuned continuously from 4.7 to 5.5 eV by changing the ratio of Pt to W. In the case of Pt-W/HfO2/SiO2/Si structures, the difference in flatband voltage from Pt and W electrodes are only 0.05 V after forming gas annealing (FGA) regardless of the work function difference of 0.8 eV. By an additional oxidizing gas annealing (OGA), the difference increases to 0.3 eV which is still smaller than the workfunction difference. This is attributed to Fermi level pinning which derives from an electric dipole at the metal/high-k interface because of oxygen vacancy formation. This change in effective work function is dominant for higher work function metals such as Pt. On the contrary, the La2O3 films exhibit great controllability in flatband voltage. We observed the difference in flatband voltages of 0.7 and 1.2 V after FGA and OGA, respectively. The magnitude of flatband voltage controllability is 3 times larger in La2O3 than in HfO2. Hard x-ray photoelectron spectroscopy at the SPring-8 synchrotron facility demonstrates the existence of a Si-rich layer between the HfO2 and metal films. This is consistent with the ultrathin reaction layer observed only in metal/HfO2 interfaces by transmission electron microscopy. We consider that the pile-up of Si at the metal/high-k interface significantly deteriorate the controllability in flatband voltage. From this viewpoint, we believe that the La2O3 film is one of the most promising high-k dielectric materials for future CMOS devices in 32nm-node and beyond.
5:45 PM - H7.11
Electrical Characterization of High-k Dielectrics by Means of Flat-Band Voltage Transient Recording.
Salvador Duenas 1 , Helena Castan 1 , Hector Garcia 1 , Luis Bailon 1 , Kaupo Kukli 2 3 , Mikko Ritala 3 , Markus Leskela 3
1 Electronica, Universidad De Valladolid, Valladolid, Valladolid, Spain, 2 , Institute of Experimental Physics and Technology, Univ. Tartu, Tartu Estonia, 3 Chemistry, Univ. Helsinki, Helsinki Finland
Show Abstract