Symposium Organizers
Paul C. McIntyre Stanford University
Joan M. Redwing The Pennsylvania State University
Volker Schmidt Max-Planck-Institut für Mikrostrukturphysik
Silvija Gradecak Massachusetts Institute of Technology
Symposium Support
AIXTRON AG
JEOL USA Inc
Park AFM
First Nano, a division of CVD Equipment Corp
AA1: III-V Nanowire Devices
Session Chairs
Erik Bakkers
Paul McIntyre
Tuesday PM, April 14, 2009
Room 3008 (Moscone West)
9:30 AM - **AA1.2
Position Controlled Growth of III-V Semiconductor Core-shell Nanowires Grown by Selective Area MOVPE and Their Device Applications.
Takashi Fukui 1 , Katsuhiro Tomioka 1 , Shinjiro Hara 1 , Kenji Hiruma 1 , Junichi Motohisa 1
1 Research Center for Integrated Quantum Electronics, Hokkaido University, Sapporo, Hokkaido, Japan
Show AbstractSemiconductor nanowires have stimulated extensive interest in recent years because of their unique properties and potential applications as building blocks for nanoscale electronic and photonic devices. Realization of high quality, highly uniform, single-crystalline, reproducibly identical nanowires is a challenging task and one of the key hurdles for future development of nanotechnology. The significance of such controlled growth of nanowires would increase multifold if they were realized using a catalyst-free growth technique.We report on the systematically controlled growth of GaAs and InP and related III-V compound semiconductor nanowire arrays by catalyst-free selective area metalorganic vapor phase epitaxy on partially masked (111) oriented substrates. First we discuss about nanowire growth mechanism for GaAs. GaAs NWs include many twining defects, which are strongly related to the surface reconstructions. Growth modes change from initial tetrahedral growth to NW growth by introducing these defects.The length, diameter, shape and position of the nanowires were precisely controlled by optimization of the growth conditions and mask patterning. Manipulation of the growth conditions also enabled us to deliberately define the nanowire growth along either the axial or the radial direction, which has significant potential for the realization of novel nanostructures.Next, we report the fabrication of InP/InAs/InP core-multishell nanowire arrays. Low temperature photoluminescence measurements indicate successful formation of InAs quantum well tubes with thicknesses of several mono-layers on InP nanowire sidewalls. For device application, we fabricated single InGaAs nanowire-top-gate metal–semiconductor field-effect transistors (MESFETs). The top-gate MESFETs exhibited a peak transconductance of 33mS/mm and a current on–off ratio of 103. Finally, we introduce other applications of nanowires using this technique, such as, optically pumped GaAs/GaAsP core-cell nanowire lasers, fabrication of InP core-shell p-n junction nanowire solar cell, uniform GaAs and InAs nanowire array formation on Si (111) substrates, MuAs/GaInAs heterostructure nano-cluster formations on GaAs and their magnetic domain characterization.
10:00 AM - AA1.3
Optical Anisotropy of Semiconductor Nanowire Ensembles.
Silke Diedenhofen 1 , Otto L. Muskens 1 , Erik P.A.M. Bakkers 2 , Jaime Gomez Rivas 1
1 , FOM Institute for Atomic and Molecular Physics AMOLF, Eindhoven Netherlands, 2 , Philips Research Laboratories Eindhoven, Eindhoven Netherlands
Show AbstractArtificial materials fabricated from anisotropic building blocks like nanowires and nanotubes are of special interest for several applications like optical sensors, broadband antireflection coatings, and nano-photovoltaic devices. Nanowire materials hold merit due to their extreme tuneability by changing their dimensions and alignment. Samples with a high density of aligned nanowires are characterized by a strong optical form birefringence, i.e. different refractive indices for different polarizations of light. Here, we present measurements of the in-plane birefringence of GaP nanowires. The nanowires are grown in the vapor-liquid-solid growth (VLS) mode by metal-organic-vapor phase epitaxy (MOVPE) on a (100) GaP substrate. Scanning electron microscopy (SEM) images show that most of the wires are grown under an angle of 35 ° with respect to the substrate surface. Ensembles of semiconductor nanowires form a birefringent material consisting of two different in-plane refractive indices. The birefringence parameter Δn, defined as the difference between these two indices, of the nanowire layer was determined using transmission measurements. Linearly polarized light from a diode laser emitting at a wavelength of 690 nm transmitting through the nanowire layer is measured under normal incidence for crossed and parallel polarization. From these measurements, we determine the retardation as a function of the azimuthal angle of the nanowire sample. The birefringence in the nanowire layer is shown to depend on the GaP filling fraction of the wires, and accordingly to the wire diameter. Strongest birefringence was observed for a sample with a filling fraction of around 35 ± 5 % being 0.209 with an error of 10 %.1Nanowires can be grown of any semiconductor of groups IV, III/V, and II/VI on any crystalline surface, which allows the synthesis of birefringent media from visible to infrared wavelengths.1 O. L. Muskens, S. L. Diedenhofen, M. H. M. Van Weert, M. T. Borgström, E. P. A. M. Bakkers, and J. Gómez Rivas, Adv. Funct. Mater., (2008), 18, 7, 1039
10:15 AM - AA1.4
Optical Properties and Carrier Dynamics of Ensembles of InP Nanowires Grown on Non-single-crystal Platforms.
Takehiro Onishi 1 2 , Andrew Lohn 1 2 , Nobuhiko Kobayashi 1 2
1 Electrical Engineering, University of California, Santa Cruz, Santa Cruz, California, United States, 2 Advanced Studies Laboratories, NASA Ames Research Center, Moffett Field, California, United States
Show AbstractOptically active InP nanowires were grown on a quartz substrate covered with a layer (100 nm) of hydrogenated amorphous silicon (a-Si:H) by metalorganic chemical vapor deposition (MOCVD), demonstrating that single-crystal semiconductor nanowires can be formed on non-single-crystal surfaces. Scanning electron microscopy (SEM), X-ray diffraction (XRD), Raman spectroscopy, cathodeluminescence (CL) were used to characterize the structural and optical properties of the nanowires. The nanowires on a-Si:H grew in random directions with high density. The XRD profile suggests that nanowires having either hexagonal-close-packed or face-centered cubic lattices are present. Two distinct cross sectional geomotries of nanowires are observed amongst each other which is further evidence for the separate crystallographic habits found in the XRD profile. The Raman spectrum shows peaks associated with transverse optical (TO) and longitudinal optical (LO) branches of InP. The TO Raman peak closely matches that of bulk InP and the slightly larger shift in the LO mode could be caused by thermal expansion due to the green probe (514 nm) laser excitation. CL of a single InP nanowire was used to study the variations in luminescence along the long axis of the tapered nanowire from the base (~250 nm in diameter) to the tip (~10 nm in diameter). The luminescence intensity does not vary significantly in the growth direction of the nanowire. When probed at various positions along their length the wires emit from the entirety of their structure and without substantial variation along the long axis. This data suggests that recombination is slow enough to allow the carriers to diffuse the complete length of the nanowires (~2 µm) before recombining. Microscopic carrier recombination dynamics of the nanowires will be discussed with the view towards nanowire-based optical sensors using InP and other materials.[1] Kobayashi N. P., Wang S. Y., Santori C., Williams R. S., “Growth and characterization of indium phosphide single-crystal nanoneedles on microcrystalline silicon surfaces,” Applied Physics A 85 1-6 (2006).
10:30 AM - AA1.5
Electrical Characterization of Single GaSb Nanowire Field Effect Transistor.
Wei Xu 1 , Alan Chin 2 , Laura Ye 2 , Cun-Zheng Ning 1 2 , Hongbin Yu 1
1 Center for Solid State Electronics Research & Department of Electrical Engineering, Arizona State University, Tempe, Arizona, United States, 2 Center for Nanotechnology, NASA Ames Research Center, Moffett Field, California, United States
Show AbstractAmong III–V compound semiconductors, GaSb has the potential to be a promising candidate for high speed electronic and long wavelength photonic devices, due to its high mobility and low band gap.[1] In this work, we present the fabrication and electrical characterization of GaSb nanowire Field Effect Transistor (FET). The GaSb nanowires are synthesized using the self-catalyzed vapor-liquid-solid approach [2] and are unintentionally doped. Following the growth, the wires are removed from the growth substrate, suspended in alcohol, and dispersed onto SiO2 substrates for device fabrication. The Au/Cr contacts are fabricated using Electron Beam Lithography (EBL), followed by thermal evaporation and lift-off. Charge transport in unintentionally doped nanowires can have different regimes of operation, and these regions can be distinguished through voltage and temperature dependent study. The temperature dependent current-voltage characteristic shows asymmetric current through the device due to asymmetric back to back Schottky contacts at the two ends of the wire. By applying the Arrhenius plot, the Schottky barriers can be estimated to be 0.49eV and 0.53eV, which are close to reported values measured from the bulk material.[1] Due to the unintentionally doped nature and the presence of trap states of the wire, a transition from linear IV curve at small bias to the Space-Charge-Limited Current (SCLC) at higher bias was clearly observed. Analysis of the voltage and temperature dependencies of the SCLC showed that the nanowire surface traps are distributed in energy with a characteristic depth of ~0.12eV after annealing. The gate response results indicate the unintentionally doped nanowire to be n-type. This work provides a method on analyzing the nanowire Schottky current-voltage characteristics and the space-charge-limited current behavior, which can be commonly observed on characterizing the unintentionally doped nanowire devices. Further details of this approach and additional experimental and simulation results will be presented.[1]. P. S. Dutta and H. L. Bhat, J. Appl. Phys. 81 (9), 1 May 1997[2].S. Vaddiraju, M. K. Sunkara, A. H. Chin, C. Z. Ning,G. R. Dholakia, and M. Meyyappan, J. Phys. Chem C111(2007)7339
10:45 AM - AA1.6
Extracting Carrier Concentration and Mobility from Space-Charge-Limited Transport in InAs Nanowires.
Aaron Katzenmeyer 1 3 , M. Eugenia Toimil-Molares 1 , Jeffrey Cederberg 2 , Francois Leonard 1 , A. Alec Talin 1
1 , Sandia National Laboratores, Livermore, California, United States, 3 Electrical and Computer Engineering, University of California, Davis, California, United States, 2 , Sandia National Laboratores, Albuquerque, New Mexico, United States
Show AbstractSemiconductor nanowires continue to fascinate researchers, who are often motivated by the combination of high crystalline quality and nanoscale dimensions not easily accessible by ‘top-down’ lithographic means. In order for these nanostructures to have technological impact, their basic electrical characteristics have to be measured accurately and reproducibly; however, bulk techniques such as Hall measurements, cannot be easily implemented with nanowires due to small dimensions. Frequently, carrier type, concentration and mobility are determined from the transfer characteristics of a nanowire FET device. The results, however, can be strongly affected by the nanowire and the dielectric/nanowire surface and interface states, respectively. Here, we report on electrical characterization of VLS grown InAs nanowires using two distinct methods and compare their results. In the first approach, we fabricate nanowire FETs using Si/SiO
2 substrates and Au/Ti source-drain contacts using standard processing techniques. In the second approach we contact individual nanowires directly on the growth substrate using a W microprobe inside of a SEM. The transport for these in-situ contacted nanowires is initially ohmic, but becomes space-charge-limited at higher bias. Using a recently developed theory for space-charge-limited transport in nanowires,
1 we extract the mobility and carrier concentration. Both methods indicate that the carrier concentration increases with decreasing nanowire diameter, while the mobility is reduced. Effective carrier concentration ranged from 5 x 10
16 – 10
18 cm
-3 and mobility from 2400 – 3 cm
2/Vs for wires of radius 110 – 20 nm respectively.
1. A. A. Talin, F. Leonard, B. S. Swartzentruber, X.Wang, S. D. Hersee, Phys. Rev. Lett., 101, 076802 (2008)
11:30 AM - AA1.7
High-Speed and Low-Power Performance of n-type InSb/InP and InAs/InP Core/Shell Nanowire Field Effect Transistors for CMOS Logic Applications.
Mohammad Khayer 1 , Roger Lake 1
1 Electrical Engineering, University of California Riverside, Riverside, California, United States
Show AbstractInSb and InAs are being considered as attractive candidates for the channel of next generation field effect transistors (FETs). Intel and Qinetiq report that InSb-based FETs can achieve equivalent high performance with lower dynamic power dissipation to complement scaled Si-based devices (Intel and Qinetiq, IEEE IEDM, 2005). Although there are several reports in literature on the experimental realizations of these nanowire (NW) FETs, there are few attempts to theoretically model them. In this work, we model and theoretically investigate the performance metrics of highly scaled n-type InSb/InP and InAs/InP core/shell NWFETs using an 8-band k●p model and a semiclassical ballistic transport model. We present the ON-current, intrinsic cut-off frequency, gate-delay time, power-delay product, and energy-delay product of NWFETs with two NW diameters of 10 nm and 12 nm, which operate in the quantum capacitance limit. For all devices, drain bias voltage is fixed at 0.5 V and the gate bias voltage has been taken where the flat-band condition is met. A gate length of 10 nm is considered for each NWFET. The NWs simulated in this work are all [001] oriented. We find that the power-delay product values of 2x10-20-4x10-20 J of the NWFETs at source Fermi energy of 0.1 eV are all closely matched to the reported value of 5x10-20 J for a 3 nm NW diameter Si NWFET with a 10 nm gate length (J. Knoch, et al., IEEE EDL, 2008). The corresponding gate-delay times of 3-5 fs are also closely matched to the reported value of 5 fs for the 3 nm NW diameter Si NWFET with a 10 nm gate length. However, the ultra-small diameters of 3 nm necessary for Si NWFETs to obtain the reduced power-delay product and gate-delay time are not required for InSb and InAs NWFETs. Moreover, the energy-delay product values of 4x10-33-6x10-33 Js for these NWFETs with a source Fermi energy of 0.2 eV are found to be closely matched to the projected experimental curve for III-V planar n-channel HEMTs (R. Chau, et al., IEEE TNT, 2005) with 10 nm channel width. The energy-delay product values of 1x10-32-2x10-32 Js with 12 nm diameter InSb and InAs NWFETs with a source Fermi level of 0.3 eV are found to be 10 times smaller than the projected experimental curve for planar n-channel Si MOSFETs (R. Chau, et al., IEEE TNT, 2005). The ON-current varies from 7-58 μA with a source Fermi energy range of 0.1-0.3 eV. With higher Fermi energy, multiple conduction modes are occupied and larger ON-current is obtained. The intrinsic cut-off frequency ranges from 8-15 THz with source Fermi energy ranging from 0.1-0.3 eV, which is good for RF application. For all devices, with a source Fermi energy range of 0.1-0.3 eV, the power-delay product varies from 2x10-20-97x10-20 J, gate delay time ranges from 2-19 fs, and the energy-delay product varies from 7x10-35-1x10-32 Js. These NWFETs provide both ultra low-power switching and high speed.
11:45 AM - AA1.8
Growth and Optical Properties of GaN Nanodisks in GaN/AlGaN Nanowires.
Florian Furtmayr 1 , Christoph Stark 1 , Martin Stutzmann 1 , Sonia Conesa-Boj 2 , Francesca Peio 2 , Jordi Arbiol 2 , Joan Ramon Morante 2 , Martin Eickhoff 3
1 Walter-Schottky-Institut, Technische Universität München, Garching Germany, 2 EME/XaRMAE/IN2UB, Dept. d'Electrònica, Universitat de Barcelona, Barcelona Spain, 3 I. Physikalisches Institut, Justus-Liebig-Universität, Giessen Germany
Show AbstractWe report on the growth of self assembled GaN/AlGaN and GaN/AlN nanowires with embedded GaN nanodisks by plasma assisted molecular beam epitaxy (PAMBE) on Si(111) substrates. Due to their low density of structural defects, they present a promising approach for the realization of improved optoelectronic devices for light emission or for chemical sensors using the high surface to volume ratio of nanowires.For the formation of nanodisks (NDs), GaN nanowires (NWs) with a length of 400 nm and diameter of 25 nm were grown, directly followed by the quantum well structure. In the investigated samples, GaN multi quantum wells with different thicknesses (1.5 nm – 4 nm) were formed between barriers of 8 nm AlN or AlxGax-1N in different Al-compositions. The samples were analyzed by high resolution transmission electron microscopy (HRTEM) and low temperature photoluminescence (PL).The PL emission energy from the ND part can be influenced by variation of the Al-content in the barrier, i.e. the Al flux during growth. In this work an increase of the beam equivalent pressure (BEPAl) from 1.5 x 10-8 mbar to 6 x 10-8 mbar results in an increase of the emission energy from 3.53 eV to 3.70 eV. Its intensity exceeds the emission from the GaN NW itself (3.40 eV – 3.47 eV) by about a factor of ten. The full width at half maximum is as low as 21 meV (at 4K) for the sample with the smallest Al-concentration and rises with increasing Al-concentration up to 77 meV. Due to the presence of polarization fields, the emission shows a red shift with increasing well-thickness. The temperature-dependent quenching of the QD emission is found to be less pronounced than that of the GaN NWs.HRTEM analysis of the GaN/AlN nanowires reveals well defined flat GaN disks with sharp interfaces embedded in AlN and shows a slight reduction of the ND diameter towards the top. The c lattice parameter (which is 0.518 nm for unstrained GaN) is found to be 0.500 nm for the GaN NDs and 0.495 nm for the AlN barriers, showing that GaN is under tensile strain inside the NDs and pseudomorphically adapted to the AlN barriers. Whereas the radial growth rate of the GaN part is almost zero, we find a radial growth of the AlN region with a rate of 11% of the axial growth, leading to the formation of an AlN shell around the whole NW and, due to the enlarged NW diameter, to an increase of the diameters of the following NDs. However, the thicknesses of the individual wells are constant.As a radial growth of GaN depends on the incoming Ga-flux, these results open up the possibility to form core shell structures with quantum wells on the non polar side facets of the nanowires.
12:00 PM - AA1.9
Spatially-Resolved Cathodoluminescence Study of GaN, GaN/AlGaN, and GaN/InGaN Core-Shell Nanowires
George Wang 1 , Qiming Li 1 , A. Alec Talin 2 , Andrew Armstrong 1 , M. Eugenia Toimil Molares 2
1 , Sandia National Laboratories, Albuquerque, New Mexico, United States, 2 , Sandia National Laboratories, Livermore, California, United States
Show AbstractGaN, GaN/AlGaN, and GaN/InGaN core-shell nanowires grown by Ni-catalyzed metal-organic chemical vapor deposition were studied by spatially-resolved cathodoluminescence (CL). For GaN nanowires, band-to-band luminescence at 362 nm and defect-related yellow luminescence at 550 nm are observed. Point defects, which lead to the yellow luminescence, possibly deplete free carriers near the nanowire surface. This depletion is evidenced by the existence of a critical GaN nanowire radius, below which yellow luminescence dominates. The thickness of the surface depletion layer is estimated to be ~15 nm based on an analysis of the 362 and 550 nm luminescence intensities as a function of nanowire diameter. GaN/AlGaN and GaN/AlN core-shell nanowires are observed to exhibit stronger band-to-band emission at 362 nm as compared with GaN nanowire without an AlGaN shell. The enhanced band-to-band emission is attributed to the passivation of the surface states of GaN nanowires. Electrical measurements further suggest an improvement in the conductivity related to the presence of an AlGaN or AlN shell layer. GaN/InGaN multi-quantum well core/shell nanowires were also investigated by spatially resolved CL, the results of which reveal a strong dependence of shell layer growth rate on the GaN nanowire facet orientation. The morphology revealed by the spatially resolved CL results is confirmed by cross-sectional scanning TEM studies. Sandia is a multiprogram laboratory operated by Sandia Corporation, a Lockheed Martin Company, for the United States Department of Energy under contract DE-AC04-94AL85000.
12:15 PM - AA1.10
Modeling and Design of Dislocation-Free Nanostructured InGaN-Based Light Emitting Devices
Zhiwen Liang 1 3 , Robert Colby 1 3 , Dmitri Zakharov 1 3 , Isaac Wildeson 2 3 , R. Edwin Garcia 1 3 , Eric Stach 1 3 , Tim Sands 1 2 3
1 Materials Engineering, Purdue University, West Lafayette, Indiana, United States, 3 Birck Center for Nanotechnology, Purdue University, West Lafayette, Indiana, United States, 2 School of Electrical and Computer Engineering, Purdue University, West Lafayette, Indiana, United States
Show AbstractThe production of InGaN-based Light Emitting Diodes (LEDs) of low dimensionality constitutes a radical departure from conventional thin-film LED fabrication approaches, for it has the potential of delivering dramatically improved efficiencies. Through the careful incorporation of indium into a gallium nitride nano-pyramidal structure base, the band gap (the color) of the device can be engineered, and thus light emission over the entire visible spectrum can become possible. The main advantage of this approach is the suppression of dislocation formation, particularly in the region where electron-hole pair recombination takes place. The aforementioned processing technique favors the introduction of a significantly large fraction of surfaces that greatly relaxes the processing stresses on the LED structure and diminishes the possibility of nucleating defects. Kinetic and thermodynamic factors such as spinodal decomposition of the InGaN system limits indium incorporation, which combined with the underlying thermal and piezoelectric stresses can lead to a potential decrease in the quantum efficiency of the overall structure. In the present paper, by carefully exploring the effect of topology and thermal annealing, the nucleation of dislocations in GaN-based nanostructures is investigated to provide conditions that favor the emission of light at a tailored frequency. A computational analysis based on the finite volume method is used to implement a phase field description that couples the effect of strain and spinodal decomposition as the pyramids attempt to relax. Simulations show preferential segregation of InN in those regions that are stress-free. The effect of annealing temperature is summarized in time-temperature transformation (TTT) diagrams. Comparisons against bulk, thin film behavior, and experimental data are made. Improved designs that will lead to an optimal InN distribution are proposed. This material is based on work supported by the Department of Energy under Award No. DE-FC26-06NT42862
12:30 PM - AA1.11
Deep Level Optical Spectroscopy of GaN Nanowires.
Andrew Armstrong 1 , Qiming Li 1 , A. Alec Talin 2 , George Wang 1
1 , Sandia National Laboratories, Albuquerque, New Mexico, United States, 2 , Sandia National Laboratories, Livermore, California, United States
Show AbstractGaN nanowires (NWs) are attractive elements for nanoscale electronic and optoelectronic application, but currently little is known about the physical origin of defects in these nanomaterials. Here, we have used photoconductivity deep level optical spectroscopy (DLOS) to measure the optical ionization energy (Eo) and Franck-Condon shift (dFC) of band gap states in vapor-liquid-solid grown GaN NWs. Unintentionally doped, n-type NWs were individually contacted for DLOS analysis at 300K, and photoluminescence (PL) spectra of single NWs were also taken. DLOS revealed a minority carrier deep level near Ec - 0.8 eV (where Ec is the conduction band minimum) that is near a surface state predicted to pin the Fermi level for n-type, c-plane GaN surfaces [1]. DLOS spectra of GaN and AlGaN/GaN core-shell NWs will be compared to investigate the possible surface nature of this defect. In addition, a band gap state at Ec – 2.81 eV (dFC = 0.28 eV) was observed via DLOS, and a broad defect band centered at 2.25 eV was detected by PL. The associated defects are not particular to the surface based on their similarity to previous reports for thin film GaN. Further, their strong degree of phonon-coupling suggests that the Ec - 2.81 eV level and the 2.25 eV PL band stem from the same defect, which is likely related to either carbon impurities [2] or gallium vacancies [3].1 Van de Walle et al., J. Appl. Phys. 101 081704 (2008).2 Klein et al., Appl. Phys. Lett. 79, 3527 (2001).3 Reshchikov et al., MRS Symp. Proc. 93, I6.19 (2002).
12:45 PM - AA1.12
Controlled Growth and Characterization of Non-tapered InN Nanowires on Si(111) Substrates by Molecular Beam Epitaxy.
Yi-Lu Chang 1 , Arya Fatehi 1 , Zetian Mi 1
1 , McGill University, Montreal, Quebec, Canada
Show AbstractInN nanowires self-catalytically grown on Si substrates, with emission wavelengths at ~ 1.6 µm, promise a new generation of on-chip nanoscale lasers, in addition to their growing importance for the development of future nanoscale electronic and biosensing devices. However, there still lacks a fundamental understanding of the growth mechanisms of InN nanowires on Si. The resulting nanowires generally exhibit tapered morphology, leading to uncontrolled electrical and optical properties. In this context, we have performed a detailed investigation of the molecular beam epitaxial (MBE) growth and characteristics of InN nanowires directly on Si(111) substrates. With the use of an in situ deposited indium seeding layer, we have achieved superior quality InN nanowires with completely eliminated tapered morphology, which exhibit an extremely narrow photoluminescence linewidth of ~ 25 meV at room temperature. The wire densities can also be controllably varied from ~ 5/µm2 to ~ 500/µm2. InN nanowires are grown on Si(111) substrates using radio-frequency plasma-assisted MBE in the temperature range of 440 – 520 °C under N-rich conditions. The indium flux was varied in the range of 0.3 – 1.0 ×107 Torr. A nitrogen flux of 1.6 sccm and a plasma forward power of 425 W were used. A thin (~ 1 – 6 monolayer) indium layer is first deposited on Si substrates, which serves as seeds for the nucleation of InN nanowires. Such an indium layer also minimizes the formation of a SiNx interface between the Si and InN nanowires. InN nanowires are characterized by field emission scanning electron microscopy, transmission electron microscopy, and photoluminescence measurements. The wires are of wurtzite structure and well separated, with the c-axis oriented vertically to the Si(111) substrate. Compared to the commonly observed tapered morphology of InN nanowires on Si, such nanowires are straight, with identical top and bottom sizes. The InN/Si interface also contains very low defect densities and few stacking faults. By varying the thickness of the indium seeding layer as well as the growth conditions, InN nanowires with diameters in the range of 20 – 200 nm, heights from ~ 0.5 to 2 µm, and areal densities from ~ 5/µm2 to ~ 500 µm2, can be achieved. Additionally, such InN nanowires exhibit strong photoluminescence emission, with a peak wavelength at ~ 0.75 eV. An extremely narrow linewidth of ~ 25 meV is also measured from an InN nanowire ensemble at room temperature, compared to the commonly observed 50 – 100 meV, which further confirms the extremely high quality and significantly reduced tapering and broadening. The temperature-dependent bandgap, electron concentration, and transport properties of such high quality, nontapered InN nanowires on Si are being investigated. These results, together with the achievement of InN/InGaN core-shell and well-in-a-wire nanoscale heterostructures, will be presented.
Symposium Organizers
Paul C. McIntyre Stanford University
Joan M. Redwing The Pennsylvania State University
Volker Schmidt Max-Planck-Institut für Mikrostrukturphysik
Silvija Gradecak Massachusetts Institute of Technology
AA6: Poster Session: Semiconductor Nanowires II
Session Chairs
Wednesday PM, April 15, 2009
Salon Level (Marriott)
9:00 PM - AA6.1
Reduced Thermal Conductivity in Large-area Vertically-aligned Silicon Nanowires.
Ting-Kang Chen 1 , Min-An Tsai 1 , Peichen Yu 1
1 Photonics, National Chiao-Tung University, Hsinchu Taiwan
Show Abstract Since silicon is widely used in the integrated circuit (IC) industry, the ability to tailor the thermoelectric properties of bulk silicon using its nanostructures can enable a variety of exciting applications, such as efficient thermo-photovoltaic devices, and monolithically- integrated electronic and optoelectronic device cooling. In late 2007, scientists have reported that a single silicon nanowire (Si NW) with a diameter less than 52nm exhibits a low thermal conductivity ~1.6 W/mk and ZT ~1 at room temperature, suggesting the potential of using Si NWs for efficient thermoelectric energy conversion. In order to realize a practical thermoelectric device based on Si NWs, it is essential to fabricate large-area and highly-oriented Si NW arrays on silicon substrates. In this paper, we demonstrate the preparation of vertically-aligned Si NWs with diameters of tens of nanometers, heights ranging from tens of micrometers to over 100 μm, and most importantly, an area over 5x5 cm^2. Characterizations using a hot-disk slab-module system show the thermal conductivity reduced by 13.4% for the fabricated Si NW samples, compared to that of bulk silicon. Two-dimensional microscale heat-transfer analyses of Si NWs based on the equation of phonon radiative transfer are in progress and will be presented. The high-aspect-ratio and vertically-aligned Si NW arrays were fabricated using a silver-induced wet deposition and wet chemical etching method. The surface morphology and the etching length depend on the concentration of both AgNO3 and HF solution, the etching temperature, and etching time. The concentration of AgNO3 affects the structure of the nanowire arrays because the porosity is determined by the density of deposited Ag particles. A Hot Disk 2500 slab-module system was then employed to measure the thermal conductivity of the fabricated NWs. During the measurement, the sensor was sandwiched between two samples, while the other side of samples was insulated by a material with a low thermal conductivity in order to reduce the heat losses to the surroundings. We measured the increment in temperature versus time for two uniformly-distributed Si NW samples with heights of 32 μm and 43 μm fabricated on 650-μm-thick Si substrates, while two pieces of bare 650-μm-thick Si substrates were used for control experiments. Preliminary characterizations show that the Si NW samples exhibit a reduced thermal conductivity of 116 W/mK, compared to that of bulk Si, ~134 W/mK. Moreover, the thermal conductivities and thermal diffusivities obtained from Si NW samples were lower than bare Si substrates for all nine individual experiments. In summary, we have successfully fabricated large-area, high-aspect-ratio Si NW arrays, and measured their thermal properties using a Hot Disk system. The Si NW samples exhibit a reduced thermal conductivity, compared to that of bulk Si, showing great potential for next-generation thermoelectric devices.
9:00 PM - AA6.10
Enhancement-Mode Si Nanowire Field Effect Transistors on a Flexible Plastic Substrate
Eun-Ae Chung 1 2 , Jamin Koo 1 , Myeongwon Lee 1 , Dong-Young Jeong 1 , Sangsig Kim 1
1 Department of Electrical Engineering and Institute for Nano Science, Korea university, Seoul Korea (the Republic of), 2 Process Development Team, Memory R&D Center, Samsung Electronics Co., Ltd, Hwasung, Gyeonggi-Do, Korea (the Republic of)
Show AbstractSilicon (Si) nanowire field-effect transistors (NWFETs) with channels of the n+-p-n+ segments of single-crystalline Si nanowires (NWs) were fabricated on a flexible plastic substrate, and their electrical characteristics were investigated. P-type Si NWs utilized in this study were manufactured by the conventional top-down approach, and arsenic implantation was performed subsequently for the formation of the n-type region in these p-type Si NWs. The implanted NWs were transferred onto a plastic substrate for large scale integration. Si NWFETs fabricated by this approach exhibited outstanding controllability and reproducibility of doping and reliable ohmic contacts.The Si NWFETs formed on a plastic substrate revealed their excellent enhancement-mode characteristics and high on/off current ratios. Strong inversion and clear saturation were observed in the NWFETs. These characteristics of geometrically well-defined and doped Si NWs make it possible to manufacture integrated nanoscale electronics in mass production at low cost without any additional alignment processes of NWs.
9:00 PM - AA6.12
Control of Growth Mechanisms and Orientation in Epitaxial Si Nanowires Grown by Electron Beam Evaporation.
Alessia Irrera 1 , Emanuele Pecora 1 2 , Francesco Priolo 1 2
1 , MATIS CNR-INFM, Catania Italy, 2 , Physics and Astronomy Department , University of Catania, Catania Italy
Show AbstractThe growth mechanisms of epitaxial Si nanowires (NWs) grown by electron beam evaporation (EBE) and catalyzed through gold droplets are identified. Electron beam evaporation (EBE) is a quite important physical method, as opposed to CVD, much less expensive than MBE, well diffused, and, being a non-UHV technique, with a much higher throughput, which makes it interesting for industrial applications. NWs are seen to grow both from adsorbed Si atoms diffusing from the substrate and forming a dip around them, and from directly impinging atoms. The growth of a 2D planar layer competing with the axial growth of the NWs is also observed and the experimental parameters determining which of the two processes prevails are identified. NWs with (111), (100) and (110) orientation have been found and the growth rate is observed to have a strong orientation dependence suggesting a microscopic growth mechanism based on the atomic ordering along (110) ledges onto (111)-oriented terraces. By properly changing the range of experimental conditions we demonstrate how it is possible to favor the axial growth of the NWs, define their length in a wide range between 100 nm and 1400 nm. Finally we demonstrate how to control the crystallographic orientation ((111), (100) or (110)) of the NWs by properly varying the deposition conditions. In particular we show how the (111)-oriented NWs can be transformed from being the 90% of the total population to being only the 20%.
9:00 PM - AA6.13
HWCVD-grown Silicon Nanocrystals : A Study of the Effect of Annealing on Structures Evolved with Varying Growth Rates.
Prantik Mahajan 1 , Tarkeshwar Patil 1 , Subhananda Chakrabarti 1
1 Centre for Nanoelectronics, Dept. of Electrical Engineering, Indian Institute of Technology, Bombay, Powai, Mumbai - 400076, Maharashtra, India
Show AbstractIn the past few decades, silicon nanocrystals fabricated using different processes such as PECVD, LPCVD and Laser Ablation have received considerable attention mainly because of their potential application in devices such as semiconductor memories, photodetectors, solar cells, far infrared sensors and Thin Film Transistors (TFTs). But no effort has been made to tailor the size and shape of the nanocrystals which may ultimately be useful in achieving tunable devices. Hence, the novel approach of growing silicon nanocrystals by depositing thin films at low temperature using Hot Wire Chemical Vapor Deposition (HWCVD) process has been studied. The primary advantage of this HWCVD technique is that it allows deposition at low temperatures, which on one hand is economical and on the other ensures a controlled growth. In HWCVD, the deposition rate can be regulated by controlling the hot wire filament power as well as the gas flow rate. We tried to investigate the effect of variation in deposition rate of amorphous silicon and subsequent annealing in formation of silicon nanocrystals using HWCVD technique. HWCVD was used to deposit a Si3N4/nc-Si/Si3N4/nc-Si structure on n-type (100) Silicon at low temperature (i.e., at 350°C). Our aim was to study the variation in evolution of the nanocrystals, if any, with varying growth rates. In our experiments, we varied the deposition rate of amorphous silicon by cracking Silane for different times as well as varying the gas flow rate, keeping the thickness of the amorphous silicon layer constant. The samples were then annealed at temperatures of 800°C and 900°C respectively in a quartz tube furnace in Ar ambient for 30 mins. AFM studies revealed a tendency of formation of silicon nanocrystals after annealing of the as-deposited samples, particularly in those with higher deposition rates. RMS surface roughness was found to increase with increasing annealing temperature (ranging from 0.316 nm in the as-deposited one to 0.617 nm in its annealed version at 900°C for the sample with the highest deposition rate i.e. 1.5 Å/sec). The vertical and horizontal dimensions of the nanostructures range from 0.366 nm to 4.382 nm and 7.813 nm to 204.832 nm respectively, across the different samples. With progressive annealing the nature of the nanocrystals changed from wires to clustered dots which were nearly spherical in shape, an interesting feature on which no reports have been made as yet. The sample was also characterized by Confocal Micro Raman and XRD techniques. The FWHM from the Micro Raman data at room temperature and at liquid nitrogen temperature (77K) were found to be 2.4 cm-1 and 3.4 cm-1 respectively (which are the lowest reported FWHMs till date), with the amorphous silicon peak at 520 cm-1. XRD analysis also corroborates our findings and testifies to the presence of silicon nanocrystals. The above results will be presented and discussed.
9:00 PM - AA6.15
Synthesis and Magnetic Properties of (Fe, Co):Si Nanowires.
Han-Kyu Seong 1 , Tae-Eon Park 1 , Myoung-Ha Kim 1 , Il-Soo Kim 1 , So-Jing Shim 1 , Heon-Jin Choi 1
1 Department of Materials Science and Engineering, Yonsei University , Seoul Korea (the Republic of)
Show AbstractSpintronic devices, which simultaneously manipulating both charge and spin in a single semiconductor medium, are one of the possible candidates for substituting current silicon-based complementary metal-oxide-semiconductor (CMOS) devices. Among these, Si-based spintronics is much less developed compared with those based on the diluted magnetic semiconductor (DMS) in III-V group, due to limitation in Si-based magnetic materials. In the present work, we studied the synthesis and magnetic properties of transition metal (Fe, Co):Si nanowires. We fabricated single crystalline Si nanowires on Si substrate in a chemical vapor transport system. After treated in BOE to remove the native oxide layer of the as-grown Si nanowires, the substrate and metal sources (FeCl3 and/or CoCl2) were placed in a reactor, respectively. The transition metal doped M1-xSix (M : Fe or Co, x = 0 ~ 4) nanwoires were synthesized by transporting metal sources onto the as-grown substrate under pressure of 200 torr at 600 ~ 800 0C. The diameter and length of these nanowires were from 50 nm to 150 nm and tens of micrometers, respectively, while holding the single crystallinity. We also synthesized single crystalline MSi silicide nanwoires and FeSi/Si longitudinal heterostructure nanowires by controlling the processing conditions. Magnetic characterization of the nanowires using a superconducting quantum interference device (SQUID) and x-ray magnetic circular dichroisn (XMCD) showed that transition metal have local magnetic moments.
9:00 PM - AA6.16
Non-catalytic CVD Growth of Single-crystal Germanium Nanowires.
Byung-Sung Kim 1 , Jong Woon Lee 1 , Tae Woong Koo 2 , Jae Hyun Lee 1 , Jae Hyun Ahn 3 , Young Chai Jung 3 , Sung Woo Hwang 3 , Dongmok Whang 1 2
1 SKKU Advanced Institute of Nanotechnology (SAINT), Sungkyunkwan University, Suwon Korea (the Republic of), 2 School of Advanced Materials Science and Engineering , Sungkyunkwan University, Suwon Korea (the Republic of), 3 Research Center for Time Domain Nano-functional Devices (TiNa) & School of Electrical Engineering, Korea University, Seoul Korea (the Republic of)
Show AbstractGermanium nanowires (GeNWs) are promising building blocks for high-speed switching devices and optoelectronic applications. Additionally, the excitonic Bohr radius of bulk (24.3 nm) Ge is significantly larger than that of Si (4.9 nm), hence resulting in more prominent quantum size effects. GeNWs have been usually synthesized by metal-catalyzed growth methods based on the vapor-liquid-solid (VLS) mechanism. However, a metal-free approach for controlled nanowire growth is highly desired since unavoidable metal impurities in metal-catalyzed nanowires may be detrimental to their commercial devices. Here, we report non-catalytic growth of metal-free single crystalline GeNWs by low-pressure chemical vapor deposition (LPCVD) process. In addition, carrier doping of the GeNWs was also performed using dopant gas during CVD growth and field effect behavior of the metal-free GeNWs with different doping levels was also investigated.
9:00 PM - AA6.17
Synthesis and Characteristic of Si Nanowires with sub < 5 nm
Myoung-Ha Kim 1 , Ungkil Kim 1 , Il-Soo Kim 1 , So-Jung Shim 1 , Han-Kyu Seong 1 , Heon-Jin Choi 1
1 Materials Science and Engineering, Yonsei university, Seoul Korea (the Republic of)
Show AbstractThe downscaling of electronic devices is critical issue in modern information industries. In this regard, semiconductor nanowires have drawn considerable attention as the building blocks for electronic devices on a nanometer scale with superior performance. Especially, Si nanowires are attractive due to their compatibility with CMOS semiconductor technology. Meanwhile, quantum sized nanowires (i.e., the nanowires having comparable size to their Bohr exciton radius) are attractive since they may have novel physical and chemical properties. In this work, we synthesized the quantum-sized Si nanowires by vapor-liquid-solid (VLS) growth process using Al and Ti as a catalyst. The average diameter of Si nanowires is 5 nm with narrow size distribution. Transmission electron microscopy analysis indicated that the Si nanowires are single crystalline with growth direction of [311] while that of Si nanowires having the diameter > 100 nm was [111] or [100]. The surface of nanowires was flat with the thickness of native oxide layer of under 1 nm. The optical and electrochemical properties of Si nanowires were investigated and the results will be discussed toward development of novel Si based nano devices.
9:00 PM - AA6.18
Controlled diameter of Silicon Nanowires Using CVD
Jun-Hyoung Chang 1 , Woo-Jin Lee 1 , Suk-In Hong 1
1 Chemical and Biological Engineering, Korea Univ., Seoul Korea (the Republic of)
Show AbstractSilicon nanowires were grown on the gold deposited silicon wafer by thermal evaporation of SiO + BO powders at 1300 celsius degrees. The changes of pressure in alumina tube has influence upon diameter of silicon nanowires which were synthesized. it were linear dependence between the diameter of nanowire which were synthesized on the substrates and changes of pressure.for 15min under flowing gas mixture of 5% H2-Ar The SiO + BO powders and were placed inside of alumina tube, which were heated by the tube furnace. Prior to observe relationship between the growth of silicon nanowires and process pressure at changes in vacuum pressure, grown silicon nanowires at different vacuum pressure for 300, 450, 600, 750, 900 mTorr and 1atm. The changes of pressure in alumina tube has influence upon diameter of silicon nanowires which were synthesized on alumina plate and gold deposited Si wafer. it were linear dependence between the diameter of nanowire which were synthesized on the both substrates and changes of pressure.
9:00 PM - AA6.19
Time and Temperature Dependence of the Growth of Ge Nanowires.
Joon-Shik Park 1 2 , Duck-Jin Kim 3 , James Groves 2 , Nae-Eung Lee 3 , Woo-Kyeong Seong 1 , Hyo-Derk Park 1 , Bruce Clemens 2
1 , Korea Electronics Technology Institute, Seongnam Korea (the Republic of), 2 Dept. Materials Science and Engineering, Stanford University, Stanford, California, United States, 3 , Sungkyunkwan University, Suwon Korea (the Republic of)
Show AbstractDespite significant recent activity, there remains a need for clarification of interplay between various growth parameters resulting in favorable Ge nanowire growth conditions. In particular, the growth rate as a function of time and temperature has not been widely explored and, unlike the case for Si nanowire growth, the growth activation energy has not been reported. To address this need, Ge nanowires were grown at different temperatures and times to illuminate the growth rate behavior. We use scanning electron microscopy (SEM) and x-ray diffraction to examine the resulting nanowires. Nanowires were grown in a pressure of 30 Torr of a mixture of 10.4 % GeH4 in Ar, at temperatures ranging from 250 °C to 300 °C. A 3 nm thick Au film catalyst deposited on a (111) p-type Si wafer was used as a growth substrate. For all temperatures, the growth rate was observed to decrease with time, with the largest growth rate decrease occurring for the highest growth temperatures. Over the temperature range studied, the growth rate increases with temperature, with a maximum growth rate of over 35 nm/s observed for short times (~ 2 minutes) at 300 °C. The activation energy extracted from these measurements is compared to the gas decomposition and growth processes to illuminate the growth-limiting step. From these results, we find that copious quantities of Ge nanowires can be reproducibly produced at growth times of the order of 2 to 20 minutes at growth temperatures of 280 °C to 300 °C. These process conditions for growth of Ge NWs could be used for fabrications of boron doped Ge nanowires and Ge/Si hetero-structure nanowires for nanowire field effect transistors applications. *Corresponding authors:
[email protected] and
[email protected]< Acknowledgements >This work was supported by “International Semiconductor Collaboration Research” of "System IC 2010" project of Korea Ministry of Knowledge Economy. The authors thank government for financial supports. Also, we thank Linyou Cao of Prof. Brongersma Group of Stanford University for helpful discussion about nanowire synthesis.
9:00 PM - AA6.2
Fabrication of Highly-Textured Polycrystalline Silicon TFTs Using Single-Crystalline Si Nanowire Seed Templates
Donghun Lee 1 , Hyun-Seung Lee 1 , Gil-Sung Kim 1 , Geunhee Lee 1 , Moon-Ho Jo 1
1 Materials Science and Engineering, Pohang University of Science and Technology (POSTECH), Pohang Korea (the Republic of)
Show AbstractCrystallization of amorphous Si thin films at low temperature is important due to their applications into Si solar cells and Si thin-film transistors. Among a number of growth processes to achieve large-grained polycrystalline Si thin films, crystallization of amorphous Si using the local nucleation seeds is of particular interest, because it can offer advantageous solid-phase crystallization at the controlled sites at low temperatures. In this study, we report solid-phase epitaxial growth of amorphous Si thin films using single-crystalline Si nanowires as seed templates. We have synthesized the arrays of vertically-aligned single-crystalline Si nanowires using Au-catalyst assisted chemical vapor deposition, followed by amorphous Si thin film deposition on the synthesized nanowire templates. Upon thermal annealing processes, we observed that amorphous Si thin films crystallize into highly textured polycrystalline Si thin films in excellent epitaxial relations with single-crystalline Si nanowires in a layer-by-layer fashion. We also discuss the electrical characteristics observed from Si thin-film transistors based on these highly-textured polycrystalline Si channels.
9:00 PM - AA6.20
Synthesis and Characterization of SnO2 Nanowires by Thermal Evaporation
Won-Sik Kim 1 2 , Daihong Kim 1 2 , Myung Yang 1 2 , Seong-Hyeon Hong 1 2
1 Department of Materials Science and Engineering, Seoul National University, Seoul Korea (the Republic of), 2 Nano Systems Institute- National Core Research Center, Seoul National University, Seoul Korea (the Republic of)
Show AbstractSemiconductor-type metal oxide nanowire sensors are the most promising devices among the solid state chemical sensors, because they have many advantages such as a large surface to volume ratio, a Debye length comparable to the nanowires radius, and low power consumption. Therefore, the synthesis of one-dimensional nanostructures has been widely explored in the semiconductor-type metal oxide systems such as SnO2, ZnO, In2O3, Ga2O3, etc. Among those materials, SnO2 nanowires as a wide band gap semiconductor (3.6 eV at 300 K) have been extensively studied because of its high gas sensitivity.Among the various nanostructures, a vertical and epitaxial nanowire growth has been studied in the systems such as Ge on Si, In2O3 on YSZ, ZnO on GaN or sapphire. However, a vertical and epitaxial grown SnO2 nanowire is very limited. In case of ZnO nanowires, the growth direction was [001] in most of cases, but S. Bubak et al. recently reported that SnO2 nanowires showed the different morphologies depending on the substrate and substrate orientations.In this study, SnO2 nanowires were synthesized using a thermal evaporation method and the various oriented TiO2 single crystal were used as the substrate because they have the same crystal structure with SnO2. In the thermal evaporation process, a high process temperature (>1123 K) generated too high Sn vapor and resulted in a randomly grown nanowires. Furthermore, it is difficult to control the growth rate. Thus, we decreased process temperature to 1073 K and adjusted the other factors (gas flow and pressure) to provide an appropriate quantity of Sn vapor. The phase and morphology of the obtained SnO2 nanowires were characterized by using XRD and FE-SEM. Moreover, a pole figure analysis was performed to confirm the in-plane relationship, and growth direction of nanowire was investigated by HR-TEM. The synthesized nanowires showed different orientations depending on the substrate orientations. A jungle gym like-structure was observed on the (001) and (110) TiO2 substrate. On the other hand, SnO2 nanowires were vertically grown on the (101) TiO2 substrate. XRD (θ-2θ) analysis showed that SnO2 nanowire on (101) TiO2 substrate has (101) preferred orientation (out-of-plane). The in-plane orientation between SnO2 nanowire and (101) TiO2 was also well matched. The detailed microstructure and growth mechanism will be discussed in this presentation.
9:00 PM - AA6.21
Seeded ZnO Nanostructures Epitaxially Grown on Si (100) Substrates by Chemical Vapor Deposition.
Zhuo Chen 1 , Tom Salagaj 2 , Christopher Jensen 2 , Karlheinz Strobl 2 , Mim Nakarmi 1 , Kai Shum 1
1 Physics, Brooklyn College - CUNY, Brooklyn, New York, United States, 2 , First Nano, a Division of CVD Equipment Corp., Ronkonkoma, New York, United States
Show AbstractVarious ZnO nanostructures such as nanowire-networks and vertical nanorods were epitaxially grown on pre-seeded Si (100) substrates by Chemical Vapor Deposition (CVD) method with a mixed ZnO-powder/C-powder solid source. Crystalline ZnO seeds were prepared and controlled by the rapid thermal annealing (RTA) treatment of e-beam deposited amorphous ZnO thin films with various thicknesses. Both epitaxial film and pre-deposited ZnO seeds were characterized by Atomic Force Microscopy (AFM), Scanning Electron Microscopy (SEM), and photoluminescence (PL) spectroscopy. Excellent optical characteristics of these nanostructures such as PL line width, linearity of PL intensity as a function of excitation power density were obtained.
9:00 PM - AA6.22
Rapid Flame Synthesis of Dense, Aligned α-Fe2O3 Nanoneedle Arrays.
Pratap Rao 1 , Xiaolin Zheng 1
1 Mechanical Engineering, Stanford University, Stanford, California, United States
Show AbstractOne-dimensional iron oxides (α-Fe2O3 and Fe3O4) are of practical interest because of their potential application as recording media due to their magnetic properties and anisotropy, and in chemical looping combustion as oxygen carriers to facilitate the sequestration of CO2.α-Fe2O3 nanoneedles have been synthesized by the oxidation of solid elemental iron in air or other oxidizing atmospheres. These experiments were carried out on hotplates or in tube furnaces between the temperatures of 700 and 900°C and yielded axial growth rates of α-Fe2O3 nanoneedles that were on the order of 1 μm per hour. Herein, we report a new flame synthesis method for producing dense, aligned arrays of crystalline α-Fe2O3 nanoneedles with an axial growth rate of approximately 1 μm per minute, almost two orders of magnitude larger than those demonstrated previously. Specifically, the α-Fe2O3 nanoneedles are synthesized by the oxidation of untreated Fe foils and wires in the post-flame region of a methane-hydrogen-air premixed flame. The rhombohedral α-Fe2O3 nanoneedles, characterized by SEM, TEM and XRD, are single crystals or bicrystals having a [110] growth direction, with bases of around 250 nm width that split into multiple nanowires of 35 nm average diameter. The synthesized nanoneedles grow perpendicular to the iron substrate and form a dense, well-aligned array. The growth of α-Fe2O3 nanoneedles occurs between the gas phase temperatures of 700 and 1000°C with the largest average surface density, in excess of 10 nanoneedles per square micron, observed at 900°C. The growth rate of α-Fe2O3 nanoneedles is insensitive to the post-flame oxygen concentration as the flame stoichiometry is varied from oxygen rich to oxygen lean, indicating that iron acts as the deficient, rate-limiting species during the growth. The growth mechanism of the α-Fe2O3 nanoneedles is likely to be “tip growth”, where iron from the substrate diffuses along the surface of the nanoneedles and is oxidized and incorporated into the growing nanoneedle crystal at the tip.Moreover, the current flame synthesis method, when applied to Cu and Zn, yields CuO and ZnO nanoneedles that grow at similarly large axial growth rates, suggesting that there is great potential for the economical, scalable flame synthesis of other metal oxide nanostructures.
9:00 PM - AA6.23
Electric and Magnetic Properties of Co Doped ZnO Nanowires Prepared by a Template Approach.
Ionut Enculescu 1 , Elena Matei 1 , Marian Sima 1 , Simon Granville 2 , Jean-Philippe Ansermet 2 , Lucian Ion 3 , Stefan Antohe 3
1 , National Institute for Materials Physics, Magurele, Ilfov Romania, 2 , Ecole Polytechnique Federale de Lausanne, Lausanne Switzerland, 3 , University of Bucharest, Faculty of Physics, Bucharest Romania
Show AbstractWe employed template replication by electrochemical deposition as the technique to prepare Co doped zinc oxide nanowires. Polycarbonate nanoporous membranes obtained by swift heavy ions irradiation and subsequent etching were used as templates. The pores were filled with the desired material by electrochemical deposition from an aqueous bath. ZnO nanowires with cobalt content of up to 10% were obtained in this way. In a first step the properties of the nanowires were investigated by scanning electron microscopy, energy dispersive X ray analysis and optical spectroscopy (absorption and luminescence). We investigated the effect of preparation condition (i.e. deposition potential, deposition bath composition, temperature) on properties such as morphology, structure or composition of the nanowires. One of the most important results was the possibility to control the Co content by simply changing the electrodeposition conditions, enabling us to prepare ZnO nanowires with segments or layers with different dopant concentrations.Magnetic measurements showed that at lower Co concentrations (x<0.05) the samples present a Curie-Weiss paramagnetic behavior while at higher Co concentrations (x>0.07) a ferromagnetic type behavior was observed at temperatures lower than 150K.Transport properties of such nanostructures were measured. Thus, the I-V characteristics of wire arrays are linear for applied voltages less than 10 V, while showing a supra-ohmic behavior at higher bias. A defect controlled electrical conduction was observed in a wide temperature range, from 300 K to 40 K. Magnetoresistance measurements were performed at different temperatures. The results are discussed in correlation to growth conditions and structural and morphological data.
9:00 PM - AA6.24
Formation of Iron Oxide Nanocrystals in Inorganic Electrospun Nanofibers.
Nate Hansen 1 , Jeanne Panels 1 , Jung Lee 1 , Alexander Naydich 1 , Yong Joo 1
1 Chemical Engineering, Cornell University, Ithaca, New York, United States
Show AbstractBoth monoaxial and hollow coaxial silica and carbon nanofibers containing iron oxide nanocrystals have been synthesized by combining the sol-gel synthesis, electrospinning, and thermal treatment. Tetraethyl-orthosilicate [TEOS] and polyacrylonitrile [PAN] solutions containing iron oxide precursor such as iron nitrate and iron acetylacetonate were electrospun and thermally treated to produce silica and carbon nanofibers with iron oxide nanocrystals. In addition, coaxial electrospinning where mineral oil and TEOS or PAN solution with iron oxide precursor were used as core and skin layer was utilized to obtain hollow silica and carbon nanofibers containing iron oxide nanocrystals. X-ray diffraction (XRD), transmission electron microscopy (TEM), and superconducting quantum interference devices (SQUID) have been used to characterize the crystal structures and magnetic properties of resulting multifunctional silica and carbon nanofibers. We demonstrate that the size, location, and phase of iron oxide comprising the nanocrystals can be tuned by varying the iron precursor concentration and the thermal treatment time, temperature and/or atmosphere.
9:00 PM - AA6.25
Catalyst Free Growth and Optical Properties of ZnO Nanoneedles by Plasma Assisted Molecular Beam Epitaxy.
Thomas Wassner 1 , Bernhard Laumer 1 , Stefan Maier 1 , Martin Stutzmann 1 , Martin Eickhoff 2
1 Walter Schottky Institut, Technische Universität München, Garching Germany, 2 I. Physikalisches Institut, Justus-Liebig-Universität Giessen, Giessen Germany
Show AbstractZnO nanoneedles were grown on (11-20)-sapphire substrates by plasma assisted molecular beam epitaxy without the use of a catalyst. After formation of a wetting layer the nanoneedles grow homogenously on the substrate as shown by scanning electron microscopy images. High resolution transmission electron microscopy images of single needles reveal a high crystal quality and a sharp tip with a tip diameter of approximately 3 nm. The epitaxial relationship to the substrate was determined by high resolution X-ray diffraction and found to be Al2O3[0001] ‖ ZnO[11-20], the same as for continuous layers. The influence of the II-VI ratio and the substrate temperature on the growth process and a possible growth mechanism will be presented. In addition, optical properties, analyzed by low temperature photoluminescence spectroscopy, will be compared with those of continuous ZnO epitaxial films.
9:00 PM - AA6.26
On Bio-inspired Synthesis of Single Crystalline Sodium Titanate and Sodium Tungstate One-dimensional Nanostructures Using Oyster Shell.
Kyubock Lee 1 , Seungwoo Lee 1 , Seungbin Park 1
1 , KAIST, Daejeon Korea (the Republic of)
Show AbstractThere is growing interest in one-dimensional nanostructures consisting of various multicomponent oxides, such as perovskite-type oxide, spinel-structured oxide, alkali metal titanate, and various tungstate nanorods or nanowires with the merits of their useful properties containing ferroelectricity, multiferroicity, catalytic activity, photoluminescence, and so on. The synthetic methods of multicomponent oxide materials with unidirectional morphology in nanoscale, however, is limited to some solid or liquid state reactions because of problems in controlling composition, stoichiometry, and crystal structure. Novel synthesis using oyster shell is described in this study for the fabrications of single crystalline Na2Ti6O13 nanorods and Na2W4O13 nanowires. The oyster shell was used as a source of both sodium and carbon dioxide, which have a crucial effect on unidirectional growth of single crystalline Na2Ti6O13 and Na2W4O13 from TiO2 and WO3, respectively. Most of TiO2 particles were converted to single crystalline Na2Ti6O13 nanorods with photocatalytic activity. Single crystalline Na2W4O13 wires were grown even in millimeter scale from WO3 particles and showed photoluminescence. There are a lot of advantages using oyster shell for the synthesis of sodium metal oxide one-dimensional nanostructures: (a) an eco-friendly method using renewable resources; (b) low processing temperature with high yield; and (c) no requirement of additional processes such as separation or washing. Further works for the applications of this method to perovskite-type oxide or spinel-structured oxide are now in progress. This work suggests a new approach for using biological material for the crystal growth of nanostructured materials.
9:00 PM - AA6.27
Oxide Nanotube Synthesis via Vapor-Liquid-Solid Mechanism
Chaoyi Yan 1 , Pooi See Lee 1
1 School of Materials Science and Engineering, Nanyang Technological University, Singapore Singapore
Show AbstractNanotubes of different oxide materials (i.e. In2Ge2O7 and GeO2) were synthesized via vapor-liquid-solid (VLS) mechanism. For the growth of In2Ge2O7 and GeO2 nanostructures, corresponding source powders (indium oxide, carbon and germanium for In2Ge2O7; germanium oxide and carbon for GeO2) were put at the central high temperature region of the horizontal quartz tube furnace, silicon substrates at low temperature region were used to collect the products. Indium germanate semi-nanotubes grew through a self-catalytic process where indium serve as catalysts for In2Ge2O7 nanotube growth. Although germanium was incorporated in the liquid catalyst particle during growth, it will completely precipitate when cooling down, according to Ge-In binary phase diagram. The compositions of the catalyst particle and nanotube were confirmed using XRD and EDS attached to TEM. Instead of nanowire or complete nanotubes, the nucleation of unique semi-nanotube structure was explained by the anisotropic adsorption process. Fabrication of single crystalline GeO2 nanotubes were also realized using Au as catalyst. A diffusion limited process suggests the possibility of nanotube growth via VLS mechanism. And indeed, we observed that the diameters of the nanotubes were typically larger than those of nanowires. Considering the longer diffusion distance for larger catalyst size, the chances of nanotube nucleation are higher. Ideally, with other growth conditions being fixed, there should be a lower bound of the catalyst diameter, below which nanotube growth is not possible. With comprehension of the diffusion limited process, we suggest that growth parameters can be tuned to control the nanotube synthesis.
9:00 PM - AA6.28
Structural Properties of Vertically Aligned ZnO Nanorods Grown on Ti Films by MOCVD.
Changa Ha Kwak 1 , Sooyoung Seo 1 , Seonhyo Kim 1 , Sunhong Park 2 , Sangwook Han 3
1 Department of Materials Science and Engineering, Pohang University of Science and Technology(POSTECH), Pohang, GyungBuk, Korea (the Republic of), 2 New Materials & Components Research Center, Reach Institute of Industrial Science & Technology, Pohang, GyungBuk, Korea (the Republic of), 3 Institute of Proton Accelerator, Chonbuk National University, Jeonju, Chonbuk, Korea (the Republic of)
Show AbstractOne-dimentional (1D) nanostructures, such as nanowires, nanorods and nanotubes, have attracted great attention as building blocks for nano-scale electronics and photonics as well as fundamental research area. ZnO have direct bandgap(3.36eV), piezoelectric, thermal stability and also easily forms 1D nanostructures that were widely studied for their practical applications to UV-LEDs, sensors and solar cells. Although ZnO nanorods were fabricated by various methods, including VLS (vapor-liquid-soild), MOCVD, PLD and aqueous solution, vertically aligned ZnO nanorods have been synthesized on a few substrates, including Al2O3, Si, GaN and recently ITO substrates. For more practical applications of ZnO nanorods, it is necessary to synthesize vertically well aligned ZnO nanorods on various substrates. However, the growth of vertically-well aligned ZnO nanorods with high quality is difficult because the growth is affected by substrate conditions, such as surface roughness, lattice mismatch between ZnO nanorods and the substrates and surface charge. In this study, we present the high quality ZnO nanorod growth on Ti buffer layers. The Ti buffer layers were synthesized by a sputtering procedure. The Ti buffer layers can play a role as metal electrodes or Ohmic contact layers. The Ti layer thickness were a few tens nanometers with roughness of a few nanometers. Transmission electron microscope (TEM) measurements revealed that Ti layers were epitaxially grown on the substrate. The nanorods on the Ti layers had a uniform size of 50-100 nm with length of a few micrometers. X-ray diffraction (XRD) from the ZnO nanorods revealed that the nanorods well aligned along the c-axis with a wurtzite structure. The residual strain and the mosaicity of the nanorods were very similar to the ZnO nanorods growth on sapphire substrates. In the presentation, we will also discuss the surface roughness contribution to the ZnO nanorod growth on Ti buffer layers in details.
9:00 PM - AA6.29
Electronic Structure of Hydrothermal Growth of ZnO Nanowire Arrays Studied by Angle-dependent X-ray Absorption Spectroscopy.
Cheng-Ying Chen 1 , Chin-An Lin 1 , Jr-Hau He 1 , Jian-Wei Lo 1
1 Graduate Institute of Photonics and Optoelectronics, National Taiwan University, Taipei Taiwan
Show AbstractThe electronic structure of a nanometer-scale material differs from that of the bulk material [1, 2]. Recently, one-dimensional and quasi-one-dimensional nanostructures have attracted a great deal of attention due to their potential as building blocks for electronics and photonics novel devices [3, 4]. Moreover, ZnO is a potentially important material due to its electrical and optoelectronic characteristics. With paying more attention to ZnO nanostructure-based optoelectronics-devices, it is demanded to understand the electronic structure of ZnO nanowire arrays (NWAs). In this work, angle-dependent x-ray absorption near-edge structure (XANES) at the O K and Zn L3 edges was performed to investigate the electronic structures of well-aligned ZnO NWAs by hydrothermal growth. The ZnO NWAs with the different tip morphology were fabricated with Au island films as a catalyst by hydrothermal method. Scanning electron microscope (SEM) characterizations have shown that ZnO NWAs were 3-4μm in length and 100 nm in diameter. Transmission electron microscope characterizations have indicated ZnO NRA is of hexagonal (wurtzite) structures and grows along the c-axis direction. The previous studies reported that the tip surfaces of the NWAs on the different substrate were terminated by Zn and O for [0001] and [000 ] orientations, respectively [5-7]. According to the dipole-transition selection rule, O K and Zn L3 edge XANES indicated the unoccupied O 2p and Zn 4p derived states and the unoccupied Zn s- and d-derived states, respectively [8]. The intensity of the O K-edge XANES spectra was enhanced at small photon incident angles. O K-edge XANES spectra showed substantial enhancement of O 2p derived states near the conduction band minimum (CBM). With the incident angle increasing, the intensity of Zn L3 edge XANES spectra increased, indicating that the number of unoccupied Zn 4s and 4d states near CBM was reduced in the tip region of the NWAs.References[1] S. M. Lee, Y. H. Lee, Y. G. Hwang, J. Elsner, D. Porezag, and T. Frauenheim, Phys. Rev. B 60, 7788 (1999)[2] H. L. Liu, C. C. Chen, C. T. Chia, C. C. Yeh, C. H. Chen, M. Y. Yu, S. Keller, and S. P. Den Baars, Chem. Phys. Lett. 345, 245 (2001) [3] D. Appell, Nature (London) 419, 553 (2002)[4] X. F. Duan, Y. Huang, Y. Cui, J. F. Wang, and C. M. Lieber, Nature (London) 409, 66 (2001)[5] W. Go pel, J. Pollmann, I. Ivanov, and B. Reihl, Phys. Rev. B 26, 3144 (1982)[6] A. Wander, F. Schedin, P. Steadman, A. Norris, R. McGrath, T. S. Turner, G. Thornton, and N. M. Harrison, Phys. Rev. Lett. 86, 3811 (2001)[7] Y.Sun, D. Jason Riley, and M. N. R. Ashfold, J. Phys. Chem. B 110, 15186 (2006)[8] P. J. Møller, S. A. Komolov, and E. F. Lazneva, J. Phys.: Condens. Matter 11, 9581 (1999)
9:00 PM - AA6.3
Axial Heterostructures in Silicon Nanowires by Pulsed Laser Deposition: Doping and Si/Ge Superlattices.
Bjoern Eisenhawer 1 , Gerald Broenstrup 1 , Andreas Berger 1 3 , Vladimir Sivakov 1 3 , Xavier Maeder 2 , Johann Michler 2 , Silke Christiansen 1 3
1 , Institute of Photonic Technology, Jena Germany, 3 , Max-Planck-Institute of Microstructure Physics, Halle Germany, 2 , EMPA - Swiss Federal Laboratories for Materials Testing and Research, Thun Switzerland
Show AbstractMaking use of silicon nanowires (NWs), generated by the vapour-liquid-solid (VLS) growth process, in devices for micro-, opto- and large area electronics such as transistors, light-emitting diodes and solar cells, requires the control of p-and n-doping in a wide range of concentrations. Moreover, Si/Ge superlattices in the NWs may be interesting for the aforementioned device applications. To realize the different doping requirements, various approaches have been tested so far, e.g. implantation of dopants into the NWs after the growth process is finished and their thermal activation by subsequent annealing or co-doping during vapour phase deposition. A comparably unexplored approach that will be reported in this paper is based on the ablation of doped target materials (e.g. p- and n-doped silicon wafers) in a pulsed laser deposition (PLD) experiment. We will report on the vapour-liquid-solid (VLS) growth of doped silicon NWs by ablating doped silicon targets for the supply of doped silicon that is incorporated in the gold droplets that catalyze the 1D NW growth. Using the same PLD approach, Si/Ge superlattices are also realized by alternating Si and Ge targets. Successful doping of PLD grown NWs as well as pn-junction realization by this method is proved in I-V measurements of single probed NWs in a scanning electron microscope as well as of ensembles of several thousands of NWs in a probe station. The abruptness of the interfaces (Si/Ge or p-n) that can be achieved by VLS growth of NWs in PLD experiments is determined by analyzing the Si/Ge superlattices in transmission electron microscopy (TEM).
9:00 PM - AA6.30
Growth of Horizontal ZnO Nanowire Arrays On Any Substrate.
Rusen Yang 1 , Yong Qin 1 , Zhong Lin Wang 1
1 Materials Science and Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States
Show AbstractDue to their diverse and unique semiconductive, optic, piezoelectric and pyroelectric properties, ZnO nanowires (NWs) have found wide applications for electronics, optoelectronics, sensors and energy science. Single ZnO NW has been manipulated between two electrodes to fabricate diode, FET, gas sensor, and pressure sensor. For practical applications, growth of aligned, patterned and controlled NW arrays is vitally importance for applications such as DC nanogenerator driven by ultrasonic wave, solar cell, nanolasers, and field emitters. Laterally aligned ZnO NW arrays in parallel to substrate offer a benefit of fabricating integrated nanodevice arrays, but there are only a couple of reports about the growth of laterally aligned NWs with only very limited control. We reported here a universal method to synthesize laterally aligned and patterned ZnO NW arrays on any substrate. The orientation control is achieved using the combined effect from ZnO seeds layer and the catalytically inactive Cr layer for NW growth. The growth temperature is so low so that the method can be applied to a wide range of materials that can be inorganic, organic, single crystal, polycrystal or amorphous. The laterally aligned ZnO NW arrays can be employed for various applications, such as gas sensor, field effect transistor and nanogenerator. Flexible electronic application will also benefit from the growth of laterally aligned ZnO NW arrays on polymer substrates. This technique establishes a general approach for fabricating integrated nanodevices at a large scale.[1] Yong Qin, R.S. Yang, and Z.L. Wang, The Journal of Physical Chemistry, in press.[2] http://www.nanoscience.gatech.edu/zlwang/
9:00 PM - AA6.31
Rapid Synthesis of High-Aspect Ratio ZnO Nanowires by a Catalyst-Free, Low-Power Induction Heating Process
Joachim Pedersen 1 , Kwok Siong Teh 1
1 School of Engineering, San Francisco State University, San Francisco, California, United States
Show AbstractZnO quasi-one-dimensional structures such as nanowires, nanobelts, nanorods, and nanotubes generate research interest due to their potentials applications as nanolasers, electro-optical switch, biochemical sensors, and hydrogen storage media. We present in this paper a rapid and catalyst-free method of synthesizing high-aspect ratio ZnO nanowires on Si (100) using a low-power (65W) induction heating process. Our preliminary results showed that we have successfully grown ZnO nanowires oriented in the <0001> crystallographic directions via a vapor-solid (VS) mechanism. During the heating process, Zn powder was vaporized on inductively heated Ni in a controlled Ar/O2 environment. Spontaneous formation of ZnO nanowires was achieved on a cooler Si (100) surface via a condensation process. The induction heating process was performed for 5 minutes, yielding ZnO nanowires up to 5 um in length. X-ray diffraction (XRD), energy dispersive spectrometry (EDS), and scanning electron microscopy (SEM) investigations revealed that the as-synthesized ZnO nanowires were grown in <0001> direction and have nominal diameter of 100nm and length up to 5um. We also studied the growth of ZnO nanowires using either 1:99 (1% O2) or 0:100 (0% O2) O2:Ar mixtures. It was observed that O2 content significantly influenced the morphology of ZnO nanowires: higher O2 content (1% O2) encouraged the formation of tetrapods and other nanostructures, while lower O2 content (0% O2) favored the growth of ZnO nanowires. When grown at 1% O2, majority of the as-grown structures were nanoscale ZnO tetrapods (200-300nm diameter) and other hierarchical micro and nanostructures of ZnO. Small-diameter (20nm) and long (5-10um) nanowires were observed to grow from the top facets of these micro and nanostructures. On the other hand, when the process chamber was completely purged with Ar prior to and during ZnO synthesis (i.e. 0% O2), only ZnO nanowires were formed. These nanowires have nominal diameters of 60-100nm, with a sharp and tapered tip extruding from the top end of the nanowires. Post-synthesis annealing temperatures also influenced the geometry and type of ZnO nanostructures formed. At 1% O2, the quantity and density of ZnO nanowires increased with increasing annealing temperatures ranging from 650C, 750C, to 850C. At 0% O2, the role of annealing temperature was more subdued in that higher annealing temperature (850C) only seemed to increase the diameters of the ZnO nanowires (300nm), and has no observable effect on the quantity and areal density of ZnO nanowires.In conclusion, we have successfully demonstrated a rapid and catalyst-free method of depositing ZnO nanowires on Si with induction heating. We have grown c axis-oriented ZnO nanowires of up to 5um long within 5 minutes in 0% and 1% O2 environment. Lower O2 content and post-annealing at 850C both favored the formation of ZnO nanowires over other types of nanostructures.
9:00 PM - AA6.32
Effect of Sapphire Nitridation on Nucleation of ZnO Crystals Grown by Halide Vapor Phase Epitaxy.
Naoki Yoshii 2 , Tetsuo Fujii 1 3 , Rui Masuda 1 , Yoshinao Kumagai 1 , Akinori Koukitu 1
2 Technology Develoment Center, Tokyo Electron Ltd., Nirasaki, Yamanashi, Japan, 1 Department of Applied Chemistry, Graduate School of Engineering, Tokyo University of Agriculture and Technology, Higashikoganei, Tokyo, Japan, 3 Research and Development Headquarters, ROHM CO., LTD, Kyoto, Kyoto, Japan
Show AbstractIn this study, we select the halide vapor phase epitaxy (HVPE) method to grow ZnO crystal because of the availability of high temperature growth due to the chloride chemistry. When ZnO crystal is grown on Al2O3 substrates at a high temperature of around 1273 K, weak stickiness of ZnO against Al2O3 substrates becomes an issue. Nitridation treatment of Al2O3 substrates is one of the effective techniques to change the surface condition of Al2O3 substrates, leading the possibility for an improvement in stickiness, crystal orientation and quality of ZnO crystal. Regarding halide vapor phase epitaxy (HVPE), however, the influence of Al2O3 nitridation treatment for ZnO crystal has not been investigated yet. HVPE-ZnO growth was carried out in a horizontal hot-wall quartz reactor at 1273 K. ZnCl2 and H2O were used as Zn and O sources, respectively. ZnCl2 was synthesized by the reaction of high purity Zn metals and Cl2 gas, and then ZnCl2 was transported to growth region with N2 gas as a carrier gas. All substrates were optical-grade polished sapphire (10 x 10 mm) with (11-20) orientation (a-face). Nitridation treatment of Al2O3 substrates was conducted by two different methods. One method is thermal treatment at 1273 K in NH3 gas with H2 gas for 20 - 60 min. The other method is micro wave (2.45 GHz) plasma treatment with N2 gas at 523 K for 20 min. We found that in the case of HVPE, the thermal nitridation treatment of Al2O3 substrates leads to a smaller size of ZnO crystals as well as a higher ZnO nuclei density compared to the case without nitridation treatment. These trends may be attributed to the difference of the wettability for ZnO crystals between nitrided Al2O3 and Al2O3 substrate without nitridation treatment. Regarding crystal quality, nitridation treatment of Al2O3 substrate causes deterioration of the crystal quality of ZnO deposited by HVPE. This is due to the change of the Al2O3 surface with a-face into AlN structure with c-face by nitridation treatment, which was confirmed by reflection high-energy electron diffraction (RHEED). Also, the surface condition of nitrided Al2O3 substrates has been investigated by X-ray photoelectron spectroscopy (XPS). In the thermal treatment with NH3 gas at 1273 K, only Al-N peak was observed and N concentration was about 20 atom% for nitrided Al2O3 surfaces. In contrast, in the plasma treatment with N2 gas at 523 K, Al-N peak and N-O peak were detected and the nitrided Al2O3 surface contained N concentration of 2-5 atom%, suggesting that the existence of ion species generated by N2 gas plasma and low temperature treatment lead to generation of N-O peak and low N concentration.
9:00 PM - AA6.33
Synthesis and Electrical Characterization of Tin Oxide Nanostructures.
Olivia Berengue 1 , Cleocir Dalmaschio 3 , Daniel Stroppa 2 , Tiago Conti 3 , Adenilson Chiquito 1 , Edson Leite 3
1 Physics, Universidade Federal de São Carlos, São Carlos Brazil, 3 LIEC - Chemistry, Universidade Federal de São Carlos, São Carlos Brazil, 2 , Brazilian Synchrotron Light Laboratory, Campinas Brazil
Show AbstractIt is well known that nanostructured oxide materials find unique applications such as in electronics and optoelectronics due to their fascinating physical and chemical properties. Among them, tin oxide nanostructures as SnO, SnO2, Sn3O4 are attractive materials because of its interesting properties which make them promising materials for microelectronics applications, including gas sensors and field effects transistors. Besides these enormous potential applications of tin oxide nanostructures, it is still a challenging task to obtain the complete characterization of their structural and electronic properties, especially when the quantum mechanical interactions cannot be neglected. In this sense, Sn3O4 nanowires were grown by a carbothermal evaporation process of SnO2 powders in association with the well known vapour-solid mechanism (VS). The nanowires’ crystal structure was investigated by X-Ray Diffraction (XRD), Transmission Electron Microscopy (TEM) and Low Energy Electron Spectroscopy (EELS) confirming the Sn3O4 triclinic structure. Raman Spectroscopy was used in order to obtain information on the nanowires’ crystalline quality providing data on nanowires’ structure. The presence of sharp peaks in the Raman spectra is an evidence of the growth of high quality crystalline structures. The electrical characterization (current-voltage curves) of individual Sn3O4 nanowires was performed at different temperatures. The experiments revealed a semiconductor – like character as evidenced by the resistance decreasing at high temperatures. The resistivity measurements seem to be in good agreement with the current-voltage response confirming the semiconductor - like behavior: the resistivity decreases as the temperature was increased. The experiments were performed with UV illumination and the electrical properties were changed which in turn, is an evidence of a potential application as UV sensors.
9:00 PM - AA6.35
First Principle Studies on the Structural Transition of ZnO Nanowires at High Pressure.
Yousong Gu 1 , Zhanjun Gao 1 , Xiaoqin Yan 1 , Yue Zhang 1
1 Department of Material Physics and Chemistry, University of Science and Technology Beijing, Beijing, Beijing, China
Show AbstractThe structural transition of ZnO nanowires from wurtzite to rocksalt structure at high pressure has important implication in the applications of ZnO nanowires in nanodevices. In this work, the structural transition of ZnO nanowires under high pressure have been studied by first principle calculations, and the transition pressures were evaluated for nanowires with different diameters. The calculations were performed under the density functional theory by the VASP package. PAW (Projected Augmented Wave) pseudo potential, PBE (Perdew-Burke-Ernzerhof) type of exchange correlation, and LSDA+U type on-site Coulomb interaction are employed in the calculation. The calculation were performed with periodical boundary conditions: nanowires were placed inside a big rectangle box and the minimum separation between the nanowires was larger than 10Å. Ground state energies of ZnO nanowires were calculated for a series of nanowires with different unit cell volume (realized by apply a series of scaling factors to the lattice constants). The transition pressures were determined from the slope of the common tangent of the energy versus volume curves of the two phases. Two set of nanowires with 24 and 37 atoms in each monolayer were calculated to study the effect of diameter on the structural transition.The transition pressures from wurtzite to rocksalt structure are 8.70 and 9.45 GPa for the two types of nanowires, comparing to the transition pressure of 10.85 GPa for bulk ZnO as calculated in the exact same setting. It can be see that the transition pressure of ZnO nanowires is lower than that of the bulk, and it decrease as the diameter of the nanowires decreases. Our calculation results show that the structural transition pressure of ultra thin nanowires (a couple of nanometers in diameter) is lower than that of the bulk. This may be due to the fact that barrier against atomic movement in structural transition is lowered in ultra thin nanowires.The chemical bonding of the nanowires were studied by examining the atomic position and electronic density distribution. The results show that the surface atoms are moved to form a dense and compact surfaces and the bonds between the surface atoms are stronger than that in the bulk. The surface atoms are quite different from the bulk, in respect to charge distribution and energy levels.
9:00 PM - AA6.36
Anisotropic ZnO Nanowires by Oblique-angle Sputtering and Hydrothermal Process.
Chun-Han Huang 1 , Yi-Feng Lai 1 , Chuan-Pu Liu 1 , Yu-I Shih 1 , Yuh-Chieh Lin 1
1 Department of Material Science and Engineering, National Cheng-Kung University, Tainan Taiwan
Show AbstractWe demonstrated anisotropic growth ZnO nanowire by oblique-angle sputter and hydrothermal process. In typically sputtered ZnO film, ZnO(0002) always grows perpendicularly as prefer orientation, we successfully changed the ZnO(0002) direction from perpendicular to lateral by changing the incident sputtering beam, but our results were very different to typical structures by OAD. In this research, argon/hydrogen mixture gas was chosen as bombardment and reduction source, the temperature changed from 210°C to 450°C. The anisotropic ZnO nanowires were grown on these films by hydrothermal process, two kinds wires appeared, one remained high angle, the other changed their directions with different buffer layer temperature, which can be explained by twinning mechanism and defect formation. We consider the twin formation was more stable at high temperature, because the film will become polycrystalline at low temperature. The other kind wire should be attributed to dislocation density, which is due to oblique incident sputter beam and caused the different growth rate between incident and shadowed side. This mechanism made columnar grain bend to the side with lower growth rate at lower temperature, which is much different to typically oblique-angle deposited structures.
9:00 PM - AA6.37
Characterization of Low-Temerature Growth ZnO-Modified TiO2 Nanowires.
Micah Eastman 1 , Haiyan Li 1 , Jun Jiao 1
1 , Portland State University, Portland, Oregon, United States
Show AbstractWe report the synthesis of ZnO-modified TiO2 nanowires by a solution-based process. The synthesis takes place below 200 C in an alkali solution and results in both nanowire and nanoparticle precipitates. A variety of methods were used to characterize the material and other resulting byproducts: Raman spectroscopy with a 532 nm excitation wavelength was used to verify the crystalline phase of the nanowires; Transmission electron microscope imaging verifies the morphology of the materials and high resolution imaging allows for structural identification of the wires and particles; Electron energy loss and energy dispersive x-ray spectroscopy allow for identification of the resulting compounds and their impurities. In addition, the effectiveness of nitric acid is explored as a post-growth treatment to remove residual alkali on the surface of the nanowires and particles. Trends in the electron energy loss and Raman spectroscopy indicate that the modification of the wires predominantly takes place on the surface, rather than in the nanowire bulk.
9:00 PM - AA6.38
Atomic Layer Deposition (ALD) Assisted Synthesis of Nanowires and Nanotubes
Todd Waggoner 1 , Brian Pelatt 1 , Ashley Mason 1 , Sean Smith 1 , John Conley 1
1 Electrical Engineering and Computer Science, Oregon State University, Corvallis, Oregon, United States
Show AbstractAs a result of self-limiting surface reactions of purge separated reactants, atomic layer deposition (ALD) allows for layer-by-layer deposition of highly conformal thin films. The high conformality of ALD creates the potential for many interesting routes to nanostructure synthesis. In this work, ALD was used (i) to deposit a conformal thin film ZnO seed layer that was used to achieve highly selective patterned growth of ZnO nanowires, without the use of a metal catalyst, directly on both inorganic substrates (Si or glass) as well as flexible organic surfaces (such as Kevlar and Spectrafiber) and (ii) to create core-shell nanowire heterostructures by coating ZnO nanowires with thin film dielectrics (Ta2O5 and Al2O3). Vertical oriented (+/- 30 degrees from normal) ZnO nanostructures were grown via either (i) a high temperature (~900C) vapor phase method involving carbothermal reduction of a ZnO/graphite mixture or (ii) a low temperature (<= 90C) solution phase method involving an aqueous solution of Zn(NO3)2, hexamethylene tetramine, and polyethylene imine heated for ~5-10 minutes in a 1200W microwave oven. ZnO nanostructure morphology was found to be sensitive to ZnO seed layer preparation, substrate, and growth method. Vapor phase nanowires were found to have improved crystalline properties and higher aspect ratio. Solution phase permitted growth of nanowires on flexible substrates for energy harvesting investigations. ALD ZnO, Al2O3, and Ta2O5 thin films were deposited using either Zn(C2H5)2, Al2(CH3)6, or TaCl5 as the metal precursor with water as the oxidant. Conformal ALD ZnO seed layer coating of Si islands on a silicon on insulator substrate (SOI) was used to directly grow electrically integrated ZnO nanobridge structures. Nanobridges are characterized electrically for use in sensor applications. Core-shell nanowire structures were created by coating ZnO nanowires with either Ta2O5 or Al2O3. These structures are being investigated as a way to create nanotube structures and to reduce surface recombination in the encapusulated ZnO nanowires
9:00 PM - AA6.39
Preparation of Polymorph-Controlled Copper Phthalocyanine Nanocrtyals/Nanowires by Seed-Crystal-Induced Reprecipitation Method.
Koichi Baba 1 , Kenji Sugai 1 , Hitoshi Kasai 1 2 , Yousuke Miyashita 3 , Hidetoshi Oikawa 1 , Hachiro Nakanishi 1
1 , Institute of Multidisciplinary Research for Advanced Materials, Tohoku University, Sendai, Miyagi, Japan, 2 , PRESTO, Japan Science and Technology Agency, 4-1-8 Honcho, Kawaguchi, Saitama, Japan, 3 , Analysis Technology Center, Research and Development Management Headquarters, FUJIFILM Corporation, 210 Nakanuma, Minamiashigara, Kanagawa, Japan
Show Abstract Copper phthalocyanine (CuPc) is an excellent organic semiconductor and its optoelectrical properties have been studied extensively due to its potential applications to electronic and photovoltaic devices such as field-effect transistors and solar cells. Recently, promising results have been reported for organic filed-effect transistors based on single-crystalline CuPc ribbons [1]. Usually, CuPc ribbons, rods, and wires in nanoscale are prepared using organic vapor-phase deposition (OVPD). However, OVDP are carried out under high temperature condition (e.g. above 100 °C), thus thermal sensitive polymorph of CuPc are limited to their preparation. To date, CuPc nanorods (α-form) and submicrometer-sized ribbons (β-form) prepared by OVDP have been reported, but the other attractive polymorph such as ε-from, which has high photoconductivity, has never reported. On the other hand, our group has been extensively studying the optoelectrical properties of size- and morphology-controlled organic nanocrystals/nanowires, prepared by a wet process what we call the reprecipitation method [2]. Recently, we have succeeded in the preparation of polymorph-controlled CuPc nanocrystals/nanowires using a newly developed technique, named seed-crystal-induced reprecipitation method. In this method, the crystal growth of α-, β- and ε-form of CuPc nanocrystals/nanowires were induced by each seed-crystal respectively. In this presentation, their size, morphology and optical properties are discussed using scanning electron microscopy observation, powder X-ray diffraction pattern analysis, and absorption spectra analysis. Polymorph-controlled CuPc nanocrystals/nanowires, especially ε-form, may have an opportunity to develop the high performance field-effect transistors and solar cells in near future. The present work was supported by NEDO’s Nanotechnology Program. [1] Q. Tang et al., Adv. Mater., 18, 65 (2006). [2] K. Baba et al., Jpn. J. Appl. Phys. 46, 7558 (2007).
9:00 PM - AA6.40
Diameter-tunable Growth of Inorganic Semiconductor Nanowires Over Size-controlled Gold Nanodots via a Contact-printing Method.
Sang Ho Lee 1 , Youn-Su Kim 1 , Seung Kyo Lee 1 , Beong Ki Cho 1 , Won Bae Kim 1
1 , Gwangju Institute of Science & Technology (GIST), Gwangju Korea (the Republic of)
Show AbstractOne-dimensional (1D) inorganic nanostructures such as wires, rods, belts, and tubes whose lateral dimensions fall anywhere in the range of 1 to 100 nm have received steadily growing interests owing to their peculiar anisotropic shape, fascinating electrical, thermal, and mechanical properties. The diameter-controlled growth of these 1D nanostructures is essential to applications in nanoscale devices because their physical and electrical properties are diameter dependent [1]. In this research, we show a novel method to control the diameter of 1D nanostructures by demonstrating a series of SnO2 nanowires (NWs) having different but controlled diameters. These NWs are synthesized via a carbothermal reduction process on Au metal catalysts whose dimensions are pre-determined through a contact-printing method by using nanoscale stamps that are made of vertically-aligned carbon nanotubes (CNTs) in anodic aluminum oxide (AAO) template. The diameters of CNTs are readily regulated by adjusting the pore sizes of AAO matrix in three types of electrolytes: sulfuric acid, oxalic acid, and phosphoric acid [2-4]. As a result of controlling the sizes of Au catalysts from the CNT stamps, the diameters of NWs could be readily changed from 30 to 70 nm with very narrow size distribution. This method may be applicable to preparations of various 1D nanostructure materials with monodisperse size distribution.Acknowledgement This work was supported by the Korea Science and Engineering Foundation (KOSEF) NCRC grant funded by the Korea government (MEST) (No. R01-2008-006-03002-0) and by the Program for Integrated Molecular System (PIMS/GIST).References[1] S. Barth, H. Shen, and S. Mathur, Small 7, 713 (2005).[2] H. Masuda and F. Hasegwa, J. Electrochem. Soc. 144, L127 (1997).[3] H. Masuda and K. Fukuda, Science 268, 1466 (1995).[4] Y. Li, M. Zheng, L. Ma, and W. Shen, Nanotechnology 17, 5101 (2006).
9:00 PM - AA6.5
Large-Scale Integration of High-Performance Silicon Nanowire Field Effect Transistors for Logic and Memory.
Qiliang Li 1 2 , Curt Richter 2 , Xiaoxiao Zhu 1 2 , Yang Yang 1 2 , Dimitris Ioannou 1 , Hao Xiong 2 , Doo-Won Kwon 2 , John Suehle 2
1 Electrical and Computer Engineering, George Mason University, Fairfax, Virginia, United States, 2 Semiconductor Electronics Division, National Institute of Standards and Technology, Gaithersburg, Maryland, United States
Show AbstractSelf-assembled nanowire field effect transistors (NWFETs) have been of great interest as an important active building block for applications in nanoelectronics. Previous pioneering studies have demonstrated high-performance nanowire and nanotube field effect transistors with subthreshold swing (SS) improved to 100 mV/decade and on/off current ratio about 1E5. Yet a CMOS-compatible process for large-scale integration of self-assembled NWFETs which outperform planar MOSFETs with SS ≈ 60s mV/decade is still not found. The ultimate device electrical properties strongly depend on the details of the device structure and the quality of semiconductor/dielectric interface which is directly affected by fabrication processes. Most of the current research on self-assembled nanowire devices involves harvesting nanowires from the preparation substrate, and suspending them in liquid to form a nanowire solution before the manipulation by using fluidic alignment, dielectrophoresis or nanoscale probe methods. Such processes are likely to introduce debris, particles and other unknown materials surrounding the nanowires and contaminate their surface. The use of electron beam microscopy to examine the nanowire’s position during the nanowire alignment may be a further source of contamination. Additionally, the effectiveness of cleaning the nanowires after such manipulations is very limited. The nanowires, which are held on the surface weakly via electrostatic force, can easily be lost under aggressive cleaning, particularly under sonication conditions. Therefore it is highly likely that the nanowire surface will be left contaminated when the device is made. Such a contaminated surface will dramatically increase the nanowire device interface states, which can seriously deteriorate the device performance, as indicated by the transistor subthreshold swing.We report the fabrication and characterization of self-assembled Si nanowire field effect transistors (NWFETs) with excellent current-voltage characteristics, large on/off current ratio (≈ 1E7) and sharp subthreshold swing. The Si nanowire devices are fabricated on a whole wafer by using a self-aligned technique with standard photolithographic alignment and metal lift-off processes, enabling the large-scale integration of reproducible, high-performance nanowire devices with an average SS of 61 mV/decade at room temperature. With the assistant of bottom gate the average of SS is improved to 45 mV/decade, which advances beyond the 60 mV/dec limit of conventional planar MOSFETs set by Fermi distribution. Our approach clearly shows that the self-assembled NWFETs are compatible with CMOS large-scale integration and ready for high performance logic, memory and sensor applications.
9:00 PM - AA6.6
Measurements of Liquid Silicon Resistivity on Silicon Microwires.
Gokhan Bakan 1 , Adam Cywar 1 , Cicek Boztug 1 , Mustafa Akbulut 1 , Helena Silva 1 , Ali Gokirmak 1
1 Electrical and Computer Engineering, University of Connecticut, Storrs, Connecticut, United States
Show AbstractInterest in achieving high mobility silicon thin film transistors (TFTs) for large area electronics has motivated studies on crystallization of amorphous and polycrystalline silicon for several decades. Most crystallization techniques involve growth from the melt which has led to increased interest in the properties of molten silicon. Electrical resistivity of liquid silicon has been reported since 1960’s, measured using the electrodeless rotating magnetic field method or the four point probe method on a macroscopic molten silicon volume. In this work, liquid silicon resistivity is obtained by performing a wafer-level measurement technique on nanocrystalline silicon (nc-Si) microwires with various dimensions, using a conventional probe station and standard semiconductor electrical characterization tools. Si microwires are defined by patterning a nc-Si film which is deposited in a low pressure chemical vapor deposition (LPCVD) system at 560 °C with high-level in-situ boron doping (~5x1020 cm-3) on Si substrate with thermally grown oxide. Si wires with various design widths (300 to 500 nm) and lengths (0.5 to 5.5 µm) are defined using photolithography and reactive ion etch. Actual wire widths are ~ 250 nm (ΔW) narrower than design widths due to overexposure of features on mask and wafers. The film thickness is measured as 128±9 nm using atomic force microscopy. Ti/Ni electrodes are formed by photolithography, metal evaporation and lift-off processes to ensure reliable ohmic contacts between the electrical probes and the Si wires. The Si wires are treated as linear resistors in both solid and liquid phase with uniform cross-section along the wire length. The total measured resistance (RSi) values depend on three unknown parameters: a constant contact resistance Rc, the difference between design width and actual width (ΔW), and the silicon resistivity ρ. Rc is extracted as the vertical intercept of RSi(L). ΔW and ρ are obtained from the slope of RSi(L) for sets of wires with various widths. The extracted solid silicon resistivity from wafer level method and from four point probe measurements are 11.5 ± 0.5 mΩ.cm and 12 mΩ.cm respectively. Wires are molten by a high voltage pulse from a pulse generator and the pulse voltage and current are monitored using a high speed oscilloscope. A sharp increase in current followed by a plateau marks the melting of the whole wire. The liquid silicon resistivity is calculated from the liquid silicon resistances by using the same method which is used to extract the solid silicon resistivity. The resistivity of liquid silicon is determined to be 75±4.6 µΩ.cm which is in close agreement with previously reported values. This micrometer scale, wafer-level technique is a convenient way of measuring liquid silicon resistivity and it can potentially be extended to the characterization of other liquid physical properties and to other materials.
9:00 PM - AA6.7
Fabrication and Characterization of Axial pn Junctions in Silicon Nanowires.
Tae-Woong Koo 1 2 , Jae-hyun Lee 3 , Young Chai Jung 2 4 , Sung-Woo Hwang 2 4 , Dongmok Whang 1 2 3
1 School of Advanced Materials Science and Engineering, Sungkyunkwan University, Suwon Korea (the Republic of), 2 , Research Center for Time-domain Nano-functional Devices, Seoul Korea (the Republic of), 3 SKKU Advanced Institute of Nanotechnology, Sungkyunkwan University, Suwon Korea (the Republic of), 4 School of Electrical Engineering, Korea University, Seoul Korea (the Republic of)
Show AbstractBiplolar junction devices realized from silicon nanowires is an important topic for photonics and solar applications. Both axial and core shell junction structures have been realized successfully, providing interesting transport results. We will present quantitative analysis on axial silicon nanowire pn junctions. Several contact pads were made on 20μm long silicon nanowires with p+n+ junction in the middle, and the current voltage (I-V) characteristics were measured between various combinations of contact pads. The diameter of the nanowire ranges from 45 to 50 nm. While the measured I-V between the contacts across uniform region without junction showed linear behaviors, the I-V measured between the junction shows diode characteristic. The measured I-V was fit with an ideal diode current in series with a resistor, and the saturation current was obtained as a result of fitting. It is possible to obtain the doping concentration from the value of the saturation current, if bulk values of diffusion constant and diffusion length are used.
9:00 PM - AA6.8
Fabrication of Si Nanowires on Insulator by Nickel Silicide-Mediated Lateral Growth
Nian-Huei Chen 1 , Liu Che-Yu 1 , Shen Fang-Yee 1 , Hsu Chih-Chieh 1 , Huang Fon-Shan 1
1 Electronics Engineering, National Tsing Hua University, Hsinchu Taiwan
Show AbstractUltra-thin-film silicon-on-insulator (SOI) has been actively developed as an alternative substrate for CMOS chip. It was proved that MOSFET on a fully depleted SOI can suppress leakage current between the source and drain. However, the awfully high wafer cost is an obstacle for common use in industrial. In contrast, nickel (Ni) silicide-mediated lateral crystallization (SMLC) is an inexpensive method for crystallizing amorphous silicon (a-Si) thin films at low temperature. During SMLC, the growth direction of the crystallized needle-like grains is not parallel to the crystallization direction of the film. The dominant growth directions of the needle-like grains with respect to the crystallization direction are ±(35±5)° and ±(55±5)°, which are well matched for the growth of {110}-oriented, needle-like grains along the (111) directions with 70° and 110° branching, respectively [1]. In this work, we propose a direction-controlled Ni SMLC to fabricate Si nanowire (NW) on insulator with various width of 76-173 nm. The UV nanoimprint lithography (UV-NIL) is used to pattern a-Si NW with width ranging from 20 nm to 126 nm. The catalyst Ni with curved edge is aligned with above a-Si NWs to define different growth direction for needle-like Si crystallites migration without colliding with side edge of patterned NWs. The thin films of a-Si (40nm) / thermal oxide (25 nm) were deposited on Si wafer by LPCVD and thermal oxidation. The a-Si film was then patterned into NWs by UV-NIL. The PR (PAK-01-200) and HSQ stamp was used to perform UV-NIL. The catalyst Ni was patterned by photolithography and lift-off process on both blanket a-Si film and patterned a-Si NWs with thinkness of 2 nm. The samples were crystallized at 550 °C in N2 ambient for 30-90 min. The annealed samples were etched in HNA solution to selectively remove the noncrystallized a-Si. For SMLC on blanket a-Si films, SEM images shows needle-like Si grain with width about 30-50 nm. We can observe that needle-like crystallites possess 180° rotation with first corner of 110°, then a turn of 70° on its growth direction. For SMLC on a-Si NWs, the nucleation seeds were initiated at the edge of deposited Ni in various width of a-Si NWs. The nucleus grain has needle shape along (111) direction to the catalyst Ni curved edge. The growth direction of crystallization front with respect to crystallization direction is designed differently. It will affect the nanostructure evolution of poly-Si or single-Si NWs. From SEM observation, the wider Si NW was formed by more nucleation seeds migration along the NW and resulted in more porosity inside the recrystallized Si. For narrower pattern, due to the small spatial confinement, the crystallization fronts were bounced between the sidewall of the NW and formed a denser recrystallied Si NW. Further, TEM and XRD will be used to examine the crystal structure of lateral induced Si NW. [1]Ahn JH, Eom JH, Ahn BT, JOURNAL OF THE ELECTROCHEMICAL SOCIETY 151, H141(2004)
9:00 PM - AA6.9
Silicon Nanowire-Based Tunneling Field-Effect Transistors on a Flexible Plastic Substrate.
Myeongwon Lee 1 , Jamin Koo 1 , Eunae Chung 1 2 , Dong-Young Jeong 1 , Sangsig Kim 1
1 Department of Electrical Engineering and Institute for Nano Science, Korea University, Seoul Korea (the Republic of), 2 Process Development Team, Memory R&D Center, Samsung Electronics, Hwasung, Gyeonggi, Korea (the Republic of)
Show AbstractIn this work, we demonstrate Si nanowire (NW)-based tunneling filed-effect transistors (TFETs) with a top-gate geometry on a flexible plastic substrate. Si NWs were prepared from a Si wafer using ion implantation and anisotropic etching process, and the NWs were then transferred onto a plastic substrate. BF2+ and As+ ions were implanted for the formation of p+ and n+ regions in the Si NWs, respectively. The channels of the TFETs consisted of Si NWs with p+/i/n+ diode structures, and the i-regions of the channels were coated with top-gated Al2O3 high-κ dielectric layers. The TFETs exhibited the modulation of the band-to-band tunneling by the biasing of gate voltage.
Symposium Organizers
Paul C. McIntyre Stanford University
Joan M. Redwing The Pennsylvania State University
Volker Schmidt Max-Planck-Institut für Mikrostrukturphysik
Silvija Gradecak Massachusetts Institute of Technology
AA8: Nanowire Growth Theory and Mechanisms
Session Chairs
Ulrich Goesele
Paul McIntyre
Thursday PM, April 16, 2009
Room 3008 (Moscone West)
2:30 PM - AA8.0
An Analytic Solution for Three-Dimensional Axisymmetric Equilibrium Crystal Shapes.
Ping Du 1 , Harris Wong 1
1 Mechanical Engineering, LSU, Baton Rouge, Louisiana, United States
Show AbstractStability and growth of nanowires depend critically on the equilibrium crystal shape. We study three-dimensional axisymmetric crystals and find an analytic solution of the Gibbs-Thompson equation. A crystal under thermodynamic equilibrium takes on a particular shape to minimize surface energy. Thus, given a surface free energy γ per unit area, one can calculate the equilibrium crystal shape. There are problems with this approach because a surface-free-energy polar plot γ = γ(θ) contains two possible singularities: the cusps that give facets on an equilibrium crystal, and the circular arcs connecting the cusps that can lead to missing orientations. The common approach of specifying the surface free energy γ = γ(θ) usually cannot handle both singularities simultaneously. We model the surface stiffness (γ + d2γ/dθ2) to avoid missing orientations. Furthermore, a facet in the surface stiffness is represented by the Dirac delta function with the weight of the delta function equal to the width of the facet. Thus, both singularities are treated precisely. This approach has been shown to work for two-dimensional symmetric [1] and axially symmetric [2] crystals. Here, we apply the surface-stiffness model to three-dimensional axisymmetric crystals and obtain for the first time an analytic solution to the nonlinear differential equation governing the crystal shape. We find that at every point on the crystal surface the chemical potential is equipartitioned between the axial and the azimuthal component. We demonstrate the model by solving two axisymmetric crystals: a cylinder and a cone. We find that for the cylindrical crystal the end surfaces have half the surface energy as the cylindrical surface, independent of the length of the cylinder. This suggests that the stability of a long cylindrical crystal with finite length is fundamentally different from that of an infinitely long cylindrical crystal. The surface energy observation can also explain why the vapor-liquid-solid mechanism for growing nanowires works.[1] Xin, T. and H. Wong, Surface Science 487, L529 (2001).[2] Du, P. and H. Wong, Scripta Materialia 55, 1171 (2006).
2:45 PM - AA8.1
Synthesis and Characterization of Silicon Nanorods in Solution.
Andrew Heitsch 1 , Colin Hessel 1 , Brian Korgel 1
1 Chemical Engineering, University of Texas - Austin, Austin, Texas, United States
Show AbstractSilicon (Si) nanorods were synthesized by an arrested solution-liquid-solid (SLS) growth process using 2 nm diameter gold (Au) nanocrystal seeds. The nanorod diameter and length was controlled by adding capping ligands, tuning the synthesis temperature, changing solvents, and adjusting the silicon to gold ratio. Average diameters ranging from 5-12 nm and lengths between 15–75 nm could be obtained. Si quantum rods with diameters less than 5 nm and an aspect ratio of 3 were also commonly observed. X-ray diffraction and high resolution transmission electron microscopy confirmed that the nanorods are composed of crystalline diamond cubic silicon, and have very few crystallographic defects with sharply defined surfaces. The Si nanorod surface chemistry was studied by X-ray photoelectron spectroscopy (XPS). A Au seed was observed at the tip of each Si nanorod, consistent with the SLS growth mechanism. These Au seeds could be etched from the nanorod tips, without compromising the crystallinity of the Si nanorods. The optical properties of the Si nanorods were studied. To our knowledge, this work provides the first example of crystalline silicon nanorod synthesis in solution with controlled diameter and length.
3:15 PM - AA8.3
Atomistic Mechanism of Catalyzed Growth of Silicon Nanowire
Seunghwa Ryu 1 , Wei Cai 2
1 Physics, Stanford University, Stanford, California, United States, 2 Mechanical Engineering, Stanford University, Stanford, California, United States
Show AbstractUnderstanding the growth mechanism of semiconductor nanowire from catalyzed droplet is important for better control of the shape and growth speed of nanowires by chemical vapor deposition through the Vapor-Liquid-Solid (VLS) process. To accurately describe the interatomic interaction between gold and silicon atoms, we developed a Au-Si binary potential based on modified embedded-atoms method (MEAM), which is benchmarked against the experimental binary phase diagram and mixing enthalpy. Advanced sampling method is employed to obtain the critical island at the liquid (Si Au alloy) - solid (silicon nanowire) interface. The dependence of the nucleation rate of the critical island on temperature and Si supersaturation is compared with experimentally observed nanowire growth rate and conditions of growth anormally (such as kinking).
3:30 PM - AA8.4
Understanding Gold Catalyzed Silicon Nanowire Growth through First Principles-based Atomistic Modeling
Gyeong Hwang 1 , Soo-Hwan Lee 1
1 Chemical Engineering, University of Texas, Austin, Texas, United States
Show AbstractThe growth direction, diameter, and surface structure of semiconductor nanowires can be controlled by varying process conditions and metal catalysts. The ability to manipulate their structural properties on the atomic scale makes semiconductor nanowires attractive for a variety of noble applications in electronics, optoelectronics, and sensors. Previous studies have suggested mechanisms underlying the metal catalyzed growth of Si nanowires, involving Si diffusion into a metal catalyst, eutectic Si-catalyst alloy formation, and Si precipitation at the catalyst-nanowire interface. However, many fundamental aspects regarding how synthesis conditions influence the nanowire growth and structure are still uncertain. In this presentation, based on first principles-based atomistic modeling we will focus on addressing the formation of silicon precipitates in a gold particle and the dependence of nanowire growth direction on the gold particle size. Our simulation results clearly demonstrate that silicon atoms preferentially remain near the gold particle surface, indicating that silicon clusters may form and grow in the surface region. The underlying reasons for the surface segregation of silicon will be discussed. We have also determined the interface structure and energetics between gold and silicon with different orientations such as <111>, <110>, <211>, and <100>. The results provide good insight into the preferred growth of silicon nanowires in the <111> direction. We will also discuss mechanisms underlying the change of growth direction from the <111> to <110> with decreasing the gold particle size.
3:45 PM - AA8.5
Nucleation of Silicon Nanowires via the VLS Mechanism: Atomic-scale Simulations.
Moneesh Upmanyu 1 , Hailong Wang 1 , Luis Zepeda-Ruiz 2
1 Engineering Division, Materials Science Program, Colorado School of Mines, Golden, Colorado, United States, 2 Chemistry, Materials, Earth and Life Sciences Directorate, Lawrence Livermore National Laboratory, Livermore, California, United States
Show AbstractWe study the VLS nucleation of silicon nanowires using large-scale atomistic simulations. Recently developed Au-Si angular embedded-atom-method potential is used to first study the size dependent shape and structure of eutectic Au-Si (5-10 nm) nanodroplets. Interplay between droplet surface curvature, structure and segregation is characterized and compared with previous studies on eutectic Au-Si thin films. Molecular dynamics simulations allow us to extract the diffusivity of the two species within the droplet, as a function of distance from the droplet surface. The equilibrated droplet structure is then relaxed on Si<111> surfaces using a semigrandconical Monte-Carlo simulation, which serves as a starting point for NW nucleation studies using short-time scale molecular dynamics. We simplify the surface reduction based on local surface chemical potential of silicon. The diffusion of silicon through the droplet allows us to construct diffusion pathways as a function of surface supersaturation, and eventually record the physics that control NW nucleation. Our results highlight the importance of the monolayer of gold on the Si<111> during early stages of growth, and the interplay between increase in droplet size and nucleation at the solid-liquid interface in setting the nanowire diameter.
4:30 PM - **AA8.6
Kinetics of Individual Nucleation Events Observed in Nanoscale Vapor-liquid-solid Growth.
Eric Stach 1 , Bong Joong Kim 1 , Jerry Tersoff 3 , Suneel Kodambaka 3 4 , Cheng-Yen Wen 3 2 , Frances Ross 3
1 School of Materials Engineering and Birck Nanotechnology Center, Purdue University, West Lafayette, Indiana, United States, 3 IBM Research Division, T.J. Watson Research Center, Yorktown Heights, New York, United States, 4 Department of Materials Science and Engineering, University of California, Los Angeles, Los Angeles, California, United States, 2 Birck Nanotechnology Center, Purdue University, west Lafayette, Indiana, United States
Show AbstractWhen considering nanowires as candidates for electronic and optoelectronic elements, a high level of control over their growth is necessary to achieve a successful manufacturing process. In particular, the reliability of nucleation is a critical roadblock that limits nanowire integration. We have therefore examined nucleation in the model system Si-Au, and here we present a quantitative analysis of both the initial transformation from solid Au to liquid eutectic and the formation of the nanowire nucleus. To model wire growth on amorphous substrates, Au is deposited onto an electron transparent SiN membrane, heated and exposed to disilane in an environmental transmission electron microscope while recording images. Video analysis shows a striking non-linearity in the growth rate of the nuclei which initially increase rapidly then slow down. We present a theoretical framework that balances the roles of supersaturation, pressure and interface energies during nucleation. Using this model, we find the critical supersaturation required for Si nucleation is independent with droplet size in systems below 12 nm diameter, but that it is strongly dependent on temperature; surprisingly, the higher the temperature, the higher the critical supersaturation. To confirm the assumption used for calculating the critical supersaturation, we closely examined all the stages of vapor-liquid-solid (VLS) process, prior to the axial growth of Si nanowires and found that the rate of Si addition to the droplet is constant over time. We use this simple observation to confirm our estimations of supersaturation at the moment of Si nucleation. We believe these results give important insight into controlling the formation of nanostructures for real-world applications.
5:00 PM - AA8.7
In-situ Electron Microscopy Study of the Nucleation and Growth of Ge and Si Nanowires.
Stephan Hofmann 1 , Renu Sharma 2 , C. Wirth 1 , John Robertson 1
1 , Cambridge University, Cambridge United Kingdom, 2 Eyring Center for Solid State Science, Arizona State University, Tempe, Arizona, United States
Show AbstractSilicon and germanium nanowires could be important constituents of future nano-electronic devices. These applications require greater control of the growth process and if possible the use of catalysis other than gold. We present a video-rateenvironmental transmission electron microscopy study of Si nanowire nucleation from Pd [1] and Ni under disilane exposure. The Pd and Ni catalyst films form silicide particles, which remain solid during nanowire nucleation and growth. A Si crystal nucleus forms by phase separation, as observed for the liquid Au–Si system, which we use as a comparative benchmark. The dominant coherent Pd silicide/Si growth interface subsequently advances by lateral propagation of ledges, driven by catalytic dissociation of disilane and coupled Pd and Si diffusion. We compare these catalyst interface dynamics to Ge nanowire growth from digermane. We derive growth rates vs temperature, pressure and diameter, to understand the growth mechanism.[1] S Hofmann et al, Nature Materials 7, 372 (2008)
5:15 PM - AA8.8
Kinetics of Au Dissolution During AuSi Formation in Vapor-liquid-solid Nanowire Growth.
Bong Joong Kim 1 , Jerry Tersoff 2 , Cheng-Yen Wen 1 3 , Eric Stach 1 , Frances Ross 2
1 School of Materials Engineering and Birck Nanotechnology Center, Purdue University, West Lafayette, Indiana, United States, 2 IBM Research Division, T.J. Watson Research Center, Yorktown Heights, New York, United States, 3 Birck Nanotechnology Center, Purdue University, West Lafayette, Indiana, United States
Show AbstractWe examine the three stages of the vapor-liquid-solid (VLS) process, prior to the axial growth of Si nanowires - the dissolution of the solid Au catalyst in the AuSi eutectic liquid, Si saturation in the liquid AuSi, and Si nucleation - using real-time in situ ultra high vacuum transmission electron microscopy. Our quantitative analyses show that the incubation time for Si nucleation linearly increases with liquid droplet radius. Dark field imaging in both plan and cross-sectional views show that the solid to liquid transition of Au particles occurs from the surface inwards. Measurements of the dissolution rate of the solid Au indicate that the solid volume linearly decreases with time. These results imply that the reaction starts spontaneously, without requiring a latency time, and that the sticking coefficient is constant during the reaction. We estimate the supersaturation at Si nucleation from the justified assumption of the steady rate of Si incorporation into the liquid droplet. We find that the calculated supersaturation values of the data from plan view and cross sectional view are very close, indicating that the liquid droplet is approximately spherical during incubation time, and we find that these values match our prior observations (Kim et al., Science, 2008). Additionally, at the end of the dissolution process there is a marked deviation from the linear dissolution rate, which we attribute to the Gibbs-Thomson effect, which we match with a simple model capturing this effect. We believe our results increase our ability to control the uniformity of nanowire nucleation and growth, particularly in the context of nanowire heterostructures.
5:30 PM - AA8.9
Thermodynamic Limitations on the Growth of Epitaxial Silicon Nanowires by the Vapor-liquid-solid Technique using SiCl4.
Sarah Eichfeld 1 , Haoting Shen 1 , Chad Eichfeld 1 , Suzanne Mohney 1 , Elizabeth Dickey 1 , Joan Redwing 1
1 Materials Science and Engineering, Penn State University, University Park, Pennsylvania, United States
Show AbstractHigh density arrays of epitaxially-oriented silicon nanowires (SiNWs) are of interest for the fabrication of radial junction solar cells and nanowire-based electronics. These structures have traditionally been fabricated on (111) Si substrates by the vapor-liquid-solid technique using Au as the catalyst and SiCl4 as the source gas. The dependence of wire growth rate on diameter has previously been investigated for this system and has been shown to exhibit behavior consistent with the Gibbs-Thomson effect. While the diameter dependence of growth rate has been investigated, the effects of gas phase chemistry on growth rate have not been examined in detail. In this study, we have investigated the effect of growth conditions on the growth rate and structural properties of SiNWs grown with SiCl4 and compared the results to predictions obtained from a gas phase thermodynamic equilibrium model of the SiCl4/H2 system. Silicon nanowire arrays were fabricated using 3 nm Au thin films deposited on (111) Si substrates in an atmospheric pressure hot-wall quartz tube reactor using SiCl4 as the source gas and either H2 or a mixture of 10% H2 in Ar as the carrier gas. For growth in an H2 carrier gas, the growth rate of the wires increased with increasing PSiCl4 at reduced partial pressures, reaching a maximum of ~ 10 μm/min at PSiCl4 of 5 Torr. Beyond this point, the growth rate decreased with increasing PSiCl4. The dependence of wire growth rate on PSiCl4 is qualitatively consistent with the amount of solid Si predicted to form at equilibrium under these conditions. The reduction in SiNW growth rate at higher PSiCl4 results from a shift in the gas phase equilibrium which leads to an increase in the chlorine containing gas phase species as the growth transitions into an etching dominated regime. A reduction in the partial pressure of H2 in the system through the use of 10% H2 in Ar as the carrier gas resulted in a decrease in the nanowire growth rate. Thermodynamic calculations showed a reduction in the SiHxCl4-x gas phase species and Si solid phase with reduced H2 partial pressure. The results demonstrate that SiNW growth from SiCl4 under these conditions is dependent on gas phase thermodynamics and the balance of the Si formation and etching reactions similar to that observed for Si thin film deposition. By varying the inlet concentrations of SiCl4 and H2 it is possible to tune the nanowire growth rate over a wide range (0.3-10 µm/min) enabling the growth of epitaxially oriented SiNW arrays with wire lengths greater than 50 µm which are of interest for the fabrication of high aspect ratio solar cell devices.
5:45 PM - AA8.10
Synthesis of Branched Epitaxial Si Nanowires Seeded in-situ by Au–Si Surface Migration.
Gregory Doerk 1 , Nicola Ferralis 1 , Carlo Carraro 1 , Roya Maboudian 1
1 Chemical Engineering, University of California, Berkeley, Berkeley, California, United States
Show AbstractThe synthesis of homo- and hetero- branched and multibranched nanowire structures by various methods makes possible the fabrication of hierarchical nanostructures with increased functionality. However, many branching NW heterostructures grown from metal catalysts require a second seeding step to place catalyst particles on the primary NW “trunk”, generally involving a removal from the growth reactor that introduces greater possibility of unwanted contamination or oxidation and increases process complexity. Moreover, independent control over primary nanowire length, branch length, and region of branching depends on the synthesis method and is often limited. We present an alternative multi-step approach where branching Si nanowire growth by the vapor-liquid-solid mechanism is seeded by liquid Au-Si alloy surface migration during an intermediate hydrogen anneal without additional gold seeding steps. Scanning electron micrographs confirm the unkinked nature of the branches, and show that the presence of hydrogen during the annealing is crucial for the growth of long, single-crystalline Si branches. Independent control of primary nanowire and branch length is demonstrated, and the region of branching is self-limited by the total amount of liquid catalyst at the primary nanowire tip. Scanning confocal Raman microscopic maps indicate the high crystallinity of the branched nanowires, while transmission electron microscopy studies demonstrate the epitaxial growth of the branches and confirm their [-111] growth direction. This strategy is versatile in that it may be extended to many materials, individually or in combination, available for VLS grown nanowires for the synthesis of tailored, hierarchical nanostructures with fundamentally novel and technologically relevant properties.
AA9: Poster Session: Semiconductor Nanowires III
Session Chairs
Friday AM, April 17, 2009
Salon Level (Marriott)
9:00 PM - AA9.1
Surface Passivation Effects in Silicon Nanowires: First-Principles Simulations.
Junwen Li 1 , Thushari Jayasekera 1 , John Mintmire 1
1 Department of Physics, Oklahoma State University, Stillwater, Oklahoma, United States
Show AbstractWe report first-principles simulation results for the electronic band structures of silicon nanowires with differing surface substituents, for systems with the nanowire aligned along the <100> and <110> bulk directions. In our presentation we discuss how different electron-withdrawing groups effect both the localization of states and the band gaps of silicon nanowires with passivation provided by different substituents. From the calculated band structures we find that the carrier effective masses of <100>-aligned silicon nanowires is much more sensitive to the choice of diameter and passivation compared to those of <110>-aligned nanowires. We also discuss transport simulations in silicon nanowires with defects. This work was supported by the US Department of Energy Grant DE-FG02-07ER46362.
9:00 PM - AA9.10
Synthesis and Characteristics of Templated Si-based Nanowires via Vapor-liquid-solid (VLS) Growth for Electrical Transport.
Jae Ho Lee 1 , Kun Hou 1 , Michael Carpenter 1 , Eric Eisenbraun 1 , Yongqiang Xue 1 , Robert Geer 1
1 College of Nanoscale Science and Engineering, University at Albany - SUNY, Albany, New York, United States
Show Abstract Nanowire surface conduction layers have been fabricated and tested based on self-assembled Si nanowires (SiNWs) synthesized via VLS processing as a template to investigate electron transport at the nanowire surface. Conduction layer formation utilized W ALD and Ni silicidation. Silicon nanowires were directly synthesized on silicon substrates via vapor-liquid-solid (VLS) growth process on a sputtered Au catalyst film on Si (100) and (111) substrates using a SiH4/ Ar mixture at 500 °C. TEM analysis confirmed grown VLS SiNWs are crystalline with a <200> growth direction. The diameters of the SiNW templates ranged from approximately 5 to 120 nm. Ni and W coatings on the nanowires were carried out for silicide formation. TEM-EDS results showed that ALD W was successfully deposited on the surface of SiNWs (~ 2 nm thickness), and that Ni silicide layer was formed on the template nanowire. W-coated VLS SiNWs and NiSi nanowires were dispensed on Au-patterned Si wafers for electrical characterization and exhibited an improvement in electrical conductivity of seven orders of magnitude compared with that of as-grown VLS silicon nanowires. This work is being expanded to further develop and exploit finite-size and quantum channel effects for ballistic transport.
9:00 PM - AA9.11
Fabrication of Large and Highly Ordered Arrays of One Dimensional Silicon Nanostructures
Tze Haw Liew 1 2 , Mohammed Khalid Dawood 2 , Omampuliyur Swaminathan Rajamouly 1 , Gabriel Meng Hian Lim 2 , Bihan Li 2 , Wee Kiong Choi 1 2 , Minghui Hong 2 3
1 Advanced Materials for Micro- and Nano-Systems Programme, Singapore-MIT Alliance, Singapore Singapore, 2 Department of Electrical and Computer Engineering, National University of Singapore, Singapore Singapore, 3 ECE-DSI Laser Microprocessing Laboratory, Department of Electrical and Computer Engineering, National University of Singapore, Singapore Singapore
Show AbstractOne dimensional (1D) Si nanostructures hold great promise for various future electronic and optoelectronic applications, including field effect transistors, field emitters, photovoltaic cells and chemical sensors. Si nanowires are mostly grown using the vapor-liquid-solid (VLS) mechanism, in which the nanowire grows from an Au/Si catalyst droplet during a chemical vapor deposition process. However, highly ordered arrays of Si nanowires can hardly be grown by using this VLS method, even when combined with a “top-down” approach such as lithography. Moreover, only cylindrical wires can be grown by using this VLS technique. One dimensional Si nanostructures with a different cross-sectional shape could be desirable in certain applications. For instance, fin-like Si nanostructures could find applications in metal-oxide-semiconductor field effect transistors as better control of the channel current can be achieved than in planar structures. In this study, a new technique for creating large, highly ordered arrays of 1D Si nanostructures with various cross-sectional shapes, array symmetries, and densities has been developed. Interference lithography was used to pattern the photo resist layer which was coated on the Si substrate. Two exposures were carried out at a 90° relative orientation (β = 90°), with half angle between the two incident beams (θ) fixed at 20°. This was followed by an oxygen plasma etch to remove the residual unexposed photo resist. A layer of Au was then thermally evaporated onto the substrate. The samples were then immersed in a mixture of HF, H2O2 and H2O at room temperature. The Au was subsequently removed using a standard Au etchant. By using the process described above, a highly ordered array of Si nanowires with a square symmetry was obtained over a large area (1 cm2) on Si substrate. When the process outlined above was carried out with β changed to 30° during interference lithography, an array of Si nanofins with body-centered rectangular symmetry was obtained. Wires with oval cross sections can also be created by carrying out the two exposures at different θ. By fixing θ1 at 20° and θ2 at 10°, oval wires with simple rectangular symmetry can be fabricated. The densities of the created 1D Si nanostructures can also be varied via a manipulation on θ or a change in the wavelength of the laser source. By changing θ from 5.5° to 19° while fixing the wavelength at 325 nm, the density of nanowires was successfully tuned from 3.5x105 mm-2 to 4x106 mm-2. We demonstrates that via a combination of interference lithography and catalytic etching, a large and perfectly ordered array of 1D Si nanostructures with various cross-sectional shapes, densities, and array symmetries can be fabricated.
9:00 PM - AA9.12
Exploiting Multifunctions for Membrane of Self-Assembled Nanowires
Feng Chen 1 , Myeongsoon Lee 1 , Helen Duhart 2 , Syed Ali 2 , Mourad Benamara 3 , Yong Ding 4 , Zhonglin Wang 4 , Z. Ryan Tian 1
1 Chemistry and Biochemistry, University of Arkansas, Fayetteville, Arkansas, United States, 2 Neurochemistry Laboratory, National Center for Toxicological Research, Food and Drug Administration, Jefferson, Arkansas, United States, 3 , Institute for Nanoscale Science and Engineering, Fayetteville, Arkansas, United States, 4 School of Material Science & Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States
Show AbstractHere we report a new study on a multifunctional membrane of cation exchanged titanate nanowires (NW). Selected-area electron diffraction and X-ray diffraction studies confirmed a layered lattice structure for the NWs, and thermogravimetric analysis revealed minor desoprtions of physicsorbed water upon heating. On the NW-membrane, the point-of-zero-charge was estimated, while the biocompatibility was evaluated by growths of PC-12 neural cells. The cytochrome c (a heme protein) immobilized on the membrane-modified ITO electrode shows a differentiate-able formal potential for detecting the cyt.c at pmole-level by cyclic voltammetry. The NW-modified glassy carbon electrode was successfully used for sensing dopamine (a neurotransmitter) at μM-level using differential pulse voltammetry. These novel properties have suggested that this versatile NW-membrane is indeed thermally and chemically stable, biocompatible, cation-exchangeable, large in surface area, useful in tissue engineering and biosensing, to name but a few.
9:00 PM - AA9.13
Non-Resonant Two-Photon Absorption-Induced Photoluminescence Study on ZnO Nanostructures Epitaxially Grown on Si (100) Substrates by Chemical Vapor Deposition Method.
Zhuo Chen 1 , Tom Salagaj 2 , Christopher Jensen 2 , Karlheinz Strobl 2 , Vitchanetra Hongpingyo 3 , Boon Ooi 3 , Mim Nakarmi 1 , Kai Shum 1
1 Physics, Brooklyn College - CUNY, Brooklyn, New York, United States, 2 , First Nano, a Division of CVD Equipment Corp., Ronkonkoma, New York, United States, 3 Electrical & Computer Engineering, Lehigh University, Bethelehem, Pennsylvania, United States
Show AbstractIn this paper, we will report on the experimental results of non-resonant two-photon absorption-induced photoluminescence in various ZnO nanostructures from 9 K to room temperature. These ZnO nanostructures such as nanowire-networks and vertical nanorods were epitaxially grown on pre-seeded Si (100) substrates by Chemical Vapor Deposition (CVD) method with a mixed ZnO-powder/C-powder solid source. Crystalline ZnO seeds were prepared and controlled by the rapid thermal annealing (RTA) treatment of e-beam deposited amorphous ZnO thin films with various thicknesses.
9:00 PM - AA9.14
Galvanomagnetic Properties of Single Nanowires Prepared by Template Method.
Lucian Ion 1 , Stefan Antohe 1 , Elena Matei 2 , Ionut Enculescu 2 , Marian Sima 2 , Monica Enculescu 2 , Reinhard Neumann 3
1 Physics, Universitatea din Bucuresti, Magurele-Ilfov Romania, 2 , National Institute for Materials Physics, Magurele-Ilfov Romania, 3 , GSI, Material Forschung, Darmstadt Germany
Show AbstractSome of the most used templates employed for fabricating metallic or semiconductor nanowires are the ion track nanoporous membranes. A major advantage of such templates is given by the possibility of independently choosing the number of pores and their size and morphology. This allows the fabrication of templates with characteristics suited to the aim of the research (high pore density membranes for the cases where a larger amount of material is needed, or single pore membranes for the cases where one intends to perform measurements on individual nanowires).We employed single pore membranes (obtained by irradiation with a single swift heavy ion in the UNILAC accelerator at GSI Darmstadt and subsequent etching) for the fabrication and characterization of individual nanowires from the point of view of transport measurements in magnetic field. The morphological, structural, compositional and optical properties of the nanowires were measured on high density arrays of nanowires. We characterised both metallic nanowires such as multilayered Cu/Ni and semiconductor nanowires such as CdTe or ZnO.For filling the single pore and thus fabricate the wire we used electrochemical deposition. The effect of preparation conditions such as composition of the electrochemical bath, presence of additives, working temperature and overpotential on the structural, morphological, optical and galvanomagnetic properties of the nanowires was investigated.
9:00 PM - AA9.15
ZnO/CdSe Core/shell Nanowire Arrays for Photovoltaic Applications.
Haojun Zhu 1 , Quan Li 1
1 Physics, The Chinese University of Hong Kong, Shatin, New Territory, Hong Kong, China
Show AbstractNanostructure based photovoltaic applications have aroused much interests in recent years. Among various possible configurations, conducting oxide nanostructure networks loaded with light absorbing molecules and/or particles serve as one of the most promising candidates for novel type of photovoltaic devices. In this study, we demonstrate ZnO/CdSe core/shell nanowire arrays on ITO substrate. The ZnO nanowire arrays are fabricated using two different methods, i.e., thermal evaporation and thermal decomposition of Zn(Ac)2 aqueous solution, by which we can achieve ZnO nanowire arrays with different defect density levels. The polycrystalline CdSe layer are deposited on the ZnO nanowire surface via thermal chemistry method, by which we can control the both the grain size and the thickness of the CdSe. The optimum CdSe grain size and its layer thickness have been identified in order to maintain the balance between the light absorption and the carrier transport. The optical and photoelectrical properties of the dry ZnO/CdSe (on ITO) photoelectrode have been measured, and the photovoltaic cells have been assembled based on these nanostructured hierarchy architectures. The conversion efficiencies of the cells with different material parameters are compared and the limitations to the efficiency are discussed.
9:00 PM - AA9.16
Ga Doped ZnO Nanowire Arrays on Conducting Substrates for Nanostructured Solar Cell Applications.
Haojun Zhu 1 , Minjie Zhou 1 , Quan Li 1
1 Physics, The Chinese University of Hong Kong, Shatin, New Territory, Hong Kong, China
Show AbstractThe ordered ZnO nanowire arrays on conducting substrates may serve as effective electrode for nanostructured solar cells (e.g dye or quantum dots sensitized solar cells). Nevertheless, the undoped ZnO could be highly resistive as its native defects (such as oxygen vacancies) are not efficient donors. In fact, the oxygen related defect centers are detrimental to the device performance by acting as non-radiative recombination centers for the photo generated electrons and holes. Substitutional doping of ZnO with group III elements can improve its conductivity and the Al doped ZnO thin film has been widely used in the Cu(InGa)Se2 thin film based solar cells. In the present study, we demonstrate the growth of Ga doped ZnO nanowire arrays on conducting substrates including high-doped Si and ITO. We have found that the Ga incorporation plays an important role in the resulted nanowire morphology, size, and optical properties. In particular, Ga doping at low concentration effectively suppresses the native oxygen defects in the ZnO nanowires, while higher concentration results in additional defect centers, which lead to defect luminescence at ~650 nm. The electrical behaviors of the doped nanowires are compared with the undoped samples based on the transport properties measured from a number of individual nanowires, from which the Ga doping level is semi-quantitatively analyzed.
9:00 PM - AA9.17
Surface Plasmon Enhanced Yellow-green Light Emission of ZnO Nanorod Arrays Using Ag Island Films
Chin-An Lin 1 , Cheng-Ying Chen 1 , Jr-Hau He 1
1 , Institute of Photonics and Optoelectronics & Department of Electrical Engineering National Taiwan University, Taipei Taiwan
Show AbstractZnO is regarded as a promising material with luminescence, electric conductivity, piezoelectricity and optical transparency.[1] Among various emerging 1D nanomaterials, the aligned ZnO nanorod (NR) arrays is a potential material well-known for its wide band gap (3.30 eV), large excitation binding energy (60 meV) at room temperature, excellent chemical stability and practical applications including sensing,[2] light emitter,[3] and field emission.[4] Several methods have been developed to grow ZnO nanostructures, including metal organic chemical vapor deposition,[5] vapor-liquid-solid process,[6] thermal evaporation,[7] and chemical solution synthesis.[8] Among them, the chemical solution synthesis provides advantages, such as low temperature fabrication, large area growth and high growth rate. Especially, well-aligned and high quality ZnO NR arrays can be grown on any substrates.Surface Plasmon (SP) resonance was widely used to enhance the optical properties. For examples, Okamoto et al. enhanced significantly the PL intensity of the internal quantum efficiency of InGaN quantum wells by coating silver layer.[9] Visible light emission of ZnO thin films was greatly improved via coupling SP of Ag islands.[10] In this study, based on the ZnO NRAs/Ag/Si structure using low-temperature hydrothermal method, the investigation on the effect of interface morphology on the enhancement of SP-mediated emission becomes possible without altering the crystal quality. The ratio of visible emission to UV emission can be increased by over 30 times via coupling with SP. To examine crystal quality and chemical information of the surface of ZnO NRAs, X-ray diffraction and X-ray photoelectron spectroscopy have been performed. The use of SPs paves the way for further development of the ZnO NRA-based light emitting devices. Reference[1]U. Ozgur, Y.I. Alivov, C. Liu, A. Teke, M.A. Reshchikov, S. Dogan, V. Avrutin, S.J. Cho, H. Morkoc, J. Appl. Phys. 98 041301 (2005)[2]J.H. He, Y.H. Lin, M.E. McConney, V.V. Tsukruk, Z.L. Wang, G. Bao, J. Appl. Phys. 102 084303 (2007)[3]J.H. He, J.H. Hsu, C.W. Wang, H.N. Lin, L.J. Chen, Z.L. Wang, J. Phys. Chem. B 110 50 (2006)[4] X. Bai, E.G. Wang, P. Gao, Z.L. Wang, Nano Lett. 3 (2003) 1147[5]J. Zhong, S. Muthukumar, Y. Chen, Y. Lu, H.M. Ng, W. Jiang, E.L. Garfunkel, Appl. Phys. Lett. 83 3401 (2003)[6]H.Y. Y. Wu, M. Huang, B. Messer, J. Song, P. Yang, Chem. Eur. J. 8 1260 (2002)[7]R.C. Wang, C.P. Liu, J.L. Huang, S.J. Chen, Appl. Phys. Lett. 86 251104 (2005) [8] L. Guo, Y.L. Ji, H. Xu, P. Simon, Z. Wu, J. Am. Chem. Soc. 124 14864 (2002)[9]K. Okamoto, I. Niki, A. Shvartser, Y. Narukawa, T. Mukai, A. Scherer, Nat. Mater. 3 601 (2004)[10]P. Cheng, D. Li, Z. Yuan, P. Chen, D. Yang, Appl. Phys. Lett. 92 041119 (2008)
9:00 PM - AA9.18
Electrical and Optoelectronic Characterization of a ZnO Nanowire Contacted by Focused-Ion-Beam-Deposited Pt
Cheng-Ying Chen 1 , Kun-Tong Tsai 1 , Pei-Hsin Chang 1 , Jr-Hau He 1
1 , National Taiwan University , Taipei Taiwan
Show AbstractDue to high surface-to-volume ratio of nanowires, significant progress has been made in the fabrication of devices based on ZnO nanostructures. To form low-resistance Ohmic contacts on ZnO materials, focused ion beam (FIB), a rapid and flexible method, has widely been utilized to deposit Pt metal at room temperature on n-type ZnO nanowire-based nanodevices [1-4]. Although the transport properties of the FIB-deposited Pt (FIB-Pt) contacts to ZnO nanowires are not addressed, it is indisputable that contact resistances significantly influence electrical transport measurements of ZnO nanowires. Taking the previous studies into account, the measured resistivities of ZnO nanowires vary widely (from 1e-3 to 1e5 Ωcm) [5]. The variation is especially pronounced for the diameters below 100 nm. Since most measured data are extracted from two-probe devices, the measured resistances are contributed from the resistances of the nanowires as well as from the contact resistances. However, possible influences from the contacts can be excluded from the transmission line method (TLM) measurements.Photoconductive gain, one of the most important physical parameters determines the photocarrier collection efficiency [6]. Recently, the ZnO NW with Ti/Au contacts using e-beam deposition with post-annealing has been found to reveal ultrahigh gain (~1e8) [7]. However, there has been few quantitative study in the photoconductivity of ZnO nanowires with FIB-Pt contacts.In this work, we report on the transport properties of single ZnO nanowires measured as a function of the length/square of radius ratio via TLM. Multiple contacts are applied to single nanowire which enabled the investigation of the uniformity of the conducting channel. The specific contact resistance of the FIB Pt contacts to the ZnO nanowires is determined as low as 1.1e-5 Ωcm2. The resistivity of the ZnO nanowires is measured to be 2.2e-2 Ωcm. ZnO nanowire-based UV photodetectors contacted by the FIB-Pt with the photoconductive gain as high as ~108 have been fabricated and characterized. References[1]He J H, Lin Y H, McConney M E, Tsukruk V V, Wang Z L and Bao G, 2007 J. Appl. Phys. 102 084303[2]Xu C, Youkey S, Wu J and Jiao J 2007 J. Phys. Chem. C 111 12490 [3]Zhu R, Wang D Q, Xiang S Q, Zhou Z Y and Ye X Y 2008 Nanotechnology 19 5 [4]Lao C S, Liu J, Gao P X, Zhang L, Davidovic D, Tummala R and Wang Z L 2006 Nano Lett. 6 263 [5]Schlenker E, Bakin A, Weimann T, Hinze P, Weber D H, Golzhauser A, Wehmann H H and Waag A 2008 Nanotechnology 19 365707 [6]Razeghi M and Rogalski A 1996 J. Appl. Phys. 79 7433 [7]Soci C, Zhang A, Xiang B, Dayeh S A, Aplin D P R, Park J, Bao X Y, Lo Y H and Wang D 2007 Nano Lett. 7 1003
9:00 PM - AA9.2
Where do Nanowires Grow?
Joshua Halpern 3 1 , Gary Harris 2 , Maoqi He 3
3 Howard/Hopkins/PGCC Partnership for Research and Education in Materials, Howard University, Washington, District of Columbia, United States, 1 Chemistry, Howard University , Washington, District of Columbia, United States, 2 Howard Nanoscale Science and Engineering Facility, Howard University, Washington, District of Columbia, United States
Show AbstractOver the last decade our laboratory has grown a variety of nanowires including GaN, InN, SiC and Si using both catalytic and non-catalytic methods. Nanowire growth occurs at significantly lower temperatures than thin film growth. The limiting step appears to be formation of small "seed" nanowires, from which longer nanowires grow rapidly replicating the structure of the "seed". The width of the nanowire does not change. In the case of biphasic GaN nanowires, the complex structure of the wire is preserved along the entire length. Nanowire growth can be efficiently started by roughening the surface of the underlying Si substrate (SiC, Si) as well as depositing catalysts on the surface. Wire growth on rough edges of substrates has also been observed. It is argued that the growth process is selecting those crystal faces for which the activation energy for precursor decomposition is lowest. Identification of these crystal faces by analysis of the nanowire crystal stucture can provide important information for thin film growth in general.
9:00 PM - AA9.20
Cellular Level Biocompatibility and Biosafety of ZnO Nanowires.
Zhou Li 1 2 , Zhonglin Wang 1
1 Material Science and Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States, 2 Department of Advanced Material and Nanotechnology, Peking University, Beijing, Beijing, China
Show AbstractWe report the first cellular level study on the biocompatibility and biosafety of ZnO nanowires (NWs). Two different cell lines were used as biological model. The activity of the mitochondrial enzyme succinate dehydrogenase (SDH) in these cells which were treated with ZnO NWs were measured and standardized. Hela cell line showed a complete biocompatibility to ZnO nanostructures from low to high NW concentrations beyond a couple of production periods. The L929 cell line showed a good reproduction behavior at lower NW concentration, but when the concentration was close to 100 μg/ml, the viability dropped to ~ 50%. We also cultured Hela cells on the ZnO nanowires. The figures show that the Hela cell keep alive on the top of the ZnO NWs. Furthermore, some NWs were phagocytosed by Hela cells without external force or inducement. Our study shows the biocompetability and biosafety of ZnO NWs when they are applied in biological applications at normal concentration range.[1] For more information: http://www.nanoscience.gatech.edu/zlwang/
9:00 PM - AA9.21
Synthesis of Cu-doped TiO2 Nanorods Showing Ferromagnetic Properties
Minkyu You 1 , Yun-Mo Sung 1
1 Materials Sci. & Eng., Korea University, Seoul Korea (the Republic of)
Show AbstractDilute magnetic semiconductors (DMS’) have shown great potential owing to their capabilities of rapid signal transduction and data storage at the same time. Attention has been paid to synthesize DMS’ by doping relatively wide band gap semiconductors such as ZnO, GaN, GaAs, ZnSe, InAs and TiO2 with transition metals like Fe, Ni, Co, Mn and Cu. Among them, transition metal doped TiO2 has attracted a great deal of attention because it reveals ferromagnetism at room temperature. The first DMS TiO2 was reported by Matsumoto et al. and they showed that cobalt-doped TiO2 thin films hold ferromagnetism above 300 K. After this report, there have been many studies to dope TiO2 thin films with other elements and also, some efforts to obtain DMS TiO2 nanowires or nanorods have been attempted.We, for the first time, successfully doped TiO2 nanorods with Cu ions. Using Cu ions as a dopant instead of other elements gives several merits to DMS materials. Cu ions have lower vacancy formation energy than other dopants and thus they enable semiconductors to form vacancies more easily. These vacancies help structure relaxation in DMS’ and thus the magnetic properties can be enhanced compared to other dopant-bearing systems. And no consideration related to the interaction between magnetic materials is needed because no ferromagnetic elements exist in our system. Cu-doped colloidal TiO2 nanorods having anatase structure were synthesized via thermally induced crystal growth processes using titanium chloride as a titanium precursor and a mixture of oleic acid and oleylamine as surfactants, respectively. The doping concentration of Cu was investigated using energy dispersive X-ray spectroscopy (EDS) and inductively coupled plasma (ICP) analyses. X-ray diffraction (XRD) patterns gave decisive evidence that the lattice Ti atoms were substituted by Cu atoms. The lattice parameters of doped-TiO2 nanorods increased monotonously with Cu content, which indicates that increasing amount of Cu atoms took part in the network of host TiO2. The investigation of magnetic property of the samples was performed using vibration sample magnetometry (VSM), which indicates that Ti1-xCuxO2 nanorods have ferromagnetic ordering at room temperature (300 K). The saturation magnetization (Ms) and coercivity (Hc) were varied according to Cu content in TiO2 nanorods. Also, the magnetic properties were enhanced according to the aspect ratio of nanorods most possibly arising from the increase in shape anisotropy.
9:00 PM - AA9.22
Controlled Selective Growth of ZnO Nanorod Arrays for New-type CIGS Solar Cell Application.
Ye Sul Jeong 1 , Kyun Ahn 1 , Je Hoon Jeon 1 , Se Young Jeong 1 , Jong Pil Kim 2 , Chae Ryong Cho 1
1 nanoscience and nanotechnology, pusan national university, Busan Korea (the Republic of), 2 , Busan center, Korea Basic Science Institute, Busan Korea (the Republic of)
Show AbstractWe report the structural and optical properties of ZnO nanorods grown by a low-temperature aqueous solution technique buffer layer based on various substrates (GaN, Glass and Al2O3) with Al doped ZnO(AZO) for new-type CIGS solar cell application. The AZO buffer layers were deposited by using RF sputtering system at room-temperature. and the films were post-annealed upto 550°C. Scanning electron microscope (SEM) and X-ray diffraction (XRD) was used to examine surface morphology, thickness and structure properties of the samples. The size, morphology and structure of ZnO nanorods were investigated by transmission electron microscopy (TEM) equipped with selected area electron diffraction (SAED). In addition, the room-temperature photoluminescence (PL) was used to characterize the optical properties of the as-grown ZnO nanorod arrays. The deposition temperature and the type of substrates for the growth of buffer layer strongly influence the density and alignment of the ZnO nanorods. From the detailed analysis, we could know that the ZnO nanorods were single crystalline of a hexagonal phase and with growth along the [001] direction. The room-temperature PL spectra from ZnO nanorods showed a strong band-edge emission with a very weak deep-level emission. Those results certificate the potential application of ZnO-nanorod array for efficient CIGS solar cells with new novel structure.
9:00 PM - AA9.23
Resonant Raman Scattering Characterization in ZnO Nanowires: Temperature and Quasimode Effects.
Esther Alarcon-Llado 1 , Ramon Cusco 1 , Jordi Ibanez 1 , Luis Artus 1 , Sonia Estrade 2 , J Daniel Prades 2 , Albert Cirera 2 , Jordi Arbiol 2 , Francesca Peiro 2 , J Ramon Morante 2
1 , Inst. Jaume Almera (C.S.I.C.), Barcelona Spain, 2 EME/CerMAE/IN2UB,Dept. d'Electrònica, Universitat de Barcelona, Barcelona Spain
Show AbstractNanostructured ZnO materials have recieved broad attention due to their promising applications in high-performance electronics, optics and photonics arising from their crystalline structure and morphology. Besides the practical importance of the great variety of growth morphologies[1] such as nanobelts, nanohelices, nanowires etc[2], their study is also of benefit for understanding fundamental phenomena in low-dimensional systems[3]. Raman spectroscopy is widely used to characterize and study the optical and vibrational properties of ZnO-based structures. In the case of nanostructures, peak shifts and broadenings in the Raman spectra provide valuable information about strain, composition, temperature and confinement.Here we investigate the resonant Raman spectra of ZnO nanowires (325-nm excitation) in order to explain the frequency position of the different features that appear in the Raman spectra of the as-grown nanostructures. The nanowires were grown via an Au-catalized vapor-phase carbothermal transport process. TEM measurements confirmed the presence of (0001)-oriented ZnO nanowires with mean radius r~40 nm and lengths up to 30 μm. For these sizes, phonon confinement effects are not expected. We obtained Raman spectra of the randomly aligned nanowires at different excitation powers. In all the spectra we observed the characteristic resonant LO mode and, in the spectra taken at high power excitation, a weak E2h mode was also detected. While the E2h mode redshifts ~2 cm-1 relative to bulk ZnO, the peak at the LO region shifts upward (downward) by ~ 22 cm-1 (~8 cm-1) with respect to the bulk A1(LO) [E1(LO)] mode. We show that temperature effects do not fully explain these frequency shifts. It should be noted that in these low-dimensional randomly oriented system, the incidence direction of the excitation light relative to the crystal axes is not fixed. If the crystal high-symmetry axes of the nanowires are tilted relative to the phonon propagation direction (i.e. the excitation light direction in backscattering measurements) a mixing between polar modes occurs, giving rise to quasimodes; the frequency of both the TO and LO quasimodes depends on the tilting angle following Loudon's model[4]. Our experiments show that the observed Raman frequency shifts are well accounted for by the combination of both temperature and quasimode effects. We also demonstrate that the analysis of the Raman spectra yields temperature estimates that are off by about 170K if the quasimode mixing effect is ignored. [1]JD Prades et al. J. Phys Chem. C 112,14639(2008)[2]ZL Wang Mat. Today 26-33 (2004)[3]JD Prades et al. Nanotechnol. 19,465501(2008)[4]E Alarcon-Llado et al. J. Phys Condens Matter 20,445211(2008)
9:00 PM - AA9.24
Fabrication of ZnO Nanowire Cathode Array by MEMS Technology and the Field Emision
Tae-Hwan Jung 1 , Seok-Jin Lee 1 , Soon-Il Kwon 1 , Seung-Beum Park 1 , Dong-Gun Lim 1 , Jae-Hwan Park 1
1 Electronics, Chungju National University, Chungju Korea (the Republic of)
Show AbstractOne-dimensional semiconducting nanomaterials have attracted considerable interest for their potential as the building blocks for fabricating various nanodevices. In this work, we present a mothod to fabricate a field emision array (FEA) of ZnO Nanowirew by using MEMS process and the field emision properties from the nanowire FEA. Firstly, trenches of periodic circle (~200um) array (pitch ~500um) were fabricated by an ICP-RIE MEMS process. By deposition of Au catalyst only inside the trench hole, SnO2 nanowires could be grown vertically on the Si trench hole. The morphological details of microstructures of ZnO nanowire FEA and the field emision properties (threshold field, current density and brightness) will be presented. In this work, we are to suggest the feasibility of nanowire-based FED device.
9:00 PM - AA9.25
Growth and Electrical Properties of Organic Nanowires using Charge Transfer Complexes.
Masatoshi Sakai 1 , Yoshihiro Tada 1 , Yuya Ito 1 , Tomoki Takahara 1 , Masakazu Nakamura 1 , Kazuhiro Kudo 1
1 Electrical and Electronic Engineering, Chiba University, Chiba Japan
Show Abstract Organic charge transfer complexes are promising candidate for use in organic electronic devices because of their various electrical properties from metal to semiconductor and insulator. Metallic or semiconducting conductivity of a charge transfer complex is mainly determined by crystal structure, degree of charge transfer and electron correlation. By using charge transfer complexes, we have developed an organic nanochannel field effect transistor (FET) which has an organic metal / organic semiconductor / organic metal structure in a single wire. An organic nanowire transistor which consists of organic Mott insulator was also developed as a phase transition device. Tetrathiafulvalene (TTF) - 7,7,8,8-tetracyanoquinodimethane (TCNQ) charge transfer complex which has been well known as an one-dimensional organic metal was used as an metallic wire for the organic nanochannel transistor whose semiconducting channel consists of TCNQ nanocrystal. The nanotransistor was formed by a self-aligned growth under an applied external electric field. Two organic conducive wires which begin to grow from biased Au electrodes grow along electric field, and spontaneously make a connection at each growth tip. The growth direction of organic conductive wire is in accordance with crystallographic b-axis of TTF-TCNQ. A connected wire has an nanometer-scale active layer of FET consisting of TCNQ crystal because of nonstoichiometric growth at their tip. A connected wire exhibited n-channel FET characteristics, and the channel length is estimated to be 400-700 nm. Triclinic crystal of bis(ethlenedithio)tetrathiafulvalene (BEDT-TTF) - TCNQ which is known as a Mott insulator grows on the thermally oxidized Si substrate by a solution process, and (100) plane of grown crystals was parallel to substrate surface. The long axis of needle-like crystals of (BEDT-TTF)(TCNQ) bridged source and drain electrodes. The oriented wire-like crystals exhibited ambipolar FET characteristics. In addition, temperature dependence of field effect electron mobility indicated the abrupt increase at 280K which is lower than metal-insulator transition temperature (330K) of the bulk (BEDT-TTF)(TCNQ). On the other hand, temperature dependence of hole mobility did not indicate any anomaly.
9:00 PM - AA9.26
Fabrication and Characterization of ZnO Nanorods Based p-n Junction Diodes
Sang Hoon Kim 1 , Yong Kyu Park 1 , Jeong Hyun Kim 1 , Jin Soek Kim 1 , Yoon-Bong Hahn 1
1 School of Semiconductor and Chemical Engineering, Chonbuk National University, Jeonju Korea (the Republic of)
Show AbstractAligned n-ZnO nanorods were synthesized on p-GaN coated Al2O3 substrates using a simple chemical solution method at 70 oC. The as-grown ZnO nanorods on GaN/Al2O3 substrate exhibited a single crystalline nature and a uniform geometry along their preferential growth direction (c-axis). The heterojunction behaviour of the as-grown p-n junction diodes was examined at different temperatures over the range of 20-150 oC. The p-n diode exhibited a low turn-on voltage (~0.62 V)and very low leakage current at room temperature. At higher temperatures the diode showed an excellent rectification behavior with a high current. The current flow through the device is dominated either by tunneling, recombination-tunneling, and space-charge-limited current conduction depending on applied voltages at all temperatures. Our approach to fabricate the low-cost and high-quality nanostructured p-n heterojunctions are potentially useful for realizing efficient LEDs, solar cells, and other optoelectronic nanodevices.
9:00 PM - AA9.27
Optical and Electrical Properties of TiO2 Nanotubes Grown by Titanium Anodization
Yahya Alivov 1 , Vladimir Kuryatkov 1 , Mahesh Pandikunta 1 , Gautam Rajanna 1 , Sergey Nikishin 1 , Mark Holtz 2 , Zhaoyang Fan 1
1 Nano Tech Center and Department of Electrical and Computer Engineering, Texas Tech University, Lubbock, Texas, United States, 2 Nano Tech Center and Department of Physics, Texas Tech University, Lubbock, Texas, United States
Show AbstractTitanium dioxide (TiO2) nanotubes (NTs) are prospective materials for dye-sensitized solar cells (DSSC), photocatalysis, and bio- and chemical sensors due to large surface to volume ratio. For DSSC application, the crystal quality of the nanotube is critical for charge carrier transport and collection. There has been little research on the optical properties of TiO2 NTs. We report the study of PL and CL properties of TiO2 NT, obtained by electrochemical anodization of Ti foils and sputtered Ti films. The TiO2 NT are characterized by resistivity studies using current-voltage (I-V) measurements and x-ray diffraction (XRD). TiO2 NT were grown in ammonium fluoride (NH4F) in glycerol electrolyte as a function of NH4F concentration (0.1-0.7%), applied bias voltage (10-120 V), and time (2-72 h). The diameter and depth of the NT depend on the growth conditions and vary in the range 10 – 220 nm and 0.4 – 10 micrometer, respectively. X-ray diffraction (XRD) characterization shows amorphous structure for all as-grown samples, which start crystallization with thermal annealing and transform first to anatase phase at 400 ○C with (101) diffraction at 2Θ=25.3○, and then to rutile phase at temperatures above 600 ○C with (110)diffraction at 2Θ=27.4○. Based on CL measurements, we report for the first time that samples under special growth and thermal process conditions show dominant emission at ~ 3.23 eV. This is attributed to band edge emission of anatase TiO2 NT from comparison with reflectance measurements. Other samples reveal broad defect related emission, extending from 1.8 to 2.8 eV, observed in room temperature CL and cryogenic PL spectra. It is found that the emission intensity strongly depends on the bias during electrochemical growth , and no significant dependence was observed on NH4F concentration. I-V characterization of the NT showed that resistivity changed by more than four orders of magnitude, depending on the growth conditions, and greatly influencing performance of fabricated DSSC devices. These results will be discussed in this presentation as well as the origins of luminescence of TiO2 NT.
9:00 PM - AA9.28
Photoconductivity Dependence on the Background Oxygen Pressure in Nanostructured ZnO UV Sensor.
Shiva Hullavarad 1 , Nilima Hullavarad 1
1 Office of Electronic Miniaturization, University of Alaska Fairbanks, Fairbanks, Alaska, United States
Show AbstractThe miniaturization of future electronic platforms demands the high density integration in order to achieve high speed, low power consumption and less space. This endeavor demands a new outlook in realizing the devices by employing the techniques of nanoscale electronics at the basic atom or cluster of atoms scale as building blocks. The present work describes the photoconductivity dependence in ZnO nanostructure UV sensor. ZnO nanostructures were synthesized by direct vapor phase (DVP) technique and consist of nanowires of dimension 30-65 nm in diameter and 5 microns in length. ZnO nanostructures were characterized using Photoluminescence (PL) and X-ray Photoelectron Spectroscopy (XPS) measurements. Detectors were fabricated on a glass plate and linear silver electrodes of dimension 1mm x 2cm with a gap of 80 microns. The photo response measurements were carried out using Xe arc lamp, Thermo Oriel monochromator set up and a commercial UV detector read out by Solartech, Inc. The current-voltage characteristics were carried with and without UV illumination under oxygen levels of 7.6 X 10E2Torr and under vacuum. The dark and photo current ratio of nanostructured ZnO UV detector is found to vary with oxygen pressure. The clear indication of the UV to visible rejection ratio is evident for the depleted oxygen ambient. The photoresponse measurements indicated persistent conductivity trend for depleted oxygen conditions. The persistent conductivity is explained on the theoretical model that proposes the change of neutral anion vacancy to charged state.
9:00 PM - AA9.29
Role of Lattice Defects and Impurities in ZnO Nanowires Grown at Low Temperature.
Athavan Nadarajah 1 , Robert Word 1 , Rolf Koenenkamp 1
1 Physics, Portland State University, Portland, Oregon, United States
Show AbstractZnO has received increased interest in the last decade due to its novel electronic and optical properties, as well as its excellent chemical stability. In the past years our work has concentrated on electrical and optical applications of ZnO nanowires grown at low temperature. Here we report a detailed study of native lattice defects in nanowires grown from solution at T=80oC, the incorporation of impurities introduced during the growth process, and the annealing behavior of both types of defects. We have used photoluminescence, electroluminescence and transmission and scanning electron microscopy to investigate the activation of defects and their electrical, optical and magnetic properties. The doped ZnO nanowires were electrochemically grown on transparent fluorine-doped SnO2/glass (FTO) in oxygen-saturated aqueous solutions at 80oC with KCl as a supporting electrolyte, and ZnCl2 and other solvable chloride compounds as precursors for the nanowires and impurities. We have performed the room-temperature electroluminescence from these annealed and as-grown ZnO nanowires in a hybrid p-n junction arrangement. It consists of a hole-conducting polymer and ZnO nanowires grown on FTO. The obtained electroluminescence spectra showed an excitonic emission peak and a broad emission band in the visible range. The visible broad emission band is due to surface defects or the recombination via distributions of different defect sates. The electroluminescence spectra of the annealed ZnO nanowires clearly reveal that the defect-related peak shifts from 620nm to a higher wavelength value that depends on the type of dopant, while unannealed samples show no shifts in wavelength. Temperature-dependent photoluminescence measurements were carried out on as-grown doped and un-doped ZnO nanowires in the range 4K < T < 300K using the 325nm line of a HeCd laser. The comparison of spectra obtained before and after thermal annealing indicates that the electrical and optical activity of impurities changes dramatically upon annealing. Strong blue and ultra-violet photo- and electroluminescence are obtained from Al-doped samples annealed at 380oC. As-grown and annealed nanowires were also studied by transmission electron microscopy (TEM) in bright and dark field imaging methods. The results show the occurrence of stress related areas in as-grown wires that are likely due to high vacancy concentrations. The high resolution TEM work also indicates that the lattice strain transforms into linear dislocations upon annealing. We also carried out vibrating sample magnetometer (VSM) measurements on transition-metal-doped ZnO nanowires. Preliminary results indicate a weak ferromagnetic response in Cu, Co, Mn and Al-doped nanowires with a strong dependence on processing conditions and on the surface structure of the nanowires.
9:00 PM - AA9.30
Highly Sensitive Hydrogen Gas Sensor Made of the Sol-gel Processed ZnO Nanorods.
Junghwan Huh 1 , Jonghyurk Park 2 , Gyu Tae Kim 1
1 School of Electrical Engineering, Korea University, Seoul Korea (the Republic of), 2 , Electronics and Telecommunication Research Institute, Daejeon Korea (the Republic of)
Show AbstractZnO nanorod sensors were efficiently made by the sol-gel process on the lithographically defined patterns. The gas sensor showed very sensitive response to hydrogen gas in the variable concentration (10, 25, 50, 100, 250, 500 and 1000 ppm) and temperature range (from 250 to 400'C at an interval of 25'C). Especially, we demonstrated reaction and recovery times (~90% and ~10% of the initial conductance of the ZnO sensor) to the exposure of hydrogen gas in the ambient conditions within several tens of sec in response times and the sub-ppm resolution. The sensitivity (ΔR/Ra) of the gas sensor follows a power law depending on the hydrogen concentration, and the power exponent factor (β) is close to the ideal value (0.5). The origin of the fast response of ZnO nanorods to the hydrogen gas will be discussed from the point of the fast diffusion of hydrogen molecules through the surface of nanorods.
9:00 PM - AA9.31
The Electrical Transport Properties of the Zn/ZnO Core-shell Nanowires.
Yen Chih Chen 1 , Chia Hao Tu 1 , Chuan Pu Liu 1
1 , National Cheng Kung University, Tainan Taiwan
Show AbstractSemiconductor heterostructures with modulated composition or doping enable passivation of interfaces and generation of devices with diverse functions. The metal-semiconductor epitaxially structured nanowires could be useful for micro-electromechanical and nano-electromechanical device. In our work, heterostructured metal-semiconductor Zn-ZnO core-shell nanowires have been synthesizes. We first fabricated Zn nanowires by thermal chemical vapor deposition. Secondly, heteroepitaxial Zn–ZnO core–shell nanowires with ZnO shell were formed by annealing Zn nanowires in oxygen ambience at different temperatures. The microstructure of the Zn-ZnO core-shell nanowires was characterized in detail by transmission electron microscopy and scaning electron microscopy. Cathodoluminescience measurements show that the emission peak from the nanowires has an obvious blue shift than pure ZnO nanomaterials. Electrical transport properties were carried out with a two-terminal measurement system processing by e-beam lithography, lift-off process and FIB coating.process.The R-1/T curves of the Zn-ZnO nanowires exhibit two different slope.At low T,we suggested that the electrons can conduct through the ZnO shell by VRH process.At high T,we supposed that the electrons can conduct via the zinc core.
9:00 PM - AA9.32
Cathodoluminescent Properties and Growth Mechanism of Shape and Polarity-controlled ZnO Nanostructures.
Taeseup Song 1 , Minkyu Kim 1 , Won Il Park 1 , Ungyu Paik 1
1 Division of Materials Science Engineering, Hayang university, Seoul Korea (the Republic of)
Show AbstractWith the rapid developments in nanotechnology, there has been tremendous interest in ZnO-related nanomaterials because ZnO is a wide band-gap semiconductor and a piezoelectric oxide. For the nanometer scale materials, the surface to volume ratio increases significantly with size reduction and thus, the effect of the surface plays an important role in determining the structures as well as the chemical and physical properties of the ZnO nanostructures. Therefore the control of the surface is a crucial factor in designing nanomaterials for various applications. Here, We report shape and polarity-controlled synthesis of vertically aligned ZnO nanostructures on GaN thin films using catalyst-free metal-organic vapor phase epitaxy (MOVPE). By adjusting the synthesis parameters, smooth-surfaced ZnO nanorod-nanowall networks with nonpolar side surfaces and stacked pyramid-structured ZnO nanorods terminated mainly with O-polar surfaces planes were obtained. The morphologies of ZnO nanostructures are dominated by the path of mass transport of Zn adatoms from side facets of GaN hillocks to adjacent ZnO nanorods according to growth conditions. Cathodoluminescent measurements reveal strong excitonic emission peaks with extremely low deep level emission for both type of the nanostructures, providing a significant opportunity to understand the surface polarity and shape-dependent luminescent properties of nanoscale materials. Detailed growth mechanism of two kinds of nanostructures and correlated optical properties will be presented.
9:00 PM - AA9.33
Enhanced Growth of SnO2 Nanowires Using SnO2 Sputtered Ultra Thin Layers for Microarray Sensing Chips
Jaswinder Mann 1 , Thomas Schneider 2 , Udo Geckle 3 , Dirk Fuchs 4 , Martin Sommer 2
1 Department of Physics, Indian Institute of Technology, Dehli India, 2 Institute of Microstructure Technology, Forschungszentrum Karlsruhe, Karlsruhe Germany, 3 Institute for Materials Research, Forschungszentrum Karlsruhe, Karlsruhe Germany, 4 Institute for Solid-State Physics, Forschungszentrum Karlsruhe, Karlsruhe Germany
Show AbstractQuasi one-dimensional metal oxide semiconductor nanostructures play a crucial role in the development of nano-devices such as gas sensors. Regarding Gas sensing applications, nanowires provide more stability and higher sensitivity as conventional metal oxide layers because of its promising properties such as high surface to volume ratio, better crystallinity and way out to overcome the problem of grain coarsening in case of thin layers. SnO2 nanowires have been obtained by vapor phase deposition using SnO as a precursor material in a quartz tubular furnace. To the best of our knowledge, for the first time, we report nanowire growth at a low pressure of 20 mbar as compared to the other work groups [1] reporting it in the range of 100-300 mbar. The present work reveals the role of SnO2 sputtered ultra thin layer on the enhancement nanowire growth throughout. Ultra thin film, 130 nm, of sputtered SnO2 works as a seed for the growth of nanowires. The morphology, size and purity of the product are characterized using scanning electron microscopy (SEM), X-ray diffraction (XRD), field emission scanning electron microscopy (FESEM) and X-ray photoelectron spectroscopy (XPS). SEM micrographs show a uniform and high density growth of nanowires on SnO2 sputtered substrates. FESEM results reveal the growth of wire like structures of about 100-800 µm in length and diameter ranging from 50 nm to 200 nm. The XRD pattern confirms the growth of crystalline nanowires with typical rutile phase of SnO2 on these sputtered SnO2 silicon substrates. The influence of some deposition parameters, including temperature of furnace, deposition time and substrate distance from the precursor boat on the growth kinetics of nanowires are also discussed. The synthesized nanowires have been transferred to the standard Karlsruhe micronose (KAMINA) microarray chip. The KAMINA gradient gas sensor microarray consists of 39 platinum strips forming 38 sensor segments, which are measured consecutively. Two temperature sensors are placed adjacent to the nanowire mat, one above and one below it. A temperature gradient is maintained across the array with 4 heaters on the rear side of the chip, differentiating initially identical segments regarding their gas-sensing properties in order to obtain gas characteristic conductivity patterns. Preliminary tests are performed using ethanol, carbon monoxide and toluene to study the sensing properties of these nanowire based microarrays using Karlsruhe Micronose. Nanowire based microarray stands for its quick response and better stability. Reference[1]. "Nanobelts of semiconducting oxides", Z.W. Pan, Z.R. Dai and Z.L. Wang, Science, 291 (2001) 1947-1949.
9:00 PM - AA9.34
The Role of the Surface Coverage on the Structural and the Electronic Properties of TiO2 Nanocrystals.
Amilcare Iacomino 1 2 , Giovanni Cantele 3 , Fabio Trani 3 , Domenico Ninno 3 , Ivan Marri 4 , Stefano Ossicini 4
1 Dipartimento di Fisica "E. Amaldi", University of Roma Tre, Rome Italy, 2 U. di R. Napoli, CNISM, Napoli Italy, 3 Dipartimento di Scienze Fisiche, CNR-INFM-Coherentia and Università di Napoli Federico II, Napoli Italy, 4 Dipartimento di Scienze e Metodi dell'Ingegneria, CNR-INFM-S3 and Università di Modena e Reggio Emilia, Reggio Emilia Italy
Show AbstractThe titanium dioxide (TiO2) complexes are widely investigated for their striking and multi-purpose capabilities. The TiO2 key feature lies in its photocatalytic activity for several reactions of social (bioengineering, environmental and artistic protection, pollution containment) and commercial (photovoltaic, alternative-energy, gas sensing) interests[1]. The possibility to enhance specific reactions at the nanoscale by a fine tuning of the nano-sized single crystals properties boosted in the last decade the scientific research. Thus, a theoretical understanding of the fundamental properties of TiO2 nanocrystals became necessary to predict and expedite the experimental efforts.We present a characterization of TiO2 nanoclusters (NC) and nanowires (NW) in the framework of ab-initio DFT calculations. Based on both theoretical[2] and experimental[3] evidences we defined a stoichiometric TiO2 NC[4] by modifying a perfect bipyramidal morphology and then used this NC as a chain repetition unit in the NW. We analyzed the effect of the surface coverage by functionalizing dangling bonds with simple adsorbates (dissociated water and hydrogens) modeling two pH environments. These terminations are important to model the basic interactions of TiO2 nanosystems with the hydration sphere, which is always found to surround the nanosamples and to affect their photocatalytic activity.The structural reconstruction is found to depend on the surface coverage and can be linked to the experimental evidences by analyzing of the Ti-O bonds. Quantum confinement effects in the electronic properties are observed through the bandgap widening and the discretization of the energy distribution, however, surface effects compete in determining the final distribution of the electronic levels. The hydrogenated nanocrystals do show occupied levels at the bottom of the conduction bands, leading to metallic character in the NWs. Such levels are localized with respect to the whole structure in the NC, showing many similarities with defect states obtained by oxygens desorption. From the analysis of the electronic density of states we find that Ti-H bonds induce intra-gap states above the valence bands, whereas hydration leads to occupied states that shift the valence bands to lower binding energies. Formation energy calculations reveal that surface hydration leads to the most stable nanocrystals, in agreement with the experimental findings that water coverage stabilizes the surface.[1] U. Diebold, Surf. Sci. Rep. 48, 53 (2003).[2] A. S. Barnard and L. A. Curtiss, Nano Lett. 5, 1261 (2005).[3] J. Polleux, N. Pinna, M. Antonietti, C. Hess, U. Wild, R. Schlögl and M. Niederberger, Chem. Eur. J. 11, 3541 (2005).[4] A. Iacomino, G. Cantele, D. Ninno, I. Marri and S. Ossicini, Phys. Rev. B 78, 075405 (2008).
9:00 PM - AA9.36
Integrated Multilayer-nanogenerator Fabricated using Paired Nanotip-to-nanowire Brushes.
Yaguang Wei 1 , Sheng Xu 1 , Jin Liu 1 , Rusen Yang 1 , Zhonglin Wang 1
1 Materials science and engineering , Georgia Institute of Technology, Atlanta, Georgia, United States
Show AbstractBuilding self-powered nanosystems is a future direction of nanotechnology. A nanosystem is an integration of nanodevices, functional components and a power source. Energy harvesting from the environment for powering a nanosystem is vitally important for its independent, wireless and sustainable operation. A piezoelectric nanogenerator (NG) is a promising approach for this application. We present a new approach to nanogenerator (NG) that is composed of integrated, paired nanobrushes made of pyramid-shaped metal coated ZnO nanotip (NTP) arrays and hexagonal-prism shaped ZnO nanowire (NW) arrays, which were synthesized using chemical approach at < 100 oC on the two surfaces of a common substrate, respectively. The operation of the NGs relies on mechanical deflection/bending of the NWs, in which resonance of NWs is not required to activate the NG. This largely expands the application of the NGs from low frequency (~Hz range) to a relatively high frequency (~MHz range) for effectively harvesting mechanical energies in our living environment. By stacking one piece of such structure in close proximity over another to form a layer-by-layer matched brush architecture, direct current is generated by exciting the architecture using ultrasonic waves. A four-layer integrated NG is demonstrated to generate an output power density of 0.11 W/cm2 at 62 mV. This is an innovative approach for fabricating three-dimensionally integrated multilayer NGs for raising the output current, voltage and power. The layer-by-layer assembly provides a feasible technology for building three dimensional NGs for applications where force or pressure variations are available, such as a shoe pad, under skin layer for airplanes and next to a vibration source such as car engine/tire.[1] Sheng Xu,Yaguang Wei, Jin Liu,Rusen Yang, and Zhong Lin Wang, Nano Letters., 2008[2] For more information: http://www.nanoscience.gatech.edu/zlwang/
9:00 PM - AA9.37
Distinguishing Defect, band-to-band and Exciton-Polariton Emission from a ZnO Nanowire by Polarization.
Heng-Yu Li 1 , Sven Ruhle 2 , Zhixiang Sun 1 , Erik P.A.M. Bakkers 3 , Daniel Vanmaekelbergh 1
1 Condensed Matter and Interfaces, Utrecht University, Utrecht Netherlands, 2 Department of Chemistry, Bar-Ilan University, Ramat Gan Israel, 3 , Philips Research Laboratories Eindhoven, Eindhoven Netherlands
Show AbstractZnO nanowires attract worldwide attention for their intriguing optical properties such as sub-wavelength waveguiding,1, 2 lasing 3 and strong light-matter interaction.4 The photo luminescence spectra from a ZnO nanowire consists of two broad bands: a defect-related green emission and a near-band-edge UV emission5. We have shown that the UV emission is mediated by exciton polaritons4,6 ,formed due to the enhanced light-matter interaction in the ZnO nanowire cavity.We investigated the far-field polarization of the emission from individual ZnO nanowires of known geometry with a luminescence microscope. The polarization of the emitted light reflects its directionality, and hence, guided modes along the nanowire axis should be distinguishable from emission in the free space. In the region between 1.7 and 2.9 eV, the light is emitted with the E-vector parallel to the wire axis. However, the emission between 2.9 and ~3.26 eV is emitted with the E vector perpendicular to the wire axis. This is in agreement with the fact that this light is only emitted at the wire ends. A plot of the polarization ratio vs. Energy shows a series of Fabry-Perot type eigenmodes that are situated on the lower polariton branch in the dispersion curve. Above ~3.26eV, close to the bandgap, a sharp transition occurs, at which the light is weakly polarized, presumably reflecting CB to VB recombination. In summary, we could differentiate defect, band-to-band and polariton emission by far field polarization measurement. The results are important for understanding the fundamental optical properties of ZnO nanowires, which is necessary for future applications in photonic circuits. References1 C. J. Barrelet, A. B. Greytak, and C. M. Lieber, Nanowire Photonic Circuit Elements, Nanoletters 4 (2004), p. 1981-1985.2 M. Law, D. J. Sirbuly, J. C. Johnson, J. Goldberger, R. J. Saykally, and P. Yang, Nanoribbon Waveguides for Subwavelength Photonics Integration, Science 305 (2004), p. 1269-1273.5 L. K. v. Vugt, S. Rühle, and D. Vanmaekelbergh, Phase-correlated non-directional laser emission from ZnO nanowires, Nano Letters, 6 (2006), p.27074 L. K. v. Vugt, S. Rühle, P. Ravindran, H. C. Gerritsen, L. Kuipers, and D. Vanmaekelbergh, Exciton-Polaritons Confined in a ZnO Nanowire Cavity, Phys. Rev. Lett. 97 (2006), p. 147401.5 Alecsandra B. Djurisic and Yu Hang Leung, Optical Properties of ZnO Nanostructures, Small 2 (2006), p.944-9616 S.Rühle, L.K. van Vugt, H.-Y. Li, N. A. Keizer, L. Kuipers and D. Vanmaekelbergh, Nature of sub-bandgap luminescent eigenmodes in a ZnO nanowire, Nano Lett. 8(2008), p.119 -1237 H.-Y. Li, S. Rühle, Z.-X. Sun, E.P.A.M Bakkers and D. Vanmaekelbergh, Far-field Polarization Detection of Polariton Emission from a ZnO nanowire, in preparation for Nano Lett.
9:00 PM - AA9.38
Semiconducting Nanowire Sensors in Out-of-plane Geometry.
Pradeep Manandhar 1 , Samuel Picraux 1
1 Center for Integrated Nanotechnologies, Los Alamos National Laboratory, Los Alamos, New Mexico, United States
Show AbstractSemiconducting nanowires, due to their unique electrical and optical properties, are potentially very attractive for applications in electronic, photonic and sensing. Nanowires are, so far, mostly used for device fabrication in lateral configurations. Though the potential of vertical device structure and integration is very promising, its realization is still in its infancy. We will demonstrate the control of position, direction and doping of semiconducting nanowires and describe our process for the fabrication of out-of-plane nanowire sensors. We demonstrate directed assembly of gold (Au) colloid seeds on patterns generated from electron beam lithography by silanizing the silicon substrate with amine terminated silane molecules and also by electrophoretic assembly. The ability to pattern Au nanoparticles in each location of an array with high yield is crucial for obtaining a high density of nanowires with desired lateral registry. We are able to obtain high spatial density of Au nanoparticles on the order of 1010 nanoparticles/cm2, with a very high yield of single nanoparticle per 10-10 cm2 patterns. Semiconducting nanowires were grown from the Au nanoparticle arrays using the vapor liquid solid (VLS) technique from a highly doped silicon substrate, such that the substrate itself functions as the bottom electrode. The VLS technique results in highly crystalline nanowires with good control over shape, size and doping of the nanowires. Vertical epitaxial germanium nanowires are grown by nucleating Au nanoparticles around 475oC and then growing at lower temperatures using a two step growth process. The nanowires are then encapsulated by SiO2 deposition using inductively coupled plasma-assisted CVD. The deposited SiO2 is planarized to the level of nanowires using chemical mechanical polishing and the tips of the nanowires exposed with a dilute HF etch. The top contacts are deposited using lithographic patterns and the nanowires are uncovered by selectively etching the SiO2 to expose their length for sensing purposes. The nanowires can then be used as gas sensors without chemically modifying the nanowires or chemically functionalized to detect selectively the attachment of complimentary target molecules by observing changes in the conduction through the nanowire channels. Details of the fabrication process and preliminary results for the vertical nanowire device performance will be presented.
9:00 PM - AA9.39
Passivation-modulated Electronic Stucture of Silicon Nanowires.
Guo Chunsheng 1 , Zhang Ruiqin 1
1 Department of physics and materials science, City university of Hong Kong, Hong Kong China
Show AbstractUsing density functional theoretical calculations, we studied the modification of electronic and optical properties of <110> silicon nanowires (SiNWs) by various surface passivations. Compared to those with symmetric surface silicon dihydrides, the SiNWs with canted surface dihydrides and (2×1) reconstruction along the wire axis have dramatically enlargement in band gaps, while some other surface chemical passivations (Cl, S, P) are in response of band gap reduction. The physical mechanisms are discussed and may provide more understandings for the surface functionization of SiNWs.
9:00 PM - AA9.40
Lateral Silicon Nanowire (SiNW) p-n Junction Diodes for Photovoltaic Device Application.
Seung-Yong Lee 1 , Dong-Joo Kim 1 , Chan-Oh Jang 1 , Duk-Won Suh 1 , Sang-Kwon Lee 1
1 Dept. of Semiconductor Science and Technology, Chonbuk National University, Jeonju Korea (the Republic of)
Show AbstractIn this paper, we report on the fabrication and electrical characteristics of lateral Si nanowire p-n junction diodes, which were formed by consecutive ion implantation of boron (B) and phosphorus (P) on Si nanowires, respectively for photovoltaic device application. First, B-ion implantation with a dose of 1e12 ions/cm^2 and an energy of 10 keV was performed on randomly dispersed Si nanowire on SiO2/Si substrates. Then, B-implanted Si nanowire was coated with PMMA which were used as a shadow mask for the P-ion implantation. After defined half of B-implanted Si nanowires by conventional e-beam lithography (EBL), P-ions with a dose of 1e14 ions/cm^2 and an energy of 10 keV implanted on opened B-implanted Si nanowire for n-type region in lateral Si nanowires p-n junction diodes. The electrical transport studies were performed on several p-n junction structures at room temperature using an HP 4156A semiconductor parameter analyzer in the range 20 fA-100 mA. Current-voltage measurement show current rectifying behavior as seen in conventional crossed nanowire p-n junction diodes. We will also discuss the photovoltaic performance of lateral Si nanowires p-n junction diodes prepared by two-step ion-implantation. We believe that our lateral nanowire p-n junction structures are useful for energy-harvesting devices.
9:00 PM - AA9.41
The effect of the surface passivation on multi-channel ZnO nanowires Field Effect Transistors.
Jung-Hwan Hyung 1 , Ki-Chan Kim 2 , Dong-Joo Kim 1 , Seung-Yong Lee 1 , Tae-Hong Kim 1 , Young-Ho Ko 2 , Sang-Kwon Lee 1
1 Dept. of Semiconductor Science and Technology, Chonbuk National University, Jeonju Korea (the Republic of), 2 Dept. of Electrical Engineering, Chonbuk National University, Jeonju Korea (the Republic of)
Show AbstractZinc oxide (ZnO) materials have been extensively studied due to their unique physical properties of direct wide-band gap energy (~3.3 eV at 298K) with a wurtzite crystal structure and large exiton binding energy (60 meV). These ZnO nanomaterials (nanorods, nanosheets, and nanowires etc.) have received extensive interest for use in photodetectors, gas/chemical sensors, electronic devices, and dye-sensitized solar cells. In order to use these nanowire-based field-effect transistors (FETs) in practical electronic devices, the nanowire FETs should afford sufficiently high on-currents to drive an electronic circuit. It assumes that the nanowire FETs should drive at least an on-current of > 1 mA. However, most of the previous works in nanowire-based FETs had a quite low on-current (< 0.1mA). In this regard, these problems can be solved by the introduction of FETs with multiple nanowires and fabrication of surface passivation on ZnO nanowire FETs. In this paper, we report on a straightforward multi-channel ZnO nanowire field-effect-transistors, which are operating with dual-mode (enhancement mode as well as depletion mode) in the same devices. The multi-channel ZnO NW FETs also have been prepared by assembling ZnO NWs on a Si substrate using an optimized dielectrophoresis (DEP) technique, in a three-probe both back-gate and top-gate FET structures. The FETs with a top-gate geometry exhibited n-channel enhancement mode (E-mode) behavior, whereas the transport characteristics with a back-gated FETs showed depletion mode (D-mode). We also report the effect of the surface passivation on the electrical performance of DEP-prepared ZnO NW FETs.
9:00 PM - AA9.5
Simple Top-down Fabrication of Single Crystal Silicon Nanowires.
Songyue Chen 1 , Johan Bomer 1 , Wilfred van der Wiel 2 , Edwin Carlen 1 , Albert van den Berg 1
1 , BIOS (Lab-on-a-Chip) group, Mesa+ Institute for Nanotechnology, University of Twente, Enschede Netherlands, 2 , Strategic Research Orientation NanoElectronics, Mesa+ Institute for Nanotechnology, University of Twente, Enschede Netherlands
Show AbstractWe have developed a novel and robust method to fabricate sub-50 nm silicon nanowires (Si-NWs) for sensor applications, which is based on a top-down method consisting of conventional microlithography, wet etching and size reduction. The advantage of our technique is that moderately dense arrays of Si-NWs, with precisely controlled dimensions and atomically smooth surfaces, are directly fabricated with thicker micro-scale electrical contact regions from a continuous layer of single crystal silicon. The thick silicon contact regions result in reduced contact resistance compared to ultrathin silicon layers. Additionally, fabrication techniques compatible with conventional semiconductor manufacturing, such as ion implantation and optical lithography, can be used resulting in high wafer-scale device yield. Briefly, silicon microwires (Si-μWs) are first fabricated from silicon-on-insulator (100) wafers with a patterned silicon nitride mask layer using anisotropic wet etching (5% tetramethyl ammonium hydroxide) of the silicon device layer. Exposed (111) facets of the Si-μW edges are then thermally oxidized resulting in local oxidation of silicon at the silicon/nitride interface. The oxide layer is used as an etch mask for the second anisotropic wet etch that results in Si-NWs with triangular cross-sections. Finally, size reduction anisotropic wet etching of the remaining silicon (111) surfaces produces Si-NWs with precisely controlled heights (h) and widths (w), where w = 2h/tan(54.7°). Using this method, we have fabricated electrically functional Si-NWs with heights ranging from 200 nm to ~50 nm and lengths up to 100 microns. The electrical contact regions are highly doped for ohmic contacts and H2/N2 annealing has been performed to reduce interface charge and reduce metal-silicon contact resistance. The Si-NW channel doping concentration has been selectively varied over 3 orders of magnitude from 1016 to 1018 cm-3, which demonstrates the flexibility of our technique. Recent electrical measurements show low contact resistances and well controlled device behavior. The latest fabrication details and electrical (and electrochemical) measurements, as well as biosensing applications, will be discussed.
9:00 PM - AA9.6
Fabrication and Characterization of Plasmonic Core-shell Si-Ag Nanowire Resonators.
Anna Hiszpanski 1 , Carrie Hofmann 1 , Ryan Briggs 1 , Michael Shearn 1 , Harry Atwater 1
1 Watson Laboratory of Applied Physics, California Institute of Technology, Pasadena, California, United States
Show AbstractBecause of their physically small dimensions and high electric field confinement, plasmonic core-shell nanowire resonators, composed of a sub-100 nm diameter Si core uniformly coated with an optically thick layer of Ag (>100 nm), may be particularly useful for molecular sensing. For such applications, the ratio of resonator quality factor (Q) and effective mode volume (V) has proven to be a valuable figure of merit. Theory has shown that while the plasmonic core-shell nanowire resonator’s effective mode volume (V) decreases dramatically with smaller dimensions, the resonator’s quality factor (Q) decreases only slightly. Hence, a plasmonic core-shell nanowire resonator with a 10 nm Si core and 25 nm physical length is expected to have a Q/V of 4.8x104(λ/n)-3, a value competitive with much larger conventional optical resonators.
Here we investigate two fabrication methods for making such devices—a bottom-up approach and top-down approach—each of which enables a different direction for plasmon excitation. In bottom-up design, Si wires approximately 2 μm in diameter were grown via Au-catalyzed chemical vapor deposition. Wires were subsequently reduced to 70 nm diameters by repeated wet oxidation and etching and uniformly Ag-coated using magnetron sputtering. The Ag-coated nanowires were then dropcast onto an indium-tin-oxide substrate, allowing for plasmonic mode excitation perpendicular to the resonator’s axis, and were optically characterized using confocal imaging. The coated and dropcast wires were additionally cut with a focused ion beam to produce resonators with specific lengths and smooth end facets. Spatially-resolved dark-field spectroscopy was then conducted on single nanowire resonators and compared with full-field electromagnetic simulations.
In top-down design, we use a combination of electron beam lithography and reactive ion etchng to fabricate Si pillars 50-100 nm in diameter in the 250 nm thick device layer of a silicon-on-insulator substrate. The array of Si pillars was backfilled with thermally evaporated Ag. Ag atop the Si pillars was removed via sonication of the substrate in DI water, thus exposing the end of the plasmonic core shell resonator and allowing for plasmon excitation parallel to the resonator’s axis. The Si handle was etched through to the transparent buried oxide layer to allow for transmission studies of the resonator arrays. We will discuss results from optical studies of single resonators as compared to resonator arrays, studying the effect of periodicity, core diameter, and wire length using a combination of reflection, transmission, and near-field optical spectroscopy.
9:00 PM - AA9.7
Reflectivity of Disordered Silicon Nanowires.
Robert Street 1 , Wlliam Wong 1 , Rene Lujan 1
1 , Palo Alto Research Center, Palo Alto, California, United States
Show AbstractThe optical properties of nanowires are interesting for scientific and technological reasons. We report optical measurements of disordered silicon nanowire mats, which have high diffuse optical reflectivity, in striking contrast to the low reflectivity of orientationally ordered nanowires. The reflectivity decreases with increasing photon energy across the visible and near infra-red spectrum due to absorption in the nanowires. A simple model is used to estimate that the incident photon interacts with up to 20 nanowires before being reflected. We show that the absorption is spread out over a wider energy range than would occur in the equivalent uniform thin film, and in particular the low energy weakly absorbed region of the spectrum has enhanced absorption. We also report optical measurements of silicon nanowire mats with a thin coating of PECVD-deposited hydrogenated amorphous silicon, which further enhances the nanowire optical absorption. The enhancement of the absorption by light interacting with many nanowires, particularly in heterojunction structures, might be useful for nanostructured solar cells.
9:00 PM - AA9.8
Three Dimensional Numerical Simulation on the Photovoltaic of Silicon Nanowire Solar Cells.
Tzu-Chun Chen 1 , Zingway Pei 1 , Shu-Tong Chang 1
1 Graduate Institute of Optoelectronic Engineering, National Chung Hsing University, Taichung Taiwan
Show AbstractAmorphous silicon (a-Si) solar cell usually exhibits a low efficiency that far behind the theoretical estimation based on the bandgap and absorption coefficient. The reason is the large amounts of gap states in the a-si thin film that photo-generated recombined before they can generate electricity. A thicker a-Si thin film is required to absorb most of the sunlight but the recombination through gaps states limit the photocurrent. The conflict between photogeneration and carrier recombination limits the efficiency. One promise concept is the use of Si nanowire that absorb sunlight along the axial of the wire and transport along the radial of the wire make the solar cell more efficient than planar cell. In this work, a three dimensional numerical simulation is performed to study the photovoltaic properties of the Si nanowire solar cell. Based on the simulation, around 80% efficiency enhancement is obtained as compared to the planar solar cell. By careful extract the electrical field, photogeneration and Schockley-Read-Hall (SRH) recombination coefficient shows this value is reasonable. The electrical field and photogeneration exhibit 20% and 40% larger, respectively, over the planar cell. In the controversy, the SRH recombinations for Si nanowire solar cell exhibits 20% lower than the planar solar cell. By this simulation, the Si nanowire solar cell has bright future.
9:00 PM - AA9.9
Self-assembled Bottom Contact Arrays Silicided by Ni Electrodeposition in Ni-catalyzed Grown Si Wires.
Sang-Won Jee 1 , Donguk Kim 1 , Jin-Young Jung 1 , Han-Don Um 1 , Bongyoung Yoo 1 , Jung-Ho Lee 1
1 Department of Materials and Chemical Engineering , Hanyang University, Ansan Korea (the Republic of)
Show AbstractIn recent years, there has been a great progress in the directed assembly of electrical or mechanical contacts to semiconductor nanostructures. Building blocks such as wires, tubes, and ribbons are needed for their large-scale integration in the complementary metal-oxide semiconductor (CMOS) technology. In particular, metal contacts to vertical wire arrays using self-assembly have been greatly attracted for vertical field effect transistor (FET). Here, we report the fabrication of large area Si wire arrays on the n+-Si(111) substrate using Ni catalysts and then the silicided bottom contacts were selectively formed by using Ni electrodepostion and subsequent annealing because eletrodepostion was only occurred on the heavily doped bottom contacts of wires. The structure of the wire arrays is based on a sandwich geometry. Intrinsic silicon wires are placed between the top (Ni catalyst tip) and bottom metallic contact on the highly conductive substrate. Silicon wire arrays with Ni-silicided top contact were epitaxially grown from evaporated Ni catalysts by vapor-liquid-solid (VLS) growth using SiCl4 as precursor. Wire arrays were vertically grown inside the oxide holes defined by conventional photolithographic patterning. The oxide buffer layer was found to be critical to isolate the regions of metal catalyst and prevent ripening during the VLS growth process. Ni (50-100 nm) was thermally evaporated on the both an exposed n+-Si region inside the hole pattern and photoresist (PR) on an oxide-covered n+-Si region, and then, Lift-off was followed. To make bottom contact over individual Si wires, Ni electrodeposition was conducted in the Watts bath (consisting of NiSO4, NaCl and H3BO3) under constant current mode. Diluted HF etching was needed to increase the hole size and allowed for Ni electrodeposition into the exposed n+-Si region between wire and oxide hole pattern. Ni could be only deposited inside the holes defined by patterned oxide block with no change on the undoped Si wires. After unreacted metal was then removed by wet chemical etching, nickel silicide was finally formed at the bottom side of wires upon annealing at low temperature. Electrical measurements of individual Si wires were performed by using conductive atomic force microscopy. This approach provides a simple and cost effective method to establish both top and bottom metallic contacts to the vertical semiconductor wire array by self-assmebly.