Symposium Organizers
Alshakim Nelson IBM Almaden Research Center
Azad Naeemi Georgia Institute of Technology
Hyungjun Kim Yonsei University
Hyun Wook Ro National Institute of Standards and Technology
Dorel Toma TELUS Technology Development Center
F1: Copper Interconnects
Session Chairs
Tuesday PM, April 06, 2010
Room 2010 (Moscone West)
9:45 AM - **F1.1
Recent Advances in Copper Based Interconnect Reliability.
Zsolt Tokei 1 , Kristof Croes 1 , Steven Demuynck 1 , Thomas Kauerauf 1 , Gerald Beyer 1
1 , IMEC, Leuven Belgium
Show AbstractKey aspects of interconnect reliability will be reviewed including copper contact reliability, time dependent dielectric breakdown (TDDB) of low-k dielectrics, stress-induced voiding (SIV) and electromigration (EM) of scaled copper low-k structures. The link between material properties, active physical mechanisms responsible for the degradation and the experimentally observed reliability performance will systematically be highlighted. Copper contacts are being investigated as an alternative contact material to W-based metallization for several years. Although reliability issues associated with scaled copper contacts is an ongoing concern, the appropriate test methods for assessing the reliability margin are less well-defined. We will analyze various diffusion barriers, test structures, methods and provide a benchmark to W-metallization. Another important aspect is low-k dielectric reliability. It has been part of the back-end-of-line reliability research for several years by now. Leakage and breakdown properties are important criteria for selecting low-k materials. Besides the intrinsic properties the damascene process itself introduces several unwanted effects. The TDDB performance is impacted by virtually all fabrication steps as well as the integration method. This is the reason for which the test is well-suited for comparing different integration and process schemes. Examples include the impact of dielectric modification caused by plasma exposure, interface modification induced by chemical mechanical polishing, line edge roughness caused by patterning, spacing variation and thickness variation across the wafer, single vs. double patterning, layout impact, etc. Several aggressively scaled single and dual damascene examples will be shown. For stress induced voiding the choice of test structure and test conditions is of importance when integrating low-k materials. A material independent reliability model describing a wide temperature range (150C-300 C) is proposed. The validity of the model will be supported by numerous experimental evidences and finite element modeling. It is known that the resistivity of interconnects increases with each generation, while at the same time the EM reliability margin is shrinking. A wide range of dimensions will be analyzed along with a projection to sub-30nm dimensions. The low-k results will be benchmarked against an oxide reference. In dual damascene interconnects the choice of metal diffusion barriers is of critical importance as well. The selected examples will include a detailed study of the influence of pre-clean and barrier choice on the EM phenomenon.
10:15 AM - F1.2
Effect of TaN Stoichiometry on Barrier Oxidation and Defect Density in 32nm Cu/Ultra-Low K Interconnects.
Andrew Simon 1 , Frieder Baumann 1 , Tibor Bolom 2 , Jong Guk Park 3 , Craig Child 2 , Ben Kim 4 , Patrick DeHaven 1 , Robert Davis 1 , Oluwafemi Ogunsola 1 , Matthew Angyal 1
1 , IBM Systems and Technology Group, Hopewell Junction, New York, United States, 2 , GLOBALFOUNDRIES Inc, Hopewell Junction, New York, United States, 3 , Samsung Electronics, Hopewell Junction, New York, United States, 4 , STMicroelectronics, Hopewell Junction, New York, United States
Show Abstract A major focus of recent semiconductor interconnect development has been the integration of ultra-low k (ULK) dielectrics with Cu wiring. These ULK materials present particular problems for metals processing due to the porosity needed to achieve dielectrics constants < 2.5. We present the results of a study illustrating the sensitivity of Cu/ULK Dielectric interconnect structures to TaN barrier stoichiometry. The structures studied were 32nm-groundrule dual-damascene 2:1 aspect ratio (AR) lines and 3.5:1 AR vias. The structures were etched in a porous ULK dielectric (k=2.4) and were deposited with TaN/Ta barrier and Cu seed layers using commercially available ionized PVD tooling. In initial studies, the N2 flow was adjusted to give a TaN stoichiometry of ~4:1 Ta:N. Construction analysis of the Cu/ULK line structures was done by TEM. One feature of particular interest in the TEMs was the presence of two distinct bands in the TaN/Ta barrier layer. An inner, darker-colored band is observed closest to the Cu fill metal, with a lighter-colored band with roughened edges visible around the trench periphery. The dark appearance of the inner band is normal, based on previous TEMs of Ta/Cu interconnects, but the lighter tone of the peripheral Ta(N) band is atypical and usually characteristic of oxidation. To assess the stoichiometry of the Ta(N), EDX and EELS line-scans were done which traversed the TEM of trench structure. Plots of oxygen EELS signal overlaid on top of the Ta EDX signal show a significant oxygen presence deep inside the barrier, suggesting oxidation or oxygen permeation through ~30-50% of the barrier layer’s thickness. The full EELS spectra of the oxygen signals confirm a different bonding state for oxygen within the barrier layer vs. oxygen in the dielectric, confirming that the oxygen has reacted with the Ta to oxidize the barrier. The oxidation of the TaN/Ta barrier is unusual in non-porous dielectrics, and is likely due to the porous material’s greater ability to adsorb oxidizing species. Barrier oxidation is of particular concern in preventing dewetting of the Cu from the oxidized Ta(N), or oxidation of the Cu itself. An alternative liner process, with increased N2 flow to give a TaN stoichiometry of ~2.3:1 Ta:N, was tested for comparison. TEMs and EELS/EDX line scans similar to those for the previous samples were overlaid and compared to assess oxidation of the barrier. In contrast to the lower-nitrogen content samples, the oxygen signal stops at the barrier/dielectric interface and no oxidation of the barrier is seen. The higher integrity of the revised barrier is reflected in defect density studies of a 32nm electromigration test structure comparing the two different TaN stoichiometries. The defect density for wafers deposited with the 2.3:1 Ta:N stoichiometry TaN process is ~50% lower than that found for the barrier with the lower nitrogen stoichiometry.
10:30 AM - F1.3
FIB Patterning to Investigate Grain Boundary Scattering in Copper Films.
Boyd Evans 1 , Michael Miller 2 , Tae Hwan Kim 3 , Nagraj Kulkarni 4 , An-Ping Li 3 , Don Nicholson 5 , Edward Kenik 2 , Harry Meyer 2
1 Measurement Science and Systems Engineering, Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States, 2 Materials Science and Technology, Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States, 3 Center for Nanophase Materials Sciences, Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States, 4 Materials Science and Engineering, University of Tennessee, Knoxville, Tennessee, United States, 5 Computer Science and Mathematics, Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States
Show AbstractInvestigating the primary contributors to the high resistivity in nanoscale copper interconnects is a topic of active research using the Four-Probe Scanning Tunneling Microscope (STM) technique at ORNL. Preliminary results appear to indicate that grain boundary resistivity contributions can be significant due to the small grain sizes in damascene lines and impurity segregation on grain boundaries that can increase specific grain boundary resistivities. We have been utilizing a subtractive patterning approach based on Focused Ion Beam (FIB) milling of blanket electroplated and high purity or intentionally doped (with Cl, S, C) sputter deposited copper films having large grain sizes (1 micron or higher) in order to measure the specific grain boundary resistivity using the Four-Probe STM. In this presentation, we will discuss techniques for Ga ion beam milling of copper films using an FEI Dual-Beam FIB system in order to obtain serpentine features having varying widths (200-1000 nm) while minimizing the Ga implantation during the milling process. Preliminary 3D Atom Probe studies in FIB-patterned lines to quantify the Cu thin film 3D grain structure and the distribution of dopants within the grain boundary network will be discussed.A portion of this research was conducted at the Oak Ridge National Laboratory's Shared Research Equipment (SHaRE) User Facility which is sponsored by the Scientific User Facilities Division, Office of Basic Energy Sciences, U.S. Department of Energy
10:45 AM - F1.4
First Principles Modeling of Resistance in Copper Film.
Don Nicholson 1 , Xiaoguang Zhang 1
1 , Oak Ridge National Lab, Oak Ridge, Tennessee, United States
Show AbstractThere is considerable debate within the metallization community over the relative importance of grain boundary (GB) and interface scattering in the electrical resistivity of thin films and interconnects. We discuss the calculated specific resistances of several GBs. The resistance varies significantly with GB type, with GB impurity concentration, and with the level of relaxation “disorder” associated with the GB. We will discuss both GB resistance and the conductivity matrix of a copper thin film (111 texture) with atomically rough interfaces with vacuum and with Ta (highly strained 110 texture). The conductivity matrix relates the current density in a plane parallel to the surface at depth, ZI, to the electric field in a plane, ZJ. From the behavior of the conductivity matrix as the ZJ is increased the resistivity of films of various thickness, 1-100nm, can be determined. First principles calculations were performed to relax the GB structure and to compare bulk and GB energy of solution of Ga.This research was sponsored by the Laboratory Directed Research and Development Program of Oak Ridge National Laboratory, managed by UT-Battelle, LLC for the U.S. Department of Energy and by the Division of Materials Sciences and Engineering, Office of Basic Energy Sciences, U.S. Department of Energy.
11:30 AM - **F1.5
Fundamental Investigation of the Correlation Between Passivation Film Integrity and Defectivity During Metal CMP.
Yuzhuo Li 2 , Changxue Wang 1 , Yan Li 1
2 CAE/ND, BASF SE, Ludwigshafen Germany, 1 Chemistry, Clarkson University, Potsdam, New York, United States
Show AbstractKey issues in Chemical Mechanical Polishing (CMP) today include reduction of surface defectivity and enhancement of planarization efficiency. More specifically, the polished surface should be free of defects such as scratches, pits, corrosion spots, and residue particles. It is our experience that a defect-free surface can be most effectively obtained by balancing the chemical and mechanical strengths of the polishing ensemble. A high planarization efficiency can be realized through the controlled formation of a passivating film with balanced thickness and density. In this presentation, we report our recent investigation on the correlation between passivation film integrity and surface defectivity in copper CMP.There have been extensive investigations on the copper passivating film formation under oxidizing conditions. In terms of film structure, the molar ratio of Cu:passivating agent varies widely depending upon the film thickness or depth, preparation procedure, pH, type of oxidizer, and the presence of other complexing agents. It is understood that, depending upon experimental conditions, the dissolution of copper ions out of copper surface is inevitable. Under oxidizing conditions, these ions are likely to be cupric. These copper ions may meet and interact with passivating agent in the solution near the copper surface. The interaction may lead to the formation of nanoparticles. In this study, we examined the possible role of these nanoparticles in the formation of a passivating film and its impact on planarization efficiency and corrosion defects.An extensive collection of knowledge about copper complexation can be found in literature. A complexing agent enhances the static etch rate of copper under oxidizing condition while a passivating agent suppresses the static etch rate under the same condition. The key difference between these two types of complexing agents is their mode of interaction with water and the existing Cu-complex. For a complexing agent such as glycine, the Cu-glycine complex is hydrophilic and water soluble. A passivating agent such as BTA, on other hand, is typically hydrophobic and less water soluble. Even though an individual Cu-BTA complex is water soluble, it tends to attracts extra BTA molecules to form a network which leads to the formation of nanoparticless. Therefore, the formation of nanoparticles in the presence of copper ions is a characteristic of a passivating agent. It is generally difficult to investigate these dynamic processes in an aqueous environment. In this presentation, we shall describe the advantages of dynamic NMR technique to investigate the fate of cupric ions in the presence of various complexing compounds. Furthermore, the influence of abrasive particles on the fate of cupric ions as well as the passivating film integrity will also be described.
12:00 PM - F1.6
Direct Measurement of Grain Boundary Resistivity in Copper Interconnects Using a Four-probe Scanning Tunneling Microscope.
Tae-Hwan Kim 1 , B. Evans 2 , N. Kulkarni 3 , D. Nicholson 4 , X. Zhang 1 , E. Kenik 5 , H. Meyer 5 , An-Ping Li 1
1 Center for Nanophase Materials Sciences, Oak Ridge National Lab, Oak Ridge, Tennessee, United States, 2 Measurement Science & Systems Engineering Division, Oak Ridge National Lab, Oak Ridge, Tennessee, United States, 3 Center for Materials Processing, University of Tennessee, Knoxville, Tennessee, United States, 4 Computational Materials Sciences Division, Oak Ridge National Lab, Oak Ridge, Tennessee, United States, 5 Materials Science and Technology Division, Oak Ridge National Lab, Oak Ridge, Tennessee, United States
Show AbstractCopper is the current choice of the interconnect metal in integrated circuits due to its higher electrical conductivity and improved electromigration reliability in comparison with aluminum. With reducing feature sizes, the resistivity of copper interconnects fabricated using a damascene technology increases dramatically because of electron scattering from surfaces, impurities and grain boundaries (GBs). Continuing dimensional reductions of electronic devices have posed significant challenges to our understanding of the relative importance of various electron scattering mechanisms in nanostructured copper interconnects. The uncertainties arise from the various interpretations of experimental results that rely on the well-known theories of Fuchs-Sondheimer and Mayadas-Shatzkes. In order to gain a better understanding of the contributions of GB in nanostructured copper interconnects, we have made copper lines from poly-crystalline films using focused ion beam milling, and measured resistances inside individual grains and across GBs directly with a four-probe scanning tunneling microscope (STM). Discrete jumps in resistance have been observed when STM probes go across GBs which can be attributed to GB scattering. The specific GB resistivity has been derived. A first-principle calculation of the GB resistance and the role of impurities have been analyzed using a generalized Bloch method. The results provide compelling evidence on the importance of grain boundary scattering process and shed new light to the pathway of reducing the interconnect resistivity. This research at Oak Ridge National Laboratory's Center for Nanophase Materials Sciences and Shared Research Equipment (SHaRE) User Facility was sponsored by the Scientific User Facilities Division, Office of Basic Energy Sciences, U.S. Department of Energy.
12:15 PM - F1.7
Accurate Evaluation of Specific Contact Resistivity of Multi-layered Silicon-based Ohmic Contacts.
Madhu Bhaskaran 1 , Sharath Sriram 1 , Anthony Holland 1
1 Microelectronics and Materials Technology Centre, RMIT University, Melbourne, Victoria, Australia
Show AbstractElectrical contacts to devices which pose low resistance continue to be of interest as the dimensions of devices decrease and nanotechnology demands better means of creating electrical access. In order to study and estimate the resistance of such contacts or the resistance posed by the interface(s) in such contacts, accurate test structures and evaluation techniques need to be used. The resistance posed by an interface is quantified using its specific contact resistivity (SCR), which is denoted using ρc (units: Ωcm2) [1]. Cross Kelvin resistor (CKR) test structures have been used for the measurement of low values of SCR.A simplified approach to this problem of SCR evaluation using the CKR test structures with varying contact sizes is discussed and is shown to be accurate for the estimation of low values (<10-8 Ωcm2) of SCR. This presentation discusses the use of CKR test structures and highlights analytical expressions, using a combination of which a simplified technique to accurately determine SCR was developed [2]. The analytical model described for circular contacts is based on Bessel function expressions. Using several contacts of different diameter (d) with d/w ≤ 0.4 (w is the width of the CKR arms), the parasitic resistance can be accurately accounted for by extrapolation of experimental data to d/w → 0.The accuracy of this technique has been demonstrated using two types of ohmic contacts – aluminium (Al) to titanium silicide (TiSi2) contacts [3] and aluminium to nickel silicide (NiSi) to doped silicon contacts. The SCR of aluminium to titanium silicide (Al-TiSi2) ohmic contacts was evaluated to be as low as 6.0 x 10-10 Ωcm2. Low values of SCR for ohmic contacts incorporating aluminium and nickel silicide for both antimony- and boron-doped samples have been evaluated. The influence of annealing on these SCR values is also reported. SCR values as low as 5.0 x 10-9 Ωcm2 to antimony-doped silicon and 3.5 x 10-9 Ωcm2 to boron-doped silicon were evaluated. These values represent some of the lowest reported for a metal silicide to n-type silicon, while the value of 3.5 x 10-9 Ωcm2 is the lowest value reported for a metal silicide contact to p-type doped silicon. Analytical models were created for the above discussed ohmic contacts and were used to verify the low values of SCR which were measured. Finite element models were created for Al-TiSi2 ohmic contacts and were used to validate the new technique for SCR determination. Preliminary finite element modelling for Al/NiSi/B-doped Si ohmic contacts is also discussed.References:1. D. K. Schroder, Semiconductor Material and Device Characterization, 3rd ed. Hoboken, NJ: Wiley, 2006, pp. 127-184.2. A. S. Holland, G. K. Reeves, M. Bhaskaran, and S. Sriram, IEEE Trans. Electron Dev. 56 50 (2009)3. M. Bhaskaran, S. Sriram, and A. S. Holland, IEEE Electron Dev. Lett. 29 259 (2008)
12:30 PM - F1.8
Copper Migration During Tungsten via Formation.
Jeff Gambino 1 , Ed Cooney 1 , Will Murphy 1 , Cameron Luce 1 , Steve Mongeon 1 , Ning Lai 1 , Bob Zwonik 1 , Felix Anderson 1 , Laura Schutz 1 , Tom Lee 1 , Tom McDevitt 1
1 , IBM Microelectronics, Essex Junction, Vermont, United States
Show AbstractCopper interconnects have gained wide acceptance in the microelectronics industry due to improved resistivity and reliability compared to Al interconnects [1]. One challenge with Cu interconnect technology is with packaging, and in particular with wirebonding [2]. Direct wirebonding onto a Cu bond pad is difficult, because Cu oxides easily form in air. Hence, an Al-based terminal wiring layer (Al-Cu) is commonly used in the industry [2-4]. If a fine pitch is required for the terminal wiring layer, then W vias are used to connect the final Al wiring layer to the underlying Cu wiring [4]. Although there have been a few reports on the reliability of W vias landing on Cu interconnects [5,6], there have been no publications on process issues associated with these structures. In this study, we report on a yield problem associated with W via formation on top of Cu interconnects. Copper migration can occur during chemical vapor deposition (CVD) of tungsten, if there are defects in the liner inside the via. Copper can react quickly with SiH4 during the early stages of tungsten deposition, where SiH4-reduction of WF6 is used. Under severe conditions, large amounts of copper diffuse out of the underlying metal layer, resulting in copper silicide formation in the via and leaving voids in the copper wire. This paper will describe the effect of the Cu migration on via yield and microstructure, and will discuss methods to minimize Cu migration. [1] D. Edelstein et al., IEDM Proc., 1997, p. 773; [2] T.A. Tran et al., ECTC Proc., 2000, p. 1674; [3] D. Edelstein et al., IITC Proc., 2004, p. 214; [4] A.K. Stamper et al., AMC Proc. 2004, MRS, 2005, p. 37; [5] A. von Glasow et al., AMC Proc. 2001, MRS, 2002, p. 433; [6]Z. Choi et al., IRPS Proc., 2009, p. 828.
12:45 PM - F1.9
High-yield Adhesion Testing for Ultra-thin Diffusion Barrier and High-k/Metal Gate Films.
Ryan Birringer 1 , Reinhold Dauskardt 1
1 Department of Materials Science and Engineering, Stanford University, Stanford, California, United States
Show AbstractThe integration of new materials at both the interconnect and device levels in CMOS technologies has introduced a range of ultra-thin films and interfaces with largely unknown adhesive and cohesive properties. As film thicknesses decrease and interfaces are engineered to be more robust, quantifying the adhesive and cohesive properties using conventional techniques has become increasingly difficult. In the present study, we propose innovations to the conventional four-point bend adhesion technique and demonstrate their ability to produce quantitative adhesion results with greatly increased test yield for technologically relevant ultra-thin metal diffusion barriers and high-k/metal gate films.In the first example, we consider new Cu metal barrier materials and deposition procedures that are being developed to provide ultra-thin and conformal barrier layers. Barrier layer thickness has become an appreciable fraction of the total interconnect dimensions and must be kept as thin as possible. In addition, since electromigration and stress migration in Cu interconnects are directly related to adhesion and bonding at the metal/barrier interface, robust interfaces with high adhesion values are critical. However, successful quantification of the adhesion of such thin barriers has been difficult given the layer thickness and generally high adhesion values. We demonstrate how the adhesive properties of Cu films and a number of ultra-thin barrier materials, including SiN, SiC, CoWP, and other CVD deposited films, can be quantified using novel modifications of the fracture mechanics-based four-point testing technique. Secondary ion mass and X-ray photoelectron spectroscopy depth profiling is used to quantify interface chemistry, including residual oxygen concentration, and determine how this affects adhesion of the barrier film. In the second example, we consider even thinner films ( <30 Å) at the front-end transistor level. Here new high-k dielectric and metal gate electrode materials are being introduced to address the fundamental scaling limits of SiO2 dielectrics and issues such as poly-silicon gate depletion. We again demonstrate how quantitative adhesion testing can be accomplished with similar techniques and how surface sensitive characterization methods, such as angle-resolved X-ray photoelectron spectroscopy, can be used to characterize interface chemistry. In both examples, adhesion results and significantly improved test yields are compared and contrasted with conventional four-point adhesion metrologies.
F2: Cu Dielectric Interface
Session Chairs
Tuesday PM, April 06, 2010
Room 2010 (Moscone West)
2:30 PM - F2.1
High Quality NH2SAM (Self Assembled Monolayer) Diffusion Barrier for Advanced Copper Interconnects.
Aranzazu Maestre Caro 1 2 , Guido Maes 2 , Gustaaf Borghs 1 , Silvia Armini 1 , Youssef Travaly 1
1 , IMEC, Leuven Belgium, 2 Department of Chemistry, KULeuven, Leuven Belgium
Show AbstractThe trend for future integrated circuits (IC) is decreasing in size beyond the conventional limits. The recent transition from aluminum to copper as the interconnect material for IC is due to copper’s higher resistance to electromigration and its lower resistivity. Unfortunately, copper has high mobility in Si and SiO2 and may cause destruction of electrical connections on the chip. Hence, there is a significant necessity in finding ultra thin, thermally stable, high quality and good adhered diffusion barriers. The most widely used barrier is pure Ta films or layer stacks consisting of Ta and TaN. These have excellent conformality, very good uniformity and high thermal stability. But The continuous scaling down of the interconnect dimensions lead to an essential decrease in the barrier layer effective thickness to less than 5nm; coupled with the replacement of silicon oxide by advanced low-k dielectrics it demand further improvements of the diffusion barrier performance. For that reason Self-assembled monolayers (SAMs), with thicknesses of 2nm or less, have been propose for copper diffusion barrier application. By tailoring the structure of these monomolecular organic films, atomic scale properties can be controlled and selective surfaces and interfaces can be engine as desired for a specific application.In the presented work, the quality of an amino-terminated SAM barrier (NH2SAM) is tested. A high density and the absence of pinholes in the barrier layer are essential for a good barrier performance. First, the macroscopic quality of the NH2SAM barrier has been characterized by Water contact angle (CA) and High resolution AFM (HR-AFM). Secondly, the density and the presence and/or absence of pinholes have been tested by Ellipsometry and Cylic Voltametry (CV). Finally, the intrinsic barrier performance in form of Time- dependent dielectric breakdown (TDDB) lifetime has been extracted from planar capacitor structures that permitted to measure the leakage/Cu diffusion through barrier in the vertical direction.The Contact angle of layers formed at different deposition times show a variation of the hydrophilic SiO2 substrate to hydrophobic already with 1min deposited NH2SAM layer. A 15min deposited NH2SAM (~1nm), results in a continuous and pinhole free layer observed by HR-AFM. The refraction index (η) calculated by ellipsometry, indicates an increase in the density of the layer with the deposition time. On the other hand, cyclic voltametry shows inhibition of the electrochemical reduction of Fe3+ specimen to Fe2+ when NH2SAM is formed on ~2nmSiO2/Si electrodes. A decrease in the capacitive current is observed by increasing the layer thickness and density. The intrinsic barrier performance of the NH2SAM barrier by TDDB is demonstrated with an increase of 10 times the capacitor lifetime by comparing with no barrier system.
2:45 PM - F2.2
Fluoroalkyl Organosilane Nanolayers for Inibiting Copper Diffusion into Silica.
Saurabh Garg 1 , Ranganath Teki 1 , Binay Singh 1 , Michael Lane 2 , Ganpati Ramanath 1
1 Materials Science and Engineering, Rensselaer Polytechnic Institute, Troy, New York, United States, 2 Chemistry, Emory and Henry College, Emory, Virginia, United States
Show AbstractThe downscaling of device feature sizes to the nanometer regime places stringent requirements on the thermochemical integrity of interfaces in device architectures. The copper-dielectric surface is a classic example, where barrier layers are necessary to decrease leakage currents due to copper ionization and diffusion. Currently used 3- to 5-nm-thick metal-based barrier layers are not viable solutions for emerging device technologies because they encroach the space meant for low resistivity copper and thinner layers offer poor conformality in high aspect ratio features. Molecular nanolayers (MNL) comprised of 0.7 to 2 nm long molecules are attractive alternatives since they can immobilize copper through interfacial bonding and form conformal layers by self-assembly. Here, we demonstrate a novel strategy of using low-polarizability hydrophobic organosilanes that inhibit copper diffusion by suppressing Cu ion formation. In particular, we show that incorporating nono-fluoro-trimethoxysilane (NFTMS) at Cu/silica interfaces leads to a four-fold enhancement in barrier properties compared to pristine Cu/SiO2 structures. In addition, we reveal the correlation between interface hydrophobicity and failure time of test devices during biased-temperature-annealing (BTA) by investigating time-dependent defluorination of the fluorocarbon MNL using UV light. X-ray photoelectron spectroscopy (XPS) study shows that, the UV exposure results in fluorine removal by selective cleavage of C-F bond, resulting to a factor of 4 decreases in the fluorine content after 250 min of UV treatment. This result is in agreement with the consistent decrease in the contact angle, indicating decreased hydrophobicity of the interface. Sessile 50 µL DI water drops on the NFTMS-MNL surface exhibit a contact angle of 107° ± 3°, which plunge to 71° ± 4° after texp = 250 min. We observe a factor of ~ 2 decrease in the BTA failure times after 250 min of UV exposure, suggesting the importance of hydrophobic interface resulting from fluorine moieties. The superior barrier properties of the fluoralkyl nanolayer are attributed to diminished water intake which inhibits Cu ion formation due to the higher hydrophobicity of the fluoroalkyl moieties. Our strategy offers promise for realizing dielectrics and interfaces with tunable moisture content, important for enhanced barrier performance.
3:00 PM - F2.3
Fabrication of Organic Thin Films for Copper Barrier Layers Using Molecular Layer Deposition.
Paul Loscutoff 1 , Scott Clendenning 2 , Stacey Bent 1
1 Chemical Engineering, Stanford University, Stanford, California, United States, 2 , Intel Corporation, Hillsboro, Oregon, United States
Show AbstractDevice scaling predicts that copper barrier layers of under 3 nm in thickness will soon be needed in back-end processing for integrated circuits, motivating the development of new barrier layer materials. One possible solution under investigation is organic thin films. In this work, nanoscale organic thin films for use as copper barrier layers are deposited by molecular layer deposition (MLD), a technique analogous to atomic layer deposition for inorganic films, utilizing a series of self-limiting reactions of organic molecules. A major advantage of MLD for such barriers is the ability to tailor the properties of the organic barrier by changing the organic small molecule reactants. These changes can be combined to optimize desirable barrier properties, including high film density, high copper surface adhesion, high thermal stability, and low copper diffusion through the film. Three systems are examined as copper diffusion barriers: the reaction of 1,4-phenylene diisocyanate (PDIC) and ethylenediamine (ED) to deposit polyurea films, the reaction of PDIC and 2,2’-thiobis(ethylamine) (TBEA) to deposit polyurea films with a sulfide-modified backbone, and the reaction of 1,4-phenylene diisothiocyanate (PDITC) and ED to deposit polythiourea films, with a modified coupling chemistry. All films are grown on a silicon substrate that has been modified by vapor deposition of 3-aminopropyltriethoxysilane to yield an amine-terminated surface. Following deposition of the MLD films, copper is deposited through sputtering and evaporation. The copper barrier properties of the film are tested through adhesion and annealing tests, including four point bend testing and TEM imaging to examine the level of copper penetration into the films. The promise and challenges of MLD-formed organic barrier layers for copper interconnects will be discussed.
3:15 PM - F2.4
Thermal Conductance Enhancement at a Molecularly-modified Metal-dielectric Interface.
Peter O'Brien 1 , Jianxiun Liu 2 , Ranganath Teki 1 , Pawel Keblinski 1 , Theo Borca-Tasciuc 3 , Masashi Yamaguchi 2 , Ganpati Ramanath 1
1 Materials Science and Engineering, Rensselaer Polytechnic Institute, Troy, New York, United States, 2 Physics, Applied Physics, and Astronomy, Rensselaer Polytechnic Institute, Troy, New York, United States, 3 Mechanical, Aeronautical and Nuclear Engineering, Rensselaer Polytechnic Institute, Troy, New York, United States
Show AbstractAlthough thermal phenomena are not directly responsible for the operation of integrated circuits, they are critical for chip reliability, performance, and design. As heat generation is a major limiting factor in the scaling of device speed and density, controlling interfacial heat transport is perhaps the most important design challenge for emerging device technologies. Here, for the first time, we demonstrate the use of a molecular nanolayer (MNL) at a metal/dielectric interface to enhance the interfacial thermal conductance Gi, as measured by the transient pump-probe thermoreflectance technique. The idea of using a soft organic monolayer to increase the thermal conductance between hard materials is completely unexpected, but has wide-ranging implications for nanoscale heat dissipation in emerging nano/micro-electronic devices. A mode-locked Ti:Sapphire laser with a pulse width of ~100 fs is used to heat a metal-dielectric thin film structure, and the thermal decay profile obtained by monitoring the film’s reflectivity (with ps resolution) is used to extract Gi. Our results show that strongly adhered Cu/MNL/silica interfaces exhibit 60% higher thermal conductance compared to unfunctionalized Cu/silica interfaces. We show that Gi is positively correlated with the strength of interfacial bonds, a result that is supported by molecular dynamics simulations of thermal conductance for different bond strengths. Combined with the previously demonstrated ability to tailor interfacial adhesion through MNL functionalization1, this result enables an entirely new method of engineering the thermal properties of interfaces.
1D.D. Gandhi, M. Lane, Y. Zhou, A. Singh, S. Nayak, U. Tisch, M. Eizenberg, and G. Ramanath: Annealing-induced interfacial toughening using a molecular nanolayer. Nature 447, 299 (2007)
3:30 PM - F2.5
Delamination Nanomechanics at a Molecularly-tailored Heterointerface.
Ashutosh Jain 1 , Saurabh Garg 1 , Ranganath Teki 1 , Michael Lane 2 , Ganpati Ramanath 1
1 Materials Science and Engineering, Rensselaer Polytechnic Institute, Troy, New York, United States, 2 Chemistry Department, Emory and Henry College, Emory, Virginia, United States
Show AbstractPartitioning the interface fracture toughness ΓFT into bond-breaking energy γa and plastic energy γp is essential to develop atomistic models of interface fracture, and is of central importance in designing heterointerfaces for applications. However, uncertainties in crack path, fracture surface area, type and number of bonds broken, and whether or not plastic deformation occurs in both materials pose exacting experimental challenges. Thus, current descriptions of interfacial toughness neglect either plasticity (brittle fracture) or adhesion (ductile fracture) contributions towards interfacial toughness, or are based mainly on theoretical models. Here, we experimentally quantify for the first time these contributions to the ΓFT of a model copper-silica interface with a molecularly tailored monolayer. This model system obviates uncertainties in crack path and the type of bonds broken by constraining fracture to a nanoscopically confined plane via exclusive siloxane bond fissure at the interface, and plastic deformation is confined to the copper layer. Since siloxane bridges are susceptible to hydrolysis, varying the water activity awater allows us to measure ΓFT as a function of γa, and separate and express γp in terms of γa. We find that at high awater the fracture toughness comprises of only γa. Decreasing awater increases γa, but the rate of increase of ΓFT increases above a critical value of γa = γ0, due to the onset of copper plasticity. This result provides a direct method to quantify flow stress σy for thin film copper, in excellent agreement with values determined by nanoindentation and theoretical modeling. At low awater the plastic energy γp increases with γa, given by the equation γp = 1.8(γa - γ0), enabling us to isolate contributions of plasticity as well as its dependence on γa. Our experiments with different film thicknesses and temperatures reveal that copper plasticity is a thermally activated process with an activation energy Ea = 0.015 eV/atom corresponding to parallel glide observed in ultrathin copper films under high stress. Our results constitute the first-time experimental determination of plasticity dependence on adhesion and validation of Griffith-Irwin descriptions for hetero-interfaces where neither plasticity nor bond-breaking components can be neglected. Our approach of confining interfacial fracture to occur through fissure of a single type of bonds in a molecular nanolayer is attractive for understanding partitioning fracture energy into bond-breaking and plasticity components in other materials systems.
3:45 PM - F2.6
Effects of Interface Morphology Corrugation on Fracture Toughness of Molecularly Tailored Copper-silica Interfaces.
Ranganath Teki 1 , Saurabh Garg 1 , Vijayashankar Dandapani 1 , Ashutosh Jain 1 , Michael Lane 2 , Ganpati Ramanath 1
1 Materials Science and Engineering, Rensselaer Polytechnic Institute, Troy, New York, United States, 2 Chemistry, Emory and Henry College, Emory, Virginia, United States
Show AbstractThe structural integrity of the metal-dielectric interface plays a crucial role in the reliability and performance of nanoelectronic devices, e.g., it impacts electromigration, residual stresses and overall chip package integration. Interface morphology plays a key role in the above, especially in multilevel wiring architectures that involve high aspect ratio features. For example, plastic deformation in metal lines is known to be sensitive to the feature size and aspect ratio as well as the stiffness of the adjacent dielectric materials. Furthermore, interfacial non-planarity can lead to higher fracture toughness due to mechanical interlocking, increased debonding area, debond kinking and asperity contacts. Here, we demonstrate the role of interface morphology on Cu-silica interfaces tailored with molecular nanolayers (MNL). We have studied the effect of interface corrugation of different widths, aspect ratios, and spacing on the toughness of Cu/SiO2 and Cu/MNL/SiO2 interfaces. We have also studied the efficiency of such corrugations as crack-stop structures, to addr