Symposium Organizers
Juan de Pablo, University of Chicago
Roel Gronheid, IMEC
Phillip D. Hustad, Dow Electronic Materials
R. Joseph Kline, National Institute of Standards and Technology
Symposium Support
AZ Electronic Materials USA Corp.
Brewer Science Inc.
EUVL Infrastructure Development Center, Inc.
TOKYO OHKA KOGYO CO., LTD.
University of Chicago
KK2: Directed Self Assembly for Nanopatterning - Processing I
Session Chairs
Daniel Herr
Mark Stoykovich
Monday PM, December 01, 2014
Hynes, Level 1, Room 104
2:30 AM - *KK2.01
Block Copolymer Nanopatterning for Three-Dimensional, Flexible and Complex Geometry
Sang Ouk Kim 1 2
1KAIST Daejeon Korea (the Republic of)2Institute for Basic Science (IBS) Daejeon Korea (the Republic of)
Show AbstractBlock copolymer (BCP) self-assembly generates dense and periodic nanodomains of spheres, cylinders and lamellae, whose characteristic dimensions can be as small as 3 nm. Such self-assembly in thin films can create lithographic nanotemplates with pattern precision hardly attainable by other methods. Unfortunately, BCP self-assembled nanopatterning has been regarded as an intrinsic two-dimensional patterning method specifically useful for hard and flat inorganic substrates. The well-established processing steps involved with the formation of uniform thickness, ultrathin BCP film via spin casting and subsequent thermal/solvent annealing are generally considered incompatible to three-dimensional geometries or conventional flexible polymer substrates with low chemical/thermal stability and surface roughness typically larger than nanoscale. Furthermore, genuine self-assembled nanopatterns provide only parallel line arrays or hexagonal dot array, whose practical application for device fabrication is highly limited. In this presentation, I will present BCP nanopatterning for three-dimensional, flexible and complex geometry. Mechanically robust but compliant chemically modified graphene (CMG) film will be introduced as a transferrable and disposable substrate for the self-assembled nanopatterning of nonplanar, flexible, and even multi-stack device oriented structures. Taking advantage of the high chemical/thermal stability, genuine atomic scale flatness, and mechanical robustness with compliance, graphene based materials can be excellent substrates for nanopatterning. While pristine graphene has a low surface energy, CMG prepared via graphene oxide, may have an improved surface energy to promote wettability to a BCP thin film. Additionally, CMG is obtainable from natural graphite in a cost effective manner. BCP nanopatterns stably formed at CMG film can be readily transferred onto three-dimensional or flexible substrates. I will also present multicomponent nanopatterns consisting of various combinations of nanowires and nanodots enabled by muti-layer repeated BCP nanopatterning. In this approach, BCP nanopatterns direct the nanoscale lateral ordering of the overlaid second level BCP nanopatterns to create the superimposed multicomponent nanopatterns incorporating nanowires and nanodots. This approach introduces diverse chemical composition of metallic elements including Au, Pt, Fe, Pd, and Co into sub-10-nm scale nanopatterns.
3:00 AM - KK2.02
Directed Assembled Metal Oxide Nanostructures and 3D Characterization of Block Copolymers via Sequential Infiltration Synthesis
Tamar Segal-Peretz 1 2 Mahua Biswas 1 Jiaxing Ren 2 Nestor Zaluzec 1 Jeff W. Elam 1 Paul F. Nealey 2 1
1Argonne National Lab Naperville USA2University of Chicago Chicago USA
Show AbstractDirected self-assembly of block copolymers (DSA) has been explored extensively for patterning periodic nanostructures for transistors and hard drives manufacturing. Recently, sequential infiltration synthesis (SIS), an atomic layer deposition technique which enables block-selective incorporation of inorganic composites within the polar blocks, was introduced as a technique to enhance block copolymer etch contrast. Here we show that SIS can be harnessed to fabricate a variety of metal oxide nanostructures with different morphologies and thicknesses, while enabling the three dimensional characterization of DSA structures using electron microscopy.
Cylindrical and lamellar forming polystyrene-block-poly(methyl methacrylate) (PS-b-PMMA) block copolymers were self-assembled on chemically patterned substrates and on non-preferential substrates to form a template for SIS. A combination of scanning electron microscopy imaging andd grazing incident small angle x-ray scattering shows that by employing SIS in highly ordered directed self-assembled lamellar films, aligned metal oxide nanostructures can be fabricated with dimensions down to 12 nm. By tuning the block copolymers and substrate chemistries we have fabricated Al2O3 nano-posts and membranes with 15 nm posts and pore diameter respectively. The three dimensional structure of these and other self-assembled block copolymers was characterized using transmission electron microscopy (TEM) and focused ion beam (FIB) - scanning electron microscopy (SEM) cross sections. The SIS process not only allows additional degree of freedom of control over the structure dimensions and properties, but also dramatically enhances imaging contrast which leads to detailed 3D characterization that was not possible before.
3:15 AM - KK2.03
Macroscopic Alignment of Block Copolymer Thin Films Using Solvent Vapor Annealing with Soft Shear
Zhe Qiang 1 Bryan Vogt 1 Kevin Cavicchi 1
1University of Akron Akron USA
Show AbstractAlthough directed self-assembly of block copolymer (BCP) overcomes challenges associated with the fabrication of sub-20 nm features by conventional photolithography, the lithographic (e.g., E-beam) costs associated with generating chemically or topologically patterned substrates likely limits these techniques to high value added products, such as microelectronics. However, alignment of the self-assembled nanostructures and minimizing the defect density over macroscopic dimensions could be useful for other applications that cannot absorb the costs associated with perfect directed self-assembly. Here, we introduce solvent vapor annealing with soft shear (SVA-SS) method, to fabricate macroscopically aligned cylindrical domains of monolayer and bilayer poly(styrene-block-dimethysiloxane) (PS-b-PDMS) with Herman&’s orientation factor higher than 0.9. The aligned PS-b-PDMS films can be transformed into arrays of silica lines on the substrate followed by UV-ozone and calcination. The silica array with pitch width 18 nm and the silica line width 10 nm can be obtained from monolayer PS-b-PDMS. For the silica array from bilayer PS-b-PDMS, the pitch width is decreased to 9 nm due to the deposition of silica lines derived from upper layer onto the substrate and between the silica structures from lower layer. These results indicate the capability of SVA-SS for fabricating macroscopically aligned silica nanolines with attainable sub-10 nm pitch width derived from PS-b-PDMS films. These silica nanopatterns provides a potential route for the generation of complex hierarchical assembly structures.
3:30 AM - KK2.04
Recent Progress on Defect Reduction in Imecrsquo;s 14nm Half Pitch Chemo-Epitaxy DSA Flow
Roel Gronheid 1 Hari Pathangi 1 Paulina Rincon Delgadillo 1 2 Yi Cao 3 YoungJun Her 3 Dieter Van den Heuvel 1 Kathleen Nafus 4 Mark Somervell 4 Ryota Harukawa 5 Venkat Nagaswami 5 Paul Nealey 2
1IMEC Leuven Belgium2University of Chicago Chicago USA3AZ Electronic Materials Somerville USA4Tokyo Electron America Austin USA5KLA Tencor Milpitas USA
Show AbstractDefectivity is one of the most critical challenges that need to be understood and controlled in order to introduce Directed Self-Assembly (DSA) as patterning technology for integrated device manufacturing. The DSA program at imec, which is run in close collaboration with our industrial and academic partners, includes a big effort to identify the boundary conditions that needs to be met in order to achieve low defect DSA patterns. In this paper the progress in DSA defectivity within the imec program will be discussed.
In previous work, the importance of pattern transfer for defect inspection sensitivity has been demonstrated. Using this methodology, various defect causes including particle sources, material interactions and pre-pattern imperfections were revealed. The specific efforts that have been undertaken to reduce defectivity in the line/space chemo-epitaxy DSA flow that is used for the imec defectivity studies will be discussed. Over the past 6 months a >20x reduction in DSA defectivity has been achieved. Further requirements for achieving manufacturable defect levels will be determined from this study.
3:45 AM - KK2.05
Plasma Etch Considerations for Roughness Improvements during DSA Pattern Transfer
Vinayak Rastogi 1 K. A. Kumar 2 A. Ranjan 1 E. Hosler 2 R. A. Farrell 2 M. E. Preil 3
1TEL Technology Center, America, LLC Albany USA2Globalfoundries U.S. Inc. Malta USA3Globalfoundries Santa Clara USA
Show AbstractThere is continuous effort to establishing alternative patterning strategies other than optical lithography as the semiconductor manufacturing industry is pushing towards sub-30nm technology node. EUV Lithography, 193nm Immersion augmented with multiple patterning schemes (‘Self Aligned Double Patterning - SADP&’, ‘Self Aligned Quadruple Patterning - SAQP&’) and ‘Directed Self Assembly - DSA&’ are considered to be the promising candidates and being evaluated as alternatives to meet rising demands of aggressive patterning. DSA is not a ‘Gladiator&’ per se but when augmented with 193 nm Immersion guide pre-patterns can aid in reducing the pitch of final structures. There is no infrastructure upgrade cost involved as the key processing steps of DSA are conducted in wafer track system. We have successfully demonstrated DSA pattern transfer into metal hard mask for BEOL application and non-metal hard mask for FEOL applications using TEL Etch Systems. However DSA comes with its own challenges posed in the form of polymer to polymer selectivity, mask budget, incoming defectivity, mask shape, critical dimension control, line edge roughness. Some of these challenges can be addressed by using spatially uniform low density plasma obtained in dual frequency mid-gap capacitively coupled plasma etcher. In this work we present the unique advantages of TEL Etch Systems and parametric considerations for O2/Ar based plasma etch of PS-PMMA films. Our target is to reduce Line Edge Roughness (LER) and Line Width Roughness (LWR) during plasma etch pattern transfer using DSA Lithography. The effect of deposition rich gases is also investigated for preferential passivation of PS mask during PMMA and antireflective layer etch. This work was performed by the research teams of Tokyo Electron and GLOBALFOUNDRIES at Albany Nanotech Development Facilities.
4:30 AM - *KK2.06
Enabling Sub-10nm Lithography from Block Copolymers in Time for Bit Patterned Media
Ricardo Ruiz 1 Lei Wan 1 He Gao 1 Yves-Andre Chapuis 1 Kanaiyalal C Patel 1 Shisheng Xiong 2 Paul F. Nealey 2 Thomas R. Albrecht 1
1HGST, a Western Digital Company San Jose USA2The University of Chicago Chicago USA
Show AbstractBlock copolymer lithography has achieved a high enough level of maturity to be considered for nanofabrication in magnetic recording bit patterned media and in some applications in the semiconductor industry. As the demand for lithographic dimensions squeezes into the single-digit nm scale, block copolymer lithography continues to make advances as a promising enabler of large area, high density patterning beyond optical lithography. Among the various block copolymer materials used for lithography, poly(styrene-b-methyl methacrylate), PS-b-PMMA, is the only system that has reached a high enough level of maturity ready to be integrated with a template fabrication process. However, PS-b-PMMA, can only form line/space patterns (with a corresponding pattern transfer) above 10 nm. Bit patterned media applications, for example, with an insertion point at around 2Tdot/in2 will require dimensions well into the single-digit nm range. A timely solution for template fabrication with dimensions below 10 nm may consist of a complementary process in which a self-aligned double patterning, SADP, process is applied after block copolymer directed self-assembly, DSA, to further divide the pitch in half enabling features well into the single-digit space.
Other promising alternatives look into block copolymers with higher segregation strengths (higher chi;) that are capable of forming features below 10 nm, although these may require additional control over the film&’s top interface to maintain a perpendicular orientation of the domains. But regardless of the specific choice of material, pairing block copolymer materials that segregate at these dimensions with adequate pattern transfer techniques is increasingly difficult. We have pursued an approach that incorporates several of the state of the art techniques in alternative lithography such as selective deposition by atomic layer deposition, self-aligned double patterning, e-beam lithography and nanoimprint lithography together with block copolymer self-assembly to enable sub-10 nm features in time for high density bit patterned media.
5:00 AM - KK2.07
Laser Spike Annealing of PS-Block-PDMS Block Copolymers for DSA Applications
Jing Jiang 1 Brandon Wenning 1 Michael Thompson 1 Christopher Kemper Ober 1
1Cornell University Ithaca USA
Show AbstractDirected self-assembly of controlled morphology block copolymers is becoming a practical alternative to DUV lithography for next generation nanopatterning. High chi; block copolymers like PS-block-PDMS are capable of forming sub-10nm half pitch with the help the some annealing methods if processed through an controlled order-disorder transition. Previous studies using PS-block-PMMA materials indicate that as a function of thermal annealing temperature, the rates of microstructure perfection follow arrehenius law behavior. This implies that going to higher temperature should permit much faster perfection of microstructure. In our studies, we used a diode laser to heat block copolymer films of PD-block-PDMS to high temperature and simultaneously decrease the thermal annealing time to less than 10ms which is 10-4 to 10-6 orders of magnitude smaller than conventional oven or hot plate annealing. The long range order of the cylinderical morphology increased with increasing temperature and annealing time. The effects of neutral layer chemistry on the correlation length were also studied. With the help of graphoepitaxy patterning and surface modification, PS-block-PDMS is able to assemble with long range order in milliseconds. In summary, we are able to rapidly achieve ordered sub-10nm structures using graphoepitaxy directed self-assembly of PS-block-PDMS by laser spike annealing.
5:15 AM - KK2.08
Ordering Dynamics in PS-b-PMMA Block Copolymer Films during High Temperature Thermal Treatments
Michele Perego 2 Federico Ferrarese Lupi 2 Tommaso Jacopo Giammaria 2 Flavio Giovanni Volpe 2 Monica Ceresoli 2 Gabriele Seguini 2 Luca Boarino 3 Diego Antonioli 1 Valentina Gianotti 1 Katia Sparnacci 1 Michele Laus 1
1Universitamp;#224; del Piemonte Orientale amp;#8220;A. Avogadro" Alessandria Italy2CNR-IMM Agrate Brianza Italy3INRIM - Nano Facility Piemonte Torino Italy
Show AbstractSelf-assembling (SA) diblock copolymers (DBCs) generate nanostructured patterns that could be useful for advanced lithographic applications. The integration of these polymeric materials in a conventional lithographic process flow requires the implementation of fast, simple and reliable technologies to drive the self-assembling process. In this regards, the understanding of the ordering dynamics in polystyrene-b-polymethylmethacrylate (PS-b-PMMA) DBCs thin films, subjected to high temperature thermal treatments, is fundamental in order to guarantee the capability to effectively guide the process toward the desired target with a process that is fully compatible with the stringent requirements of semiconductor industry. In this study, we investigated the pattern coarsening dynamics of asymmetric PS-b-PMMA DBCs thin films (Mn= 67 kg/mol) when operating in a Rapid Thermal Processing (RTP) system at temperatures close to the order-disorder transition. In particular, a systematic study of the ordering dynamic as a function of the annealing conditions is presented for PS-b-PMMA films with thickness ranging from 10 to 400 nm. We observed the formation of highly ordered patterns, with a maximum value of orientational correlation length of 400 nm, that is independent of the film thickness up to 200 nm. The complete propagation of the hexagonally packed cylindrical domains through the entire film is subsequently probed by means of a simple lift-off process, demonstrating the perfect perpendicular organization of the nanodomains in the PS-b-PMMA films with thickness ranging from 10 to 170 nm. The kinetic evolution of the lateral order at high temperature (T = 250 and 270 °C) revealed the occurrence of a double coarsening regime characterized by a fast evolution of the system during the early stages of the process followed by a slow evolution of the lateral order.
F. Ferrarese Lupi et al., "Rapid thermal processing of self-assembling block copolymer thin films", Nanotechnology, 24 (31), 315601 (2013).
5:30 AM - KK2.09
Laser-Induced Thermal Gradients as a Driving Force for Self-Assembly in Soft Matter
Jonathan P. Singer 1 Edwin L. Thomas 3 2 Chinedum O. Osuji 1
1Yale University New Haven USA2Rice University Houston USA3Rice University Houston USA
Show AbstractSoft materials (e.g. polymers, liquid crystals) and composites thereof, as opposed to hard material analogues, are far more susceptible to thermal driving forces for self-assembly. There are many reasons for this, including (i) low temperature glass, order-order, and order-disorder transitions, (ii) highly thermally tunable expansion, viscosity, surface tension, and molecular mobility, and (iii) comparable magnitudes of surface and elastic stresses. We have recently demonstrated the use of laser absorption as a versatile method for the generation of extreme thermal gradients (106-109 K/m) and the subsequent generation of large driving force gradients by the aforementioned coupled mechanisms. The advantages of optical excitation in this context arise from a combination of the ability for near-instantaneous and precise spatial application and the fact that the resulting thermal environment does not depend on the macroscopic thermal properties of the sample and stage. Instead, the environment depends on the coupled optical properties of the excitation source (degree and position of focus, intensity, temporal profile) and local thermally-dependent optical (complex indices of refraction) and thermal (conductivity and capacity) properties of the sample and sub/superstrate (especially for very thin samples). This permits a high degree of control over the peak temperatures and gradient profile, which can be readily simulated by multiphysical finite element method software for tracking the thermal history. Furthermore, by incorporating the laser into a laser writing system, the gradient pattern can be controlled both spatially and temporally and thereby translated into a direct-write patterning or metrology process. This presentation highlights implementations of this method that utilize both in-plane and out-of-plane thermal gradients for the directed self-assembly of various soft matter systems, including homopolymers, block copolymers, and nanoparticle-polymer composites.
5:45 AM - KK2.10
Laser Zone Annealing- A Novel Method for Rapid Block Copolymer Self-Assembly and Surface Patterning
Pawel W Majewski 1 Kevin Yager 1
1Brookhaven National Laboratory Upton USA
Show AbstractWe present a new method of accelerated self-assembly of block copolymers utilizing laser light, called Laser Zone Annealing (LZA). In this approach the laser beam, focused in the form of narrow line, is rastered across the polymer film coated onto the light-absorbing substrate, causing very rapid but localized increase in temperature of the film. The timescales of phase separation and subsequent growth of periodic structural domains in LZA are several orders of magnitude shorter than in conventional oven annealing (seconds vs. hours) due to the utilization of extremely steep temperature gradients enhancing the kinetics of self-assembly. We also show that LZA can be used to spatially direct the development of polymer domains by combining the technique with soft-shear leading to monolithically aligned surface patterns, extending over macroscopic area, ready for subsequent templating of inorganic nanostructures such as metallic or semiconducting nanowires.
Research carried out in part at the Center for Functional Nanomaterials, Brookhaven National Laboratory, which is supported by the U.S. Department of Energy, Office of Basic Energy Sciences, under Contract No. DE-AC02-98CH10886.
KK1: Directed Self Assembly for Nanopatterning
Session Chairs
R. Joseph Kline
Ricardo Ruiz
Monday AM, December 01, 2014
Hynes, Level 1, Room 104
9:30 AM - *KK1.01
Reinventing the Nanoelectronics Industry - A Nature Inspired Convergence of Form and Function
Daniel J. C. Herr 1
1UNC-Greensboro/JSNN Greensboro USA
Show AbstractThe semiconductor industry is undergoing a dynamic and strategic transformation. The seeds of change were planted at the 2006 International Technology Roadmap for Semiconductors (ITRS) winter meeting in Taiwan, when the community projected the rapid emergence of a new functionally diversified application era (More-than-Moore), and identified several fundamental physical scaling (More Moore) challenges. Over the next several years, compelling opportunities in adjacent spaces emerged, through events, such as SRC&’s BERT Roundtables and SemiSynBio Workshop. These forums provided the transformative first steps towards establishing a common language and win-win opportunities for the semiconductor and biotechnology communities. For example the BERT II Roundtable identified personalized medical diagnostics and monitoring as the top application opportunity, as it would leverage the strength of the semiconductor industry&’s high volume nanomanufacturing infrastructure to address a high impact health care need. More recently, the 2012 ITRS acknowledged that “during the blazing progress propelled by Moore&’s Law of semiconductor logic and memory products, many other technologies have progressed as well. hellip; The “More than Moore” (MtM) industry trend encompasses functionalities that do not necessarily scale according to “Moore&’s Law,” but provide additional value to the end customer in different ways”, without competing with miniaturization.” [2012 ITRS Overview, p. 2] Additionally, directed self-assembly (DSA) remains on track for targeted manufacturing insertion within the next 3-5 years. This spring&’s launch of the ITRS 2.0 affirms that we have passed the tipping points for DSA and MtM systems.
From a materials and fabrication perspective, the future application opportunities landscape encompasses, but is much broader than, the ITRS&’s current nanoelectronics centric vision. Many of these new market opportunities will leverage the convergence between multiple adjacent technology sectors, such as information processing, sensing, actuation, energy, health care, security, communication, etc. New approaches to the manufacturing and directed self-assembly of complex functional products on non-traditional substrates; such as flexible platforms, biocompatible and biomimetic thin films, nanoengineered composites, textiles, etc.; will enable a new generation of high value products. Functional DSA represents the next evolutionary step in the cost effective assembly and fabrication of really small, complex, and useful stuff. This presentation will explore a hierarchy of assembly options and several emerging killer application opportunities that leverage the power of FDSA to enable the fabrication of monolithically integrated complex functional nanosystems.
10:00 AM - *KK1.02
Directed Assembly of Block Copolymer Films on Lithographically-Defined Chemically Nanopatterned Surfaces
Paul F. Nealey 1
1University of Chicago Chicago USA
Show AbstractThrough fundamental experimental and theoretical understanding of the processes that govern assembly of block copolymer films in the presence of lithographically-defined chemically nanopatterned substrates, we seek to synthesize and assemble such materials to enable fabrication at length scales not possible with traditional manufacturing processes. The underlying motives for pursuing directed assembly of block copolymers for patterning are resolution enhancement, retaining precision in the positioning of each patterned feature, and fine control over the shapes and dimensions of patterned features. Here we will address three critical issues to advance directed self-assembly (DSA) over the tipping point towards widespread implementation: 1) meeting manufacturing requirements related to degrees of perfection, processing latitude, and integration of the technology with existing infrastructure, and device design for use with DSA patterns, 2) scaling of DSA materials and processes to enable patterning in the 5 to 10 nm regime, and 3) developing a suite of complimentary metrology techniques to capture the details of the three-dimensional structure of individual block copolymer domains, and the collective averages of three-dimensional structure and pattern perfection over large areas. It is the combination of all of these experimental techniques in conjunction with the powerful and predicative molecular simulation results that provides the detailed information required to evaluate DSA processes in the context of meeting the stringent constraints of manufacturing at the nanoscale.
10:30 AM - KK1.03
Recent Progress in Line/Space Patterning with Graphoepitaxial Block Copolymer Directed Self Assembly
Phillip D. Hustad 1 Peter Trefonas 1 Shih-wei Chang 1 Christopher N. Lee 1 Dung Quach 1 Mingqi Li 1 Janet Wu 1 Dan B. Millward 2 Gurpreet Lugani 2 Ranjan Khurana 2 Scott L. Light 2
1Dow Electronic Materials Marlborough USA2Micron Technologies, Inc. Boise USA
Show AbstractDirected self-assembly (DSA) of block copolymers (BCPs) is a promising technology for advanced patterning at future technology nodes, but significant hurdles remain for commercial implementation. This presentation will highlight recent progress toward implementation of line space patterning using trench graphoepitaxial DSA with surface-parallel cylindrical morphologies of “high-chi;” block copolymers. A process for line/space patterning through pattern transfer will be demonstrated using high-volume relevant lithographic processes and materials. A comparison will be made to alternative line/space patterning methods with particular consideration to several critical patterning parameters, including line and space critical dimensions, pattern profile, line width roughness, and defectivity.
10:45 AM - KK1.04
Profile Control in the Self-Assembly of Block Copolymers for Lithographic Applications
Chunlin He 1 Mark Stoykovich 1
1University of Colorado - Boulder Boulder USA
Show AbstractThe domain orientation and long-range order of block copolymer nanostructures self-assembled in thin films can be achieved using various directed self-assembly techniques, however, more challenging but equally important for many lithographic applications is the ability to precisely control the shape of the interface between domains. We have developed a layer-by-layer approach for controlling the interface profile of block copolymer nanostructures, and demonstrated an undercut sidewall profile for metal lift-off processes for pattern transfer. Bilayer films of lamellar-forming poly(styrene-block-methyl methacrylate) were assembled and cross-linked on wafer substrates in a layer-by-layer process. The top layer, while being directed to self-assemble on the lamellae of the underlying layer, had a tunable composition and polystyrene domain width independent from the underlying layers. Undercut or negative sidewall profiles in the PS nanostructures, as shown through electron microscopy and optical transmission measurements, to yield better templates for the lift-off of Au nanowires by achieving complete and defect-free pattern transfer ~3-fold faster than comparable systems with vertical sidewall profiles. More broadly, this layer-by-layer approach may enable sophisticated interface profiles and user-defined three-dimensional block copolymer nanostructures in thin films.
11:30 AM - *KK1.05
Pushing the Limits with Block Polymers: Large chi;, Small N and Fluctuation Effects
Frank S Bates 1 Sangwon Kim 1 2 Justin Kennemur 1 Nealey Paul 3 Marc A Hillmyer 4
1University of Minnesota Minneapolis USA2University of California Santa Barbara USA3University of Chicago Chicago USA4University of Minnesota Minneapolis USA
Show AbstractThe inexorable drive to create patterns with increasingly smaller features in polymeric thin films necessitates the use of block polymers with asymptotically low molecular weights. However, the lowest feasible degree of polymerization (N) is governed by the limit at which the material disorders, which is controlled by the product chi;N, where chi; is the Flory-Huggins segment-segment interaction parameter. In the mean-field limit the order-disorder transition (ODT) for compositionally symmetric diblock copolymers is governed by (chi;N) = 10.5. Hence, increasing the chemical dissimilarity between blocks, which raises chi;, reduces the minimum N associated with microphase separation. We have developed synthetics strategies, complimented by various characterizations techniques including synchrotron small-angle x-rays scattering, dynamic mechanical spectroscopy and thermal analysis, leading to ordered dblock copolymers with microdomain features as small as 5 nm. Reducing the overall molecular weight amplifies the effects of composition fluctuations, which increase the actual value of (chi;N)ODT. The impact of such fluctuation effects in the 2-dimensional thin film limit have been investigated using diblock copolymers formed from a hompolymer and a random copolymer designed to produce neutral interactions at the substrate and free interface thereby inducing perpendicular lamellae, independent of the overall film thickness. This material has been used to establish the impact of fluctuations on the ODT in lamellar forming thin films, compared to the 3-dimensional bulk case, establishing the ultimate limitations in attainable pattern feature size. This presentation highlights the synthesis and characterization of poly(methylmethacrylate)-bshy;-poly(tertbutylmethacrylate) (PMMA-PtBM), PMMA-b-poly(cyclohexylethylene) (PMMA-PCHE) and poly(styrene)-b-poly(isoprene-ran-epoxyisoprene) (PS-PI/EI) diblock copolymers demonstrating the two limiting characteristics in the quest to achieve commercially viable high density patterns suitable for pattern transfer.
12:00 PM - KK1.06
Sub-10 nm Features Obtained from Directed Self-Assembly of Semicrystalline Block Copolymer Thin Films
Karim Aissou 1 Muhammad Mumtaz 1 Giuseppe Portale 4 Eric Cloutet 1 Cyril Brochon 1 Guillaume Fleury 1 Christophe Navarro 2 Caroline A. Ross 3 Georges Hadziioannou 1
1Laboratoire de Chimie des Polymamp;#232;res Organiques, CNRS - ENSCPB - Universitamp;#233; de Bordeaux Pessac France2ARKEMA, Groupement de Recherches de Lacq Lacq France3Department of Materials Science and Engineering, Massachusetts Institute of Technology Cambridge USA4Netherlands Organization for Scientific Research (NWO), DUBBLE-CRG at the ESRF Grenoble France
Show AbstractThe self-assembly of high-chi; block copolymer thin films susceptible to microphase separate, at the nanometer scale, into cylindrical and lamellar phases are investigated. Here, we report on untemplated and templated semicrystalline poly(1,1-dimethyl silacyclobutane)-block-poly(methyl methacrylate) (PDMSB-b-PMMA, chi;~ 1.2 at 300 K) thin films enabling the production of highly-ordered patterns with sub-10 nm features. These periodic structures consist of easily etchable PMMA domains separated by carbosilane-based chains which could be transformed into hard mask of SiC. To promote the microphase separation a short thermal annealing process in mild conditions was employed while the domain orientation was tuned through the polymer layer thickness. To control the long-range order of cylindrical and lamellar phases, PDMSB-b-PMMA thin films were confined in topographic surface patterns. Such a material system ables to microphase separate into various morphologies with dimensions down to sub-10 nm makes them a good candidate for next generation lithography.
12:15 PM - KK1.07
New Materials for Directed Self-Assembly for Advanced Patterning
Jong Keun Park 1 Jieqian Zhang 1 Janet Wu 1 Mingqi Li 1 Valeriy V Ginzburg 4 Jeffrey D Weinhold 2 Michael B Clark 3 Peter Trefonas 1 Phillip D Hustad 1
1The Dow Chemical Company Marlborough USA2The Dow Chemical Company Freeport USA3The Dow Chemical Company Collegeville USA4The Dow Chemical Company Midland USA
Show AbstractDirected Self-Assembly (DSA) of block copolymers is a candidate advanced patterning technology at future technology nodes. Although DSA promises resolution and cost benefits, a number of constraints and challenges remain for its implementation. Poly(styrene-block-methyl methacrylate) (PS-b-PMMA) has been widely studied in DSA and applied in various applications to demonstrate the potential of DSA to extend optical lithography, including line space and contact hole patterning and uniformity repair,. However, the relatively weak segregation strength of PS-b-PMMA limits its capability to pattern sub-10 nm features. This paper presents the use of strongly segregated high X block copolymers to enable sub-10 nm patterning. Chemoepitaxy DSA with high X lamellar block copolymers is demonstrated with two different strategies based on thermal annealing process and no top coat. These technologies hold promise to enable the implementation of DSA at future technology nodes.
12:30 PM - KK1.08
Reducing the Interfacial Width of Block Copolymers through Additives
Daniel Sunday 1 Ying-Heng S Tein 2 R. Joseph Kline 1
1National Institute of Standards and Technology Gaithersburg USA2University of Texas Austin USA
Show AbstractIn order for the directed self assembly (DSA) of block copolymers (BCP) to be an effective lithographic technique it must meet industry standards for parameters such as line edge (LER) and line width roughness (LWR). Processing steps will have a major impact on the roughness parameters, but they may also be influenced by changing the interfacial with between BCP components. A complementary approach to customizing the chemistry between the two blocks is to utilize polymer blends, where the addition of a selectively associating homopolymer to a BCP can increase the effective Flory-Huggins interaction parameter (chi;). We added poly(vinyl phenol) (PVPH) to polystyrene-b-poly(methyl methacrylate) (PS-b-PMMA) and made multilayers of the blends. We studied these multilayers with resonant soft x-ray reflectivity (RSoXR) to determine the change in interfacial width. RSoXR allowed us to vary the contrast around the carbon and oxygen resonant edges and determine the composition profile of each component in the blend. These results were correlated with SANS and FTIR measurements, which allowed us to quantify the impact of hydrogen bonding on the change in interaction parameter.
12:45 PM - KK1.09
Formation of a Nanoscale Rectangular Mesh by Block Copolymer Self Assembly
Amir Tavakkoli K. G. 1 Sam M. Nicaise 1 Caroline A. Ross 1 Karl K. Berggren 1
1Massachusetts Institute of Technology Cambridge USA
Show AbstractSelf-assembly of rectangular symmetry patterns is desirable for microelectronic device fabrication using block copolymer (BCP) lithography. Furthermore, self-assembled 3D structures such as layers of orthogonal lines could provide the capability to fabricate 3D devices directly, simplifying the conventional planar process. There are several reports of the formation of multilayer structures using BCPs, including our previous studies in which arrays of majority-functionalized posts fabricated by electron-beam lithography (EBL) were used to control the orientation of bilayers of cylindrical microdomains. A variety of complicated structures was made in only one BCP annealing step, including mesh-shaped patterns where the two layers of cylinders were orthogonal. This process required arrays of EBL-fabricated posts, and it produced patterns in which both layers of cylinders have approximately the same period. In the present work we show how to make mesh-shape structures without using EBL, in which BCP patterns were used as templates to self-assemble another BCP layer of the same or different molecular weight.
In the first step poly(styrene-b-dimethylsiloxane) (PS-PDMS) BCP was spin-coated on the surface of a silicon substrate and subsequently aligned and oriented over macroscopic lengths using a thermal-shear-alignment method. In this alignment method, SiO2 was deposited by e-beam evaporation on top of the BCP, followed by thermal annealing at 170°C for 18 hrs. We then removed the SiO2 using hydrofluoric acid and the PS matrix using reactive-ion etching (RIE). Because of thermal mismatch between the top sacrificial SiO2 and the bottom BCP layer, a shear field is produced that orients the BCP domains. After the shear-alignment process, the second layer of PS-PDMS BCP was spin-coated on the patterns and self-assembled with thermal or solvent annealing. Finally, RIE was used to remove the top PDMS layer and PS matrix and leave a mesh-shaped bilayer cylinder structure on the substrate.
We fabricated a bilayer rectangular mesh-shaped structure from a 45 kg/mol PS-PDMS BCP on top of a 16 kg/mol PS-PDMS BCP. The top layer oriented perpendicular to the underlying periodic pattern as a stable configuration. The mesh holes were rectangular with dimensions 9 nm by 18 nm, and the pattern was produced without the need for EBL templating. Another dense mesh-shaped structure was made in which both layers had the same molecular weight, 16 kg/mol. The size of the holes was 9-nm square and the period was 18 nm.
The experiments showed that a substrate brush affects how the top layer self-assembled on the bottom layer. For a PS brush, the height contrast between the two layers is less distinct. On the other hand, a PDMS brush led to more contrast of the top and bottom layers from each other. The results are presumed to be related to the presence of the substrate-wetting layer which affected the distance of the microdomains above the substrate surface.
Symposium Organizers
Juan de Pablo, University of Chicago
Roel Gronheid, IMEC
Phillip D. Hustad, Dow Electronic Materials
R. Joseph Kline, National Institute of Standards and Technology
Symposium Support
AZ Electronic Materials USA Corp.
Brewer Science Inc.
EUVL Infrastructure Development Center, Inc.
TOKYO OHKA KOGYO CO., LTD.
University of Chicago
KK4: Directed Self Assembly for Nanopatterning - Processing II
Session Chairs
Tuesday PM, December 02, 2014
Hynes, Level 1, Room 104
2:30 AM - *KK4.01
New Insight into the Interaction Mechanism of Sequential Infiltration Synthesis from Infrared Spectroscopy
Mahua Biswas 3 Joseph Libera 3 Jeffrey Elam 3 Seth B. Darling 1 2
1Argonne National Laboratory Lemont USA2University of Chicago Chicago USA3Argonne National Laboratory Lemont USA
Show AbstractSequential infiltration synthesis (SIS) has been recently demonstrated to increase the etch resistance of optical, e-beam, and block copolymer lithography resists for sub-50 nm pattern transfer. Although SIS can dramatically enhance pattern transfer relevant to device applications, the complex processes involved in SIS are still not clearly understood. Fundamental knowledge of the underlying chemistry of SIS is necessary to ensure a high degree of perfection in large-scale lithography. To this end, we performed in situ Fourier transform infrared (FTIR) spectroscopic measurements during the SIS of Al2O3 using trimethylaluminum (TMA) and H2O into poly(methyl methacrylate) (PMMA). The FTIR results show that TMA forms an unstable complex with the PMMA, rather than a permanent, covalent bond as previously reported. As a consequence, the TMA can desorb slowly with time, and the detailed history of the TMA exposure becomes critical to the outcome. This better understanding of the SIS reaction dynamics should improve reliability in SIS lithography as well as other SIS applications.
3:00 AM - KK4.02
Principles of Three Dimensional Directed Self Assembly Using Block Copolymers
Jiaxing Ren 1 Paul F Nealey 1
1University of Chicago Chicago USA
Show AbstractDirected self-assembly (DSA) of block copolymers (BCP) on chemically patterned substrates is a leading technique for nanomanufacturing and two dimensional assemblies of lamella and cylinders have been studied extensively for subsequent pattern transfer onto the substrate. However, these are relatively simple systems with higher symmetry, while the assemblies of more complex systems with three dimensional lattice structures are largely unexplored. Here we propose a strategy to control the three dimensional assembly by propagating two dimensional pattern on the substrate through multiple layers of unit cells. To achieve perfect ordering and precise registration, the two dimensional pattern needs to match the geometries of a particular plane in the unit cell, and at the same time, the film thickness needs to be commensurate with the corresponding plane spacing. Moreover, for the morphology to propagate many layers in a thick film, the patterned morphology needs to match that in the bulk.
The strategy is demonstrated experimentally with sphere-forming polystyrene-block-poly (methyl methacrylate) (PS-b-PMMA), which is known to form body-centered-cubic (BCC) lattice in the bulk. By careful control of the pattern geometry and film thickness to match the desired lattice configuration, DSA can be achieved through multiple layers of unit cells with perfect ordering and registration, and the lattice can be oriented with different planes (e.g. BCC(100), BCC(111)) nucleated on the two film interfaces. Film thickness plays a crucial role that perfect assemblies can only be achieve at discrete thicknesses where it&’s commensurate with particular lattice spacing and packing frustration is minimized. For non-bulk lattice like hexagonal-close-packing (HCP), while perfect assembly can be achieved in very thin films, ordering is quickly lost when film gets thicker.
3:15 AM - *KK4.03
Contact Hole Shrink and Multiplication by Directed Self Assembly of Block Copolymers: From Material to Integration
Raluca Tiron 1 Xavier Chevalier 2 1 Ahmed Gharbi 1 Maxime Argoud 1 Patricia Pimenta Barros 1 Christophe Navarro 2 Guillaume Fleury 3 Georges Hadziioannou 3
1LETI Grenoble France2ARKEMA Lacq France3ENSCPB Bordeaux France
Show AbstractDensity multiplication of patterned templates by directed self-assembly (DSA) of block copolymers (BCP) stands out as a promising alternative to overcome the limitation of conventional lithography.
Using the 300mm pilot line available in LETI and Arkema&’s materials, the main objective is to integrate DSA directly into the conventional CMOS lithography process in order to achieve high resolution and pattern density multiplication, at a low cost. Thus we investigate the potential of DSA to address contact and via level patterning by performing either CD shrink or contact multiplication. Our approach is based on the graphoepitaxy of PS-b-PMMA block copolymers. Different integration schemes using 193nm dry lithography are evaluated: by using negative tone development resists, double hard mask approach, etc. Once the DSA process implemented, we focus on CD control and defectivity. Lithographic performances of block copolymers are evaluated both for contact shrink and contact doubling. Furthermore, in order to prevent design restrictions, this approach may be extended to more complex structures with multiple contacts and non-hexagonal symmetries. These results show that DSA has a high potential to be integrated directly into the conventional CMOS lithography process in order to achieve high resolution contact holes.
3:45 AM - KK4.04
Experimental and Numerical Investigation on Directed Self-Assembly of Block Copolymers as Potential Extension for Lithography
Tamara Druzhinina 1 Davide Ambesi 1 Sander Wuister 1 Bart Laenens 2 Yi Zou 2 Chenxi Lin 2 Chris Spence 2 Wim Coene 1
1ASML Netherlands B.V. Veldhoven Netherlands2ASML Brion Santa Clara USA
Show AbstractDirected self-assembly (DSA) of block copolymers (BCPs) is currently being investigated as a complementary technique to lithography. The merits of DSA include shrinking of critical dimensions, pitch multiplication, contact hole repair and CDU reduction. However DSA is also facing critical issues like overlay and defectivity that need to be resolved.
We investigated directed self-assembly of block copolymers as a potential extension of lithography using experiments and numerical simulations. We studied PS-PMMA block copolymers confined in periodic hexagonal arrays of single contact holes for CD values ranging from 39 nm up to 83 nm. Experimental results showed that initial LCDU 3σ value of 8.2 nm can be improved as much as 29% after directed-self-assembly is used. Local placement error of printed contact holes was also reduced from 3σ value = 3.2 nm to 3σ value = 2.1 nm after directed-self-assembly was applied. Numerical simulations based on mean field theory were used to replicate directed-self-assembly experiments. Estimation of the placement error for the simulated systems was possible via Boltzmann statistics of the free energy distribution. Calculated values of placement error in simulations agreed well with values found in experiments. Extensive simulations revealed a simple relation between the placement error and the block copolymer composition.
The influence of block copolymers polydispersity and PMMA volume fraction on placement error was also computationally investigated. Results show that block copolymers of higher polydispersity and systems of higher PMMA volume fraction can reduce placement error in single contact holes by 26%.
Additionally, the impact of lithographical guiding patterns on multiple contact holes as well as 10 nm node logic cell layouts has been extensively studied by means of computational simulations. Results showed that confining wells of high fidelity resolution can reduce directed-self-assembly induced placement error as much as 50%. It was found that high fidelity resolution guiding patterns can prevent directed-self-assembly defectivity inside confining wells.
4:30 AM - *KK4.05
Design and Customization of Directed Self-Assembly Patterns
Joy Cheng 2 Gregory Doerk 2 Chi-Chun Liu 1 Gurpreet Singh 2 Charles Rettner 2 Melia Tjio 2 Hoa Truong 2 Srinivasan Balakrishnan 2 Hsin-yu Tsai 3 Markus Brink 3 kafai Lai 4 Jed Pitera 2 Michael Guillorn 3 Daniel Sanders 2
1IBM Albany Nanotech Albany USA2IBM Almaden Research Center San Jose USA3IBM Watson Research Center Yorktown Height USA4IBM Hopewell Junction USA
Show AbstractDirected self-assembly (DSA) of polymers, which combines self-assembled polymers and lithographically-defined directing prepatterns, provides a material-based resolution enhancement technique to extend the patterning capability of lithography. The phase-separated polymers offers feature dimension and uniformity which is difficult to achieve at the resolution limit or throughput limit of lithography. As a materials-based resolution enhancement technique, DSA has been used to augment the patterning capability of optical lithography, EUV lithography and E-beam lithography. Recently, DSA has been transitioned from experiments in the research labs to the feasibility evaluation at various pilot lines. For example, gridded lines and hexagonal arrays of vias from DSA have been demonstrated on 300mm wafers.
Many semiconductor device layouts involve complex patterns beyond simple arrays naturally achieved by the self-assembly of block copolymers. In this paper, we will present two DSA approaches for generation of complex patterns: model-based design for non-gridded layout and customization of gridded patterns. For example, targeted via arrays beyond hexagonal symmetry can be designed using compact DSA model. In addition, customized line-space arrays can be generated by co-optimizing DSA materials, DSA process and directing prepatterns. Design restrictions and characteristics of these complex DSA patterns will be discussed.
5:00 AM - KK4.06
Measurement of the Buried Structure of Block Copolymer Lithography Patterns Using Resonant X-Ray Scattering
Daniel Sunday 1 Jiaxing Ren 2 Xuanxuan Chen 2 Abelardo Ramirez-Hernandez 2 Paul Nealey 2 Juan de Pablo 2 Joseph Kline 1
1National Institute of Standards and Technology Gaithersburg USA2University of Chicago Chicago USA
Show AbstractThe semiconductor industry is pushing the limits of the resolution of conventional optical lithography. According to the ITRS roadmap, new lithographic methods will be required to economically produce the sub-20 nm pitch patterned features needed for future processing generations. Technologies being evaluated to produce these finer feature sizes include extreme ultraviolet lithography, multiple-beam electron beam lithography, multiple exposures, and directed self-assembly (DSA) of block copolymers (BCPs). One of the critical questions remaining for BCP lithography is the buried structure and potential 3D defects not visible with the usual characterization methods such as scanning electron microscopy and atomic force microscopy that only measure the surface structure. We have developed a new method combining resonant soft x-ray scattering with critical-dimension small-angle x-ray scattering (CD-SAXS) to determine the buried structure of the two blocks, the interfacial roughness, and the pitch uniformity in native BCP films with sub-25 nm pitch with programmed changes in the template. We found samples that had similar top surface structure frequently had substantial variations between neighboring lines in their buried structure including preferential substrate wetting and broken lamella. We also found that the lamella on a neutral surface were almost always different from the neighboring lamella on a preferential surface. We will discuss how these insights into the 3D structure of the block copolymer interface correspond to computational simulations of the directed self-assembly process of line-space pattern gratings. We will also show results on new, high-chi block copolymers.
5:15 AM - KK4.07
Aspect-Ratio Enhancement of Nanoscale Patterns Derived from PS-b-PDMS Block Copolymers Using Thick PS Brush Layers
Jung Hye Lee 1 Se Ryeun Yang 1 Jong Min Kim 1 Yeon Sik Jung 1
1Korea Advanced Institute of Science and Technology (KAIST) Daejeon Korea (the Republic of)
Show AbstractDirected self-assembly (DSA) using block copolymers (BCPs) can be an alternative way to produce high-density nanoscale patterns for complementing photolithography. Moreover, excellent pattern resolution (5 - 20 nm), cost-effectiveness, and scalability of DSA based on BCPs have shown substantial advantages such as outstanding compatibility with CMOS process. Si-containing BCPs such as poly(styrene-b-dimethylsiloxane) (PS-b-PDMS) have a large Flory-Huggins interaction parameter (chi;) and provide high-resolution patterns with excellent long-range ordering and etch resistance. However, it is extremely difficult to orient the microdomains of high-chi; BCPs in the perpendicular direction to a substrate due to the large difference of surface free energy. Herein, we report the self-assembled patterns with substantially enhanced aspect ratio from cylinder-forming PS-b-PDMS BCPs through the formation of thick brush layers underneath the BCP films. We could obtain both the good ordering of nanoscale patterns and controlled aspect-ratios of 0.9 ~ 3.5. Moreover, nanoscale Si topographic patterns with an aspect ratio of more than 4.0 were obtained using the patterns as an etch mask. This process will be particularly useful for generating various well-ordered functional nanostructures with controlled aspect ratios.
KK5: Poster Session: Directed Self Assembly for Nanopatterning
Session Chairs
Juan de Pablo
Roel Gronheid
Phillip Hustad
R. Joseph Kline
Tuesday PM, December 02, 2014
Hynes, Level 1, Hall B
9:00 AM - KK5.01
Photo-Induced Motions in Surface-Grafted Azobenzene Liquid Crystalline Polymer Brushes
Hafiz Ashraful Haque 1 2 Shusaku Nagano 2 Takahiro Seki 1
1Nagoya University Nagoya Japan2Nagoya University Nagoya Japan
Show AbstractPolymers at interfaces mostly termed as polymer brushes, provide the fascinating surface properties for recent practical applications. Recently, we prepared a high density liquid crystal homo polymer brush bearing Azobenzene mesogenic moiety either by surface-initiated (SI) atom transfer radical polymerization (ATRP)1-2 or ring opening metathesis polymerization (ROMP)3 which exhibited quite different properties than the conventional cast, spin-cast, dip-coat or LB films. In this type of brushes, the grafting process is precisely controlled over the thickness from a solid-supported substrate. A highly ordered liquid-crystal (LC) arrangement was formed as a result of photoalignment leads to efficient light absorption of the Az unit and provide vertical planar smectic LC structure. Latterly we extended this system to block copolymer architectures.4-5 Diblock copolymer brushes were step-wisely synthesized by SI-ATRP. A flexible poly (hexyl methacrylate) (PHMA) amorphous chain was first introduced between the LC azobenzene block and a solid substrate. Due to the existence of the flexible chain, the brush film exhibited a significant improvement in the induction of in-plane optical anisotropy compared to LC homopolymer brush. The temperature dependence of the optical and structural anisotropy and photoreorientation behavior unveiled a significant lubricant role of the flexible chain block for the improvement of photoresponsive motions. The surface-grafted block copolymer approach expands the molecular design concept to the mesoscopic polymer design because of decoupling influence of LC main chain by the buffer chain spacer. Furthermore, when we employed different amorphous polymer chains with varied Tg ; PHMA~ minus;20°C, poly(butyl methacrylate) (PBMA) ~ 27°C, poly(methyl methacrylate) (PMMA) ~ 110°C, the rubbery/glassy state led to substantial differences in the in-plane photoinduced motions.6 At this, the orientational order parameters (S) at the optimum temperature (70-80 °C) became sufficiently high if the underlying polymer chains were in the rubbery state (PHMA and PBMA), however, it was hardly enhanced for the glassy polymer PMMA. As a general trend, with lowering Tg of the underlying amorphous polymer layer, the resulting LC photoalignment ordering in the LC azobenzene layer became higher.
References:
[1] T. Uekusa, S. Nagano, T. Seki, Langmuir2007, 23, 4642.
[2] T. Uekusa, S. Nagano, T. Seki, Macromolecules 2009, 42, 312.
[3] H. A. Haque, S. Kakehi, M. Hara, S. Nagano, T. Seki, Langmuir 2013, 29, 7571.
[4] H. A. Haque, S. Nagano, T. Seki, Macromolecules 2012, 45, 6095.
[5] H. A. Haque, S. Nagano, T. Seki, Mol. Cryst. Liq. Cryst. 2013, in press.
[6] H. A. Haque, M. Hara, S. Nagano, T. Seki, Macromolecule 2013, 46, 8275.
9:00 AM - KK5.02
Electrical Biosensor Using Silicon Nanomesh via Block Copolymer Nanolithography
Hyeong Min Jin 1 2 Jeong Ho Mun 1 2 Ju Min Lee 1 2 Hyung Il Park 1 2 Young Tak Oh 2 Sang Ouk Kim 1 2
1Institute for Basic Science (IBS) Daejeon Korea (the Republic of)2KAIST Daejeon Korea (the Republic of)
Show AbstractBlock copolymer (BCP) lithography, a nanopatterning technology that exploits macromolecular self-assembly, is a promising candidate to overcome the inherent resolution limits of traditional photolithography. In this work, a nanopatterned electrical silicon biosensor is fabricated by BCP lithography and is used for the selective detection of similar structure model proteins. A cost-effective and large-area nanofabrication, based on BCP self-assembly and single-step dry etching, is developed for the hexagonal nanohole pattering of thin silicon films. The resultant nanopatterned electrical channel modified with biotin molecules successfully detects the two proteins, streptavidin and avidin down to nanoscale molarities (~1 nM). The nanoscale pattern comparable to Debye screening length and its large surface area of three-dimensional silicon nano-channel enable the biosensor to realize excellent sensitivity and stability. A device simulation is performed to demonstrate that the three-dimensional nanopatterned structure used in this study is effective for biomolecule detection. This nanofabrication based on scalable self-assembly approaches with a simple process offers a high throughput manufacturing route for clinical lab-on-a-chip diagnosis and relevant bimolecular studies.
9:00 AM - KK5.03
Monodisperse Pattern Nanoalloying via Block Copolymer Lithography and Enhancement of Synergistic Intermetallic Properties
Jeong Ho Mun 1 2 Hyeong Min Jin 1 2 Hyeong Il Park 1 2 Youngtak Oh 1 Sang Ouk Kim 1 2
1KAIST Daejeon Korea (the Republic of)2IBS Daejeon Korea (the Republic of)
Show AbstractAlloys are ordered/disordered multimetallic solid solutions extensively utilized since Bronze Age. The old idea of alloying for synergistic material properties still holds great promise for current nanotechnology. Nanomaterials and nanostructures with extremely large surface areas generally suffer from subtle reactivity and sensitivity to surrounding environment. Synergistic material properties of nanoalloys offer promising opportunities to surpass the inherent weakness and strengthen the desired properties. Meanwhile, traditional alloying has relied on the metallurgical methods employing the simple melt mixing of bulk metals. Unfortunately, the traditional method does not ensure the size, shape, and compositional uniformity in nanoscale and is thus inapplicable for nanoalloying. Alternatively, several approaches, such as vacuum deposition of multiple metal precursors, liquid phase synthesis, and electrochemical methods have been introduced. Those methods frequently reveal hard controllability over subtle nucleation and growth kinetics of different metal precursors. More significantly, those methods produce randomly distributed inhomogeneous nanoparticles, whose structural/compositional distribution and spatial arrangement raise significant challenge for advanced applications, such as electronics, magnetic storage media, plasmonics/metamaterials, and patterned catalysis.
We report a straightforward synthesis of patterned single-crystalline intermetallic nanoalloys with dimensional/compositional homogeneity exploiting block copolymer (BCP) self-assembly. The self-assembled nanodomains in BCP thin films confine the simultaneous deposition of multiple ionic metal precursors within nanoscale dimension and successfully generated nanopatterned bimetallic/trimetallic alloys. The size/thickness of self-assembled BCP nanodomains, charge states/molar ratio of metallic precursors, and precursor loading condition precisely control the size, composition and single-crystalline intermetallic atomic structures of nanoalloys. The resultant synergistic properties, including catalytic properties of Fe-Co nanoalloys, are precisely tunable with alloy size and chemical composition.
9:00 AM - KK5.04
Ultrafast, Wafer-Scale Assembly of Ultrathin Au Nanowires by Dielectrophoresis
Subhajit Kundu 1 R. Venkatesh 1 N. Ravishankar 1
1Indian Institute of Science Bangalore India
Show Abstract1-D nanostructures are highly useful for sensing and device applications. In that context, ultrathin Au nanowires (~2 nm in diameter and semiconducting in nature) are potentially very useful due to their extremely sensitive electrical transport property as shown previously. In this study, we show that Au nanowires can be preferentially aligned in between selected predefined contact pads by a.c. dielectrophoresis. Using toluene as a solvent at very low voltage and frequency alignment of nanowires are poor. At an optimized voltage, it is observed that maximum number of nanowires get aligned between the contact pads. At even higher frequency, the alignment happens along the sides of the contact pads rather than at the gap. Theoretical calculation has been done to estimate the dielectrophoresis force based on equations reported in literature. Taking the morphology, dimension and other parameters calculation has been done for particles and nanowires. We show that there is a transition from positive that leads to alignment of the nanowires at a region where the field gradient is maximum (i.e. in between the contact pads) to negative dielectrophoresis where the nanowires prefer to be in a region where the field gradient is minimum (i.e. at the sides of the contact pads) as a function of the frequency. Principle for a large number of device fabrication in a single experiment has been demonstrated based on nanowires at the sides as a result of negative dielectrophoresis. The above findings open possibilities for ultrafast wafer-scale device fabrication using ultrathin Au nanowires.
9:00 AM - KK5.05
Diblock Copolymer Templates by Directed Self-Assembly for Arrangement of Au Nanorods
Heejung Kang 1 Sung-Soo Kim 1 Hwan Kim 1 Byeong-Hyeok Sohn 1
1Seoul National University Seoul Korea (the Republic of)
Show AbstractAu nanorods have unique optical properties determined by the size, shape, and aspect ratio. When these nanorods are placed close to each other, several collective properties such as electric field enhancement and distinct plasmon modes can be observed, which are tunable by the arrangement of nanorods. To produce arranged nanorods, template-assisted assembly with topographic nanopatterns has been widely explored. As a promising method to generate nanotemplates over large areas, nanostructures of diblock copolymers have been intensively investigated. In particular, nanodomains of diblock copolymers can be ordered in specific orientation by directed self-assembly, which can assist nanorods arranged in the direction of the nanodomains. In this study, we directed cylindrical nanostructures of diblock copolymers by the graphoepitaxial method, from which we obtained well-aligned nanochannel templates. By these copolymer nanotemplates, we induced the orientation of Au nanorods to investigate their plasmonic characteristics depending on the placement and orientation of nanorods.
9:00 AM - KK5.06
Self-Assembly and Characterization of Regular Structures Using Magnetite Nanoparticles
Alexander Fabian 1 Matthias T. Elm 1 Peter J. Klar 1
1Justus Liebig University Giessen Germany
Show AbstractMagnetic nanoparticles have recently attracted much attention due to their possible application in electronics, magnetic storage, biomolecular tagging or sensing. Especially, magnetite (Fe3O4) may be a promising material system for future spintronic devices on the nanoscale because bulk magnetite shows a high Curie-temperature of about 850 K as well as a high spin-polarization of nearly 100%. However, decreasing the size to the nanoscale may strongly alter the magnetic properties which depend on the particle&’s size, surface properties and shape. Particles in the size region studied here are superparamagnetic. In order to build magnetic nanodevices using magnetite nanoparticles a method for arranging the nanoparticles in a controlled way as well as an understanding of the magnetic interplay between the particles in such arrangements are necessary. Here, we present the preparation of magnetite nanoparticle arrangements using the meniscus force deposition method. In a horizontal dip-coating process nanoparticles from a colloidal suspension are arranged inside PMMA openings by self-assembly. By this self-organization process Fe3O4 nanoparticles with an average diameter of about 20 nm are forced into openings with diameters in the range between 200 and 500 nm. The PMMA openings were prepared in by electron beam lithography. They are of various shapes and different aspect ratios in order to tune the magnetic interaction between the nanoparticles. In a second electron beam lithography step electrical contacts are prepared in order to characterize the electrical transport properties of the nanoparticle arrangements in a magnetic field. The transport properties are discussed in terms of the magnetic properties of the arrangements.
9:00 AM - KK5.08
Improved Self-Assembly of Silicon-Based Block Copolymer
Yingdong Luo 1 Damien Montarnal 2 Sangwon Kim 2 Weichao Shi 2 Glenn H Fredrickson 2 Edward J Kramer 2 Craig J Hawker 2 Phillip D Hustad 3 Bryan E Barton 3 Matthew D Christianson 3 John W Kramer 3
1University of California, Santa Barbara Goleta USA2University of California, Santa Barbara Goleta USA3Dow Electronic Materials Marlborough USA
Show AbstractSilicon-based block copolymers have recently gained a lot of attention for fabrication of well-defined nano structures through directed self-assembly (DSA). These block copolymers have high Flory-Huggins (chi;) parameters, making it possible to achieve smaller features than PS-b-PMMA, which is the industrial standard for DSA. Additionally, the silicon component provides a robust mask for standard plasma etching techniques. This is highly valuable for nano-lithographic applications.
Herein, we present the synthesis and study of silicon-based block copolymers with high chi; parameters in the bulk; thin film studies were subsequently performed. Significantly, well-ordered patterns were achieved and the introduction of additives could further enhance the segregation strength of these polymers. This strategy allows for versatile and accessible control over the segregation strength and the feature sizes of the assembly.
9:00 AM - KK5.09
Direct Patterned Transfer Process of Colloidal Self-Assembled Monolayer Using SiO2 Nanosphere
Seungyong Han 1 Sukjoon Hong 1 Hyun Wook Kang 2 Manorotkul Wanit 3 Jinyeong Kwon 1 Seung Hwan Ko 1
1Seoul National University Seoul Korea (the Republic of)2KIST Seoul Korea (the Republic of)3Samsung Seoul Korea (the Republic of)
Show AbstractCurrently, development in nanomaterial and nanotechnology boosted active and vibrant research on micro-electronics. Also, research on patterned technology is the key for the applied various devices. Colloidal self-assembly is a representative bottom-up approach to create an ordered block of nanomaterials at 2D or 3D hexagonally closed packed structures, which have potential applications in numerous fields including photonic crystal, chemical sensor and biosensor. Among various nanomaterials, silica or polystyrene (PS) beads at the diameter of several micrometers to hundreds of nanometers are particularly appropriate for the self-assembly process because of their monodisperse size accompanied by the perfectly spherical shape.
A number of techniques such as Spin-Coating, Langmuir-Blodgett, Mayerlod have been implemented for facile monolayer assembly of these beads, and Dip-Coating method successfully expanded the applicable area to wafer scale without any complex or expensive equipment. On the other hand, direct application of these self-assembly methods to device fabrication are often incongruent in several aspects. First of all, the area subject to the self-assembly procedure is hard to define, and thus the resultant commonly shows imperfect arrangement at the boundaries. Also, general patterning techniques for nanomaterials such as inkjet printing, Roll-to-roll printing and selective laser sintering are not simultaneously applicable with the self-assembly process while it is often necessary to prepare the hexagonally closed packed structure confined within a certain pattern.
In this study, we introduce selective transfer of silica bead monolayer by imprinting process using PDMS mold in order to pattern the self-assembled silica beads. Monodisperse silica beads are firstly synthesized and their 2D colloidal assembly is prepared on a substrate by resembling previously reported method by Moon et al., while we shortened the time required for the preparation of silica bead monolayer by using a motorized translational stage along z-axis. PDMS mold prepared from patterned SU-8 photoresist is then utilized for imprinting. Upon the imprinting procedure, a hexagonally assembled silica bead is selectively transferred to the patterned PDMS mold. As a result, 2D colloidal assembly at the desired pattern is created on the target substrate without any complex procedures.
9:00 AM - KK5.10
Use of UV-Solvent Annealing for Morphology and Orientation Control in Self-Assembled PS-PDMS Thin Films
Melissa Kreider 1 Wubin Bai 1 George Liontos 2 Konstantinos Ntetsikas 2 Apostolos Avgeropoulos 2 Caroline Ross 1
1Massachusetts Institute of Technology Cambridge USA2University of Ioannina Ioannina Greece
Show AbstractSolvent vapor annealing is an effective method to control self-assembly in block copolymer films; however the swollen film morphology collapses when the solvents are removed and the film deswells, and this can change the geometry of the microdomains. Therefore, ultraviolet (UV) curing was introduced into the solvent vapor annealing process to cross-link the block copolymer to preserve the structure in the swelled state. In this study, we combine UV curing and solvent vapor annealing to control the morphology of thin films of 53 kg/mol cylinder-forming polystyrene-polydimethylsiloxane (PS-PDMS) block copolymer (SD53). Thin films with 80 nm as-cast thickness were spin-casted from 2wt% SD53 in cyclohexane onto bare silicon and annealed in a small chamber with a solvent mixture of toluene: heptane, 5:1 volumetric ratio. Before, during, or after annealing, the films were exposed to UV light (100-400 nm) to partially cross-link the block copolymer. Thickness was measured in situ during annealing. The films then underwent reactive ion etching (RIE), with 5 seconds of CF4 to remove the wetting layer of PDMS and 22 seconds of oxygen plasma to remove the PS block and partially oxidize the PDMS block, revealing the film structures. By varying the timing, duration, and intensity of the exposure, morphologies including cylinders, porous networks, and perforated lamellae were produced from the original as-cast thin film which consisted of micelles. For a 5 minute, low-intensity UV exposure (wavelength 300-400 nm) during annealing, cylinders with a uniform period of 40 nm were produced; the same exposure before annealing produced cylinders with a period of 50 nm. Porous networks were produced by a 15 minute exposure to high-intensity UV (wavelength 100-400 nm) cure after annealing and perforated lamellae were produced by a 2 minute high-intensity exposure during annealing. In addition, SD53 films that were UV-cured during solvent vapor annealing did not experience the same collapse of the swollen film structure and a 30 nm-thickness increase was observed. In contrast to traditionally annealed thin films, these exhibited the long-range order and uniformity necessary for nanopatterning applications.
9:00 AM - KK5.11
Offset Printing Process for Various Nano Enabled Devices
Hobin Jeong 1 Hanchul Cho 1 Sivasubramanian Somu 1 Ahmed Busnaina 1
1Northeastern University Malden USA
Show AbstractElectronic and 3D printing is changing the manufacturing landscape of products and devices by eliminating traditional manufacturing techniques involving removal of material such as cutting, drilling and milling. However, these new processes are slow, taking a long time when the desired patterns cover a large area with high resolution. Here, we introduce a multi-scale offset printing process based on directed assembly and a transfer. A reusable damascene template is specifically created for high-yield and high-rate assembly and transfer of nanoelements. The template can be fabricated using hard substrates, such as silicon wafer, or flexible substrates, such as polyimide or PET, thereby enabling continuous manufacturing systems, such as a roll-to-roll system. Electrophoresis is used to assemble various nanoelements such as polymer nanoparticles, silica nanoparticles, carbon nanotubes (single and multi-wall) or organic molecules. It is done with very high degree of control, and transfer printing is used to transfer the assembled nanoelements to a recipient substrate. Furthermore, to ensure uniformity during assembly the reusable templates are designed so that all micro/nano conductive patterns have same electrical potential during the electrophoresis assembly stage. By controlling assembly parameters, such the applied voltage and pulling speed, we show that control over the thickness and alignment of nanoelements, especially SWNTs can be achieved. Using the transfer printing process we demonstrate 100% transfer yield. The template can be reused for more than hundred assembly and transfer cycles without the need for any additional process. We also show that through this offset printing process one can fabricate specific products, such as a flexible biosensor for detecting glucose, lactate, and enzymes with high resolution, large detecting range and high speed.
9:00 AM - KK5.12
Production of Micro/ Nanowires and Devices by Electroplate and Lift (E&L) Lithography on Reusable Ultrananocrystalline Diamond Templates
Mike Zach 1 Lori A Lepak 1 2 Anirudha V Sumant 3 Ralu Divan 3
1University of Wisconsin - Stevens Point Stevens Point USA2Phoebus Optoelectronics, LLC New York USA3Argonne National Laboratory Argonne USA
Show AbstractElectroplate-and-Lift (E&L) lithography1 has been developed as a fast, simple, scalable technique for the controlled, solution-based, electrochemical synthesis of patterned micro- and nanowires. Wires of over 30 elemental metals, alloys, semiconductors, and conductive polymers have been produced, both from aqueous and ionic liquid solutions. Unlike all other methods of patterning nanowires, E&L lithography allows patterned nanowires to be quickly mass-produced, without any additional vacuum or clean room processing after the initial fabrication of the template. This permits the study of nanoscale phenomena with minimal equipment and entry-level personnel.
The E&L technique employs a reusable, non-sacrificial, multi-layered, lithographically patterned ultrananocrystalline diamond (UNCD)TM template. The template is made from alternating thin layers of intrinsic UNCD, which is insulating, and nitrogen-incorporated UNCD (N-UNCD), which has semi-metallic conductivity. Intrinsic UNCD layers are used to isolate the top and bottom surfaces of the N-UNCD layer from the electrochemical bath. The diamond layers are lithographically patterned and reactive ion etched through the stack to expose only edges of the N-UNCD layer. The initial nucleation of the nanowire is thus confined to the exposed patterned edges of the 80 nm thick N-UNCD layer. The thickness of the N-UNCD layer thus establishes the minimum achievable diameter of the electrodeposited nanowire. The maximum wire diameter can be controlled by increasing the deposition time2. In alloy systems, such as copper-tin bronze3 and bismuth telluride4, the composition of the deposited wires can be controlled by varying the solution composition. Following electrodeposition, the nanowires may be removed by mechanically lifting them away from the template, thus regenerating the template surface for subsequent depositions. Wires of the same or a different diameter, composed of any desired electrochemically depositable material, may subsequently be plated. The liftoff may performed manually using scotch tape or other polymers, or by ultrasonic cleaning.
In this work, we review several exciting recent developments in E&L technology, including: 1) an instrument which will enable fully automated, roll-to-roll gram-scale patterned wire production 2) an educational kit for microwire production in the high school classroom and 3) an electrically addressable, multi-circuit transmission electron microscope (TEM) grid incorporating the classic E&L UNCD film structure.
References
(1) Seley, D.B. et. al., ACS Appl. Mater. Interfaces, 2011, 3 (4), pp 925-930 (cover story)
(2) Jones, D. et. al. Proc. Mater. Res. Soc. 2011, doi: 10.1557/opl.2012.664, 30 March 2012
(3) Grodek, C., et. al. Proc. Mater. Res. Soc. 2011, doi: 10.1557/opl.2012.247, 13 February 2012
(4) Hohl, T. et. al. Proc. Intl. Mater. Res. Cong. 2012, doi:10.1557/opl.2012.1723, 15 January 2013
9:00 AM - KK5.13
Morphological Control of Bilayer BCP Films
Karim Raafat Gadelrab 1 Alfredo Alexander-Katz 1
1MIT Cambridge USA
Show AbstractThe self-assembly of block copolymers BCPs proves to be a valuable method for fabricating intricate nano-patterns. The natural ability of BCPs to micro-phase separate results in highly ordered morphologies that have geometries controlled by the chain size. However, generating complex patterns with multiple morphologies, meshed structures, or variable periodicities in a single BCP system requires extra processes of surface patterning and chemical treatment. Here, a bilayer system of BCPs is studied to understand the effect of the underlying topography on the behavior of the top layer&’s self-assembly. A self-consistent field theoretic simulation SCFT is employed to investigate the role of several key parameters including the commensurability between layers&’ periodicities, the magnitude of topography height, and the chemical treatment of the substrate and the bottom layer.
The findings hint to the fact that chemical treatment of the underlying layer is crucial to transfer the bottom pattern to the one forming on top. More specifically, stable topography is found to be created, and guided by the lower one in the presence of chemical functionality of the bottom layer. Similarly, prominent topography forces the upper layer to conform, however the effect is shadowed by the role of chemical treatment. It is interesting to note that in the absence of chemical treatment, the topography of the top layer is created at the points of highest change (corners, edges, etc.). These findings provide valuable insight towards the fabrication of complex patterns of BCPs, and 3D structures.
9:00 AM - KK5.14
Nanopatterning by Masked Bi-Metal Ion Implantation and Magneto-Optical Studies
Wei Guan 1 Jingjing Wang 2 Nianhua Peng 3 Chris Jeynes 3 Guenter Moebus 4
1University of Edinburgh Edinburgh United Kingdom2Trinity College Dublin Dublin Ireland3Surrey University Guildford United Kingdom4University of Sheffield Sheffield United Kingdom
Show AbstractIn recent a few years, we have developed a nanopattern transfer technique to synthesis nanoparticles by ion implantation through anodised aluminium oxide (AAO) masks. Direct metal ion implantation has demonstrated its advantages by the wide choice of combination of metal ions and substrate materials. To achieve desirable nanosystems, it essentially relies on the choice of ions and the implantation fluences and some key parameters of the masks, which affect the nanoparticle shape and size, inter-particle distance.
In this presentation, a series of AAO nanomasks with pore sizes 50, 100 and 200 nm and inter-pore distances 100, 200 and 500 nm were prepared. Masks were then transferred onto SiO2 and Al2O3 substrates for bi-metal ion implantation. To have the same projected ion ranges in substrates for both ions, 21 keV ferromagnetic metal ions (Fe or Co) and 30 keV noble metal ions (Au or Pt) were implanted with an identical ion fluence of 5e16 ions/cm2 at room temperature. The well ordered nano bi-metal ferromagnetic-noble metal composite array is clearly following the AAO nano mask geometry. The sizes and chemical compositions of these binary metal nanoparticles were characterized by analytical transmission electron microscopy. A few selected nanocomposite arrays were further studied by magneto-optical Faraday and Kerr rotation both at room temperature and at low temperature (10K).
9:00 AM - KK5.15
Template-Assisted Patterning of Polymeric Nanorods Using Anodized Aluminum Oxide Membranes
Xiaoning Wang 1 Stephan Anderson 2 Xin Zhang 1
1Boston University Boston USA2Boston University Medical Center Boston USA
Show AbstractA novel template-assisted nanomanufacturing concept is introduced herein for low cost, high throughput fabrication of polymeric nanorods that can be used as carriers for diagnostic and/or therapeutic agents for biomedical imaging and drug delivery applications. Currently, the ability to cost effectively produce size and shape specific nano polymer carriers on a large scale is still largely missing. Compared to traditional spherical nanoparticle drug or contrast agents, size and shape specific polymeric carriers have the advantages of having prolonged vascular circulation, controlled release profile, as well as targeting capability.
Anodized aluminum oxide (AAO) is obtained through electrochemical oxidation of aluminum (Al) films and, due to its nanoporous structure, has been widely utilized in various fields such as biological/chemical sensors, nano-electronic/optoelectronic devices, and scaffoldings for tissue engineering, etc. In general, Al foils on the order of hundreds of microns, or physical vapor deposited Al thin films on the order of several microns (or less), are used for anodization. However, thick Al foils are brittle and difficult to handle after anodization, whereas thin Al films are limited by their inferior uniformity, and the necessity to remove the barrier layer formed between the AAO and the substrate.
A simple, effective fabrication method for highly uniform, nanoporous AAO membranes grown directly on silicon/quartz substrates at the wafer level is achieved through a 3-step anodization technique, which mitigates the abovementioned limitations. After the initial vapor deposition of a titanium layer, and a segmented deposition approach for Al film to improve anodization uniformity while balancing processing time and complexity, three identical anodization steps were carried out in 0.3M oxalic acid at 1°C for ~30 minutes each. The AAO films were removed after the first and the second anodization in phosphoric acid (H3PO4). The pores were widened in H3PO4 to the desired diameter after the third anodization.
Reactive ion etching was used to remove the barrier layer, as well as transfer the nanoporous pattern to the silicon/quartz substrate. After removing the remaining AAO, nanoimprinting of both poly(methyl methacrylate) and poly(ethylene glycol) diacrylate polymers using the hybrid mold were demonstrated for both thermal and UV nanoimprinting, respectively. Both types of polymeric nanorods on the order of ~100nm diameter, and ~400nm height were successfully fabricated on a large area.
The reported method has the advantage of being simple and robust, without sacrificing uniformity or reproducibility of the AAO membrane or subsequently transferred pattern. The nanoporous silicon/quartz templates may be used to imprint size and shape specific polymeric nanorods either thermoplastically or photolithographically, which has the potential to be of tremendous benefit in developing next generation biomedical agent delivery systems.
9:00 AM - KK5.16
Colloidal Nanoparticle Films in Laser-Desorbed Stripes of Octadecylsiloxane Monolayers on Silicon Oxide Surfaces
Christian Belgardt 3 5 Thomas Blaudeck 1 2 4 Christian von Borczyskowski 3 Harald Graaf 3
1TU Chemnitz Chemnitz Germany2TU Chemnitz Chemnitz Germany3TU Chemnitz Chemnitz Germany4Linkamp;#246;ping University Norrkamp;#246;ping Sweden53D-Micromac AG Chemnitz Germany
Show AbstractWe report about the deposition of ordered films colloidal polystyrene particles in stripes of a few micrometers line width using a combination of laser patterning and directed self-assembly. Our approach is based on a sub-micrometer patterning of a silicon oxide surface coated with a hydrophobic octadecylsiloxane self-assembled monolayer (SAM) by laser-assisted desorption. For hydrophilic stripes of the micropatterned SAM area we observed ordered, mainly monolayered stripes of polystyrene nanoparticles (diameter: 260 nm) using slope self-assembly, a modified vertical deposition technique, dipping the silicon substrate into a colloidal suspension at an angle of around 45° with respect to the surface normal of the liquid. Film formation could be observed for line widths of approximately 1.5 micron which is smaller than in previous reports.
9:00 AM - KK5.17
Directed Dewetting of Amorphous Silicon Film for Self-Assembled Silicon Nanodome by a Donut-Shaped Laser Pulse
Jae-Hyuck Yoo 1 Jung Bin In 2 Cheng Zheng 1 Rajesh N. Raman 3 Manyalibo J. Matthews 3 Selim Elhadj 3 Costas P. Grigoropoulos 1
1UC Berkeley Albany USA2KIMM Daejeon Korea (the Republic of)3Lawrence Livermore National Laboratory Livermore USA
Show AbstractWhen thin liquid films are formed on solid substrates, the film is transformed into droplets at certain conditions, e.g., droplets on a window on a foggy or rainy day and droplets on a chilled glass bottle. These interesting daily life examples can be accounted for by the dewetting phenomenon. Related to nanotechnology, the dewetting process and subsequent self-assembly of tiny structures over the large surface area has been investigated for scalable nano-manufacturing of various materials such as polymers, metals, and semiconductors. While uncontrolled dewetting results in random arrangement of the nanostructures, spatially well-ordered nanostructures can be obtained by using substrate templates, pre-patterned thin films, electric field, and temperature gradient. However, practical use of these methods is challenged since dedicated fabrication steps to build complicate structures are required.
We note that laser irradiation can directly form the temperature gradient that produces surface tension gradient. Based on this mechanism, Singer et al. demonstrated polystyrene polymer film patterning. They employed a Gaussian laser beam to drive the material outward from the beam spot center, and scanning of the laser beam produced negative line patterns on the film.
In the present study, we propose use of a beam-shaped laser as an efficient way for the thermocapillary-induced dewetting. As a proof of concept, we utilized a vortex phase plate to produce a donut-shaped laser beam that was applied to an amorphous silicon film on a fused silica substrate under the ambient conditions. Upon a single pulse irradiation of the donut-shaped laser beam, the amorphous silicon film is locally melted and the temperature field gradient is developed. The temperature gradient initiated the thermocapillary-induced dewetting with radially inward material transport direction that is opposite to the conventional Gaussian beam case. As a result, the thin liquid silicon film is dewetted and effectively collected in the center whereby a liquid silicon nanodome structure is then formed with the contact angle to the substrate to minimize the surface energy. As the liquid silicon nanodome structure is solidified, the morphology transformation process ends up with generation of a crystalline structure. Interestingly, due to the effective material collecting behavior during the morphology transformation process, the size of the produced silicon nanodome is substantially smaller than the laser beam size. For example, about 220 nm silicon nanodome was produced from 10 nm thick amorphous silicon film with a 20x objective lens. Furthermore, due to the geometry of the silicon nanodome, subsequent isotropic etching further reduced the nanodome size simply with the other amorphous and rim materials completely removed. We suggest that this approach can provide an alternate pathway for scalable manufacturing of silicon nanodome structures that can be useful for photonic application.
9:00 AM - KK5.18
A Simulation Study for Defects in Sub-15 nm Line-Space Using Directed Self-Assembly
Hideki Kanai 1 Katsuyoshi Kodera 1 Yuriko Seino 1 Hironobu Sato 1 Yusuke Kasahara 1 Katsutoshi Kobayashi 1 Ken Miyagi 1 Shinya Minegishi 1 Naoko Kihara 1 Yoshiaki Kawamonzen 1 Tomoharu Fujiwara 1 Noriyuki Hirayanagi 1 Toshikatsu Tobana 1 Tsukasa Azuma 1
1EUVL Infrastructure Development Center, Inc. Tsukuba Japan
Show AbstractRecently, directed self-assembly (DSA) with lamellae phase block copolymer has had a great progress for the manufacture of semiconductor devices, but topological defects such as dislocation and disclination still remains a major concern. And also 3D buried defects [1-3] with staggered structures may appear if the materials, processes and integrations are not optimized for its chemo or grapho-epitaxy flow, then it will cause poor etch selectivity and bad line edge roughness (LER) in their pattern transfers into underlayers. In this study, we will discuss defect analysis, in which specific type of buried defects is included. The buried defects arise obviously after half etch of PMMA as dry development of PS-b-PMMA block copolymer. The analysis is based on self-consistent field theory (SCFT) simulation for various top, bottom and pinning dimensions and surface affinity with analysis in free energy difference between several phase configurations. Then, how we fixed the defects will be shown.
This work was partly funded by the New Energy and Industrial Technology Development Organization (NEDO) under the EIDEC project.
[1] Marcus Muller, et al., “Computing free energies of interfaces in self-assembling systems”, Phys. Chem. Chem. Phys., 11, 2087-2097 (2009). [2] C. Liu, et al., “Chemical patterns for directed self-assembly of lamellae-forming block copolymers with density multiplication of features, Macromolecules, 46, 1415-1424 (2013). [3] R. A. Farrell, et al., “Manufacturability considerations for DSA”, Proc. SPIE, 9051, 90510Z (2014).
9:00 AM - KK5.19
Self-Assembly Simulations of Polymer Functionalized Virus Capsids
Leebyn Chong 1 Vyshnavi Karra 1 Sarah Libring 1 Meenakshi Dutt 1
1Rutgers University Piscataway USA
Show AbstractViral capsids have been the subject of a significant amount of research in a variety of fields. Their potential relevance to biomedical science arises from their ability to encapsulate therapeutic agents and deliver them to targeted cellular sites. The capsids can be decorated with polymers to target specific sites or promote controlled aggregation for creating novel materials. We used coarse-grained molecular dynamics to simulate the aggregation dynamics of Cow Pea Mosaic Virus capsids grafted with Polyethylene Oxide chains under suitable solvent conditions. We investigated the role of the polymer chain length, grafting density and concentration of the functionalized capsids on the aggregate morphology. We extend our investigations to capture the self-assembled structures of capsids functionalized with block copolymers.
9:00 AM - KK5.21
Current-Driven Assembly of Single-Layer Epitaxial Islands for Surface Nanopatterning
Dwaipayan Dasgupta 1 Dimitrios Maroudas 1
1University of Massachusetts Amherst Amherst USA
Show AbstractThe directed assembly of confined quantum structures is of special importance to nanoelectronics and nanofabrication technologies. In this context, a particularly interesting problem is the current-driven dynamical response of single-layer adatom and vacancy clusters, i.e., islands and voids of single-layer thickness/depth, on surfaces of crystalline conducting or semiconducting substrates. In this presentation, we report theoretical and computational results on the current-driven morphological response of single-layer epitaxial islands on crystalline elastic substrates with periphery or edge diffusion being the dominant mode of mass transport.
We have developed and validated a transport model for the current-driven dynamics of such single-layer epitaxial islands on crystalline substrates. Simulations based on the model show that the dependence of the stable steady island migration speed on the inverse of the island size is not linear for larger-than-critical island sizes. In this nonlinear regime, we report morphological transitions, Hopf bifurcations, and instabilities for various substrate surface crystallographic orientations and island misfit strains due to lattice mismatch for heteroepitaxial islands. We also report the results of a systematic parametric study for the effects on individual island dynamics of edge diffusional anisotropy parameters, including the angle between a fast edge diffusion direction and the direction of the externally applied electric field. Throughout the range of the parameter space examined, proper rescaling of the stable islands&’ migration speed accounting for the island morphology gives a universal linear relationship for its dependence on island size.
We have utilized the current-driven dynamics of single-layer epitaxial islands on crystalline substrates as a method of directed assembly for surface nanopatterning. First, we focused on the evolution of pairs of different-size islands driven to coalescence and explored the effects of three key geometrical parameters: the sizes of the two islands of the pair and their center-to-center line misalignment with respect to the electric-field direction. Based on the understanding obtained from the dynamics of island pairs, we then extended this study to entire populations (distributions) of epitaxial islands on the substrates of interest. We have discovered various patterns ranging from equal- and different-size stable steady island-pair configurations to many-island patterns that can be tailored by controlling geometrical parameters of the initial island population and the duration of application of the electric field.
9:00 AM - KK5.22
Nanoscale Size-Selective Assembly of Nanowires by Micrometer Scale Hydrophilic Patterns
Yong He 1 Kazuki Nagashima 1 Masaki Kanai 1 Gang Meng 1 Fuwei Zhuge 1 Sakon Rahong 1 Xiaomin Li 2 Tomoji Kawai 1 Takeshi Yanagida 1
1The Institute of Scientific and Industrial Research (ISIR), Osaka University Osaka Japan2Shanghai Institute of Ceramics, Chinese Academy of Sciences Shanghai China
Show AbstractControlling the post-growth assembly of nanowires is an important challenge in the development of functional bottom-up devices. Although various methods have been developed for the controlled assembly of nanowires, it is still a challenging issue to align selectively heterogeneous nanowires at desired spatial positions on the substrate. Here we report a size selective deposition and sequential alignment of nanowires by utilizing micrometer scale hydrophilic/hydrophobic patterned substrate. Nanowires dispersed within oil were preferentially deposited only at a water/oil interface onto the hydrophilic patterns. The diameter size of deposited nanowires was strongly limited by the width of hydrophilic patterns, exhibiting the nanoscale size selectivity of nanowires deposited onto micrometer scale hydrophilic patterns. Such size selectivity was due to the nanoscale height variation of a water layer formed onto the micrometer scale hydrophilic patterns. We successfully demonstrated the sequential alignment of different sized nanowires on the same substrate by applying this size selective phenomenon.
9:00 AM - KK5.23
Self-Assembly for the Directed Self-Assembly of Smaller Objects in a Microfluidic Channel
Katharina Brassat 1 2 Christoph Brodehl 1 2 Markus Wahle 1 2 Joerg K. N. Lindner 1 2
1University of Paderborn Paderborn Germany2Center for Optoelectronics and Photonics CeOPP Paderborn Germany
Show AbstractDielectrophoresis is a key principle for the lab-on-a-chip technology as it allows for e.g. the trapping and sorting of particles as bacteria, viruses or cells in the field of biology or the orientation of nanowires for technogical applications [1]. In dielectrophoretic experiments an applied voltage induces an inhomogeneous field between electrodes on a microfluidic device which leads to the guided assembly of uncharged particles. In commonly used devices the electrode size and gap width is in the range of few ten microns [2]. For the directed assembly of single particles the gap width has to be commensurate with the particles dimensions, i.e. in the order of few ten to few hundred nanometers for e.g. single proteins or nanowires.
We present a novel process for the formation of a 0.5 mm long linear chain of such nanogap electrodes inside a microfluidic channel by means of template-assisted self-organization and nanosphere lithography. Trenches on Si (100) surfaces are prepared by photolithography and reactive ion etching. Polystyrene beads with a diameter corresponding to the trench width are arranged by directed self-assembly in a narrow packed linear chain using a doctor blade technique. Surface functionalization is used to prevent sphere deposition outside the trench. The chain of spheres subsequently acts as a shadow mask in a metal deposition step. After removing the spheres chemically a 1D array of opposing metallic nanotips remains inside the trench. At the same time unpatterned metallic films are formed on the plane Si surfaces next to the trench which can be used as macroscopic contact pads of the pointed nanogap electrodes inside the channel.
The electrode structures are investigated by light microscopy, scanning electron microscopy and atomic force microscopy, showing that gap distances are largely determined by mask clogging effects during deposition. We demonstrate that mask clogging effects can be overcome by metal deposition from off-normal directions, allowing to adjust the nanogap width arbitrarily in the range of few ten to few hundred nm according to the desired applications. The experimental results are compared to ray tracing type calculations of the deposition process. The electric field strength and field gradients inside the channel are simulated as a function of nanogap width and electrode curvature using the finite element method in order to optimize the gap geometry for dielectrophoretic experiments on the directed self-assembly of nanoobjects at the gaps.
[1] L. Bogunovic et al., Electrophoresis 32, 2253 (2011)
[2] P. Hoffman et al., Langmuir 24, 121264 (2008)
9:00 AM - KK5.24
Multimillimetre-Range Two-Dimensional End-to-End Networking of Nanorods in Monolayer Thickness
Dahin Kim 1 Whi Dong Kim 1 Shin-Hyun Kim 1 Doh C. Lee 1
1Korea Advanced Institute of Science and Technology Daejeon Korea (the Republic of)
Show AbstractIn this presentation, we describe 2-dimensional network of colloidal CdSe nanorods in monolayer thickness through end-to-end linking, which generates a single homogeneous superstructure reaching multimillimeter-scale. Despite the reactivity at their tips, end-to-end orientation of nanorods has seldom been reported primarily because van der Waals and dipole-dipole forces, which preferably induce side-by-side stacking of nanorods, eclipse the interactions between tips of nanorods. However, in our work, patterns of nanorod assembly, e.g., networking vs side-by-side can be controlled by subtle changes in experimental conditions which influence the interaction forces significantly. For end-to-end attraction, we increased capillary forces between neighboring nanorods by capturing the nanorods at the air/liquid interface. With change on interfacial properties by surfactants and salts added in the nanorod solution, nanorods can be controllably captured at the air/liquid boundary, thereby overcoming side-by-side interactive force otherwise predominant in solution state. In addition, interfacial deformation, which is the meniscus formed by the captured nanorods, renders nanorods form end-to-end linked network to reduce total interfacial energy. Exquisite control over the degree of networking is possible through the change of the added chemicals, surfactants and salts. We observe that all of the assembled superstructures are homogeneously distributed and highly ordered in large-length-scale without 3-dimensional aggregation or a coffee ring, which frequently act as obstacles in the most film devices. Overall, we suggest a simple approach for making end-to-end assembly of nanorods in equilibrium and demonstrate the process to convert dispersive state of nanorods into interfacial capturing, switching favorable interactions, in association with the behavior of surfactant and salt in nanorod solution. Our method will enable diverse materials with anisotropic characteristics to be assembled into noble and complex 2-D superstructures at large-scale.
9:00 AM - KK5.25
Formation of 0.3 nm-High Atomically Stepped Polymer Sheets Applicable to Nanotemplate Substrates for Self-Assembly
Geng Tan 1 Tomoyuki Funabasama 1 Yasuhisa Nozawa 1 Satoru Kaneko 2 Akifumi Matsuda 1 Mamoru Yoshimoto 2
1Tokyo Institute of Technology Yokohama Japan2Kanagawa Industrial Technology Center Ebina Japan
Show AbstractNanoimprint process is one of the most promising techniques for simple, low-cost, and high-throughput nanopatterning. The resolution limit of nanoimprinting has attracted much attention from both scientific and industrial field. To date, novel feature sizes as small as about 1 nm have been obtained on the surfaces of a polyurethane (PU) polymer and a poly(acrylic acid) (PAA) polymer by using a single-walled carbon nanotube template [1]. Recently, we used sapphire (α-Al2O3 single crystal) wafers with self-organized nanopatterns of atomic steps as nanoimprinting molds and successfully transferred their nanoscale patterns onto surfaces of glass [2]. We also transferred the atomically stepped patterns onto poly(methyl methacrylate) (PMMA) polymers [3]. The nanoimprinted glassy material surfaces exhibited regularly arrayed atomic stairs with 0.2~0.3-nm step height which were in good agreement with the patterns of used sapphire molds. The result verifies the vertical resolution of nanoimprinting technique in subnanometer (<1 nm) on polymer substances as well as on oxide glasses. These atomic step substrates are expected to be used as nanotemplates in construction of self-assembled materials.
In this study, we investigated the effect of process conditions on accuracy of transcription and the change of atomically stepped shapes on the nanoimprinted polymers by heating them around their Tg. We used poly(methyl methacrylate) (PMMA) and cyclic olefin polymer (COP) which have glass transition temperature (Tg) of 105°C and 100°C, respectively. The stepped sapphire (α-Al2O3 single crystal) mold (step height: ~0.3 nm, separation: 400—900 nm) was prepared by annealing the mirror polished sapphire wafer at 1200°C for 3 hours in air. Nanoimprint process was performed in air and we didn&’t use any release agents. Surface morphology and roughness were characterized by atomic force microscopy (AFM). The surfaces of PMMA and COP revealed stepped patterns corresponding to that of the sapphire molds. We investigated the influences of imprinting conditions, such as pressure, temperature, and holding time on precision of transcription. We will also discuss the deformation of atomically stepped shapes on PMMA and COP surfaces by heat treatment around their Tg.
[1] F. Hua et al., IEEE Trans. Nanotechnol. 5 301 (2006).
[2] Y. Akita et al., Jpn. J. Appl. Phys. 46 L342 (2007).
[3] G. Tan et al., Appl. Phys. Express 7 055202 (2014).
9:00 AM - KK5.26
Peptide Nanofiber Network Templated ALD-Grown TiO2 Nanostructures for Dye-Sensitized Solar Cell (DSSC) Anode
Ruslan Garifullin 1 Turkan Gamze Ulusoy 1 Hamit Eren 1 Mustafa Ozgur Guler 1 Necmi Biyikli 1 Ali Kemal Okyay 1 2
1Bilkent University Ankara Turkey2Bilkent University Ankara Turkey
Show AbstractPeptide amphiphiles (PAs) are molecular entities designed to self-assemble into nanofibers providing a highly porous and homogenous three-dimensional nanonetwork [1]. Short sequence of PAs makes them easily accessible through several synthetic means. Solid phase peptide synthesis on polymer support readily yields fully functional self-assembled peptides. In this study, PA molecule with a sequence of Lauryl-Val-Val-Ala-Gly-Lys-Am was designed and synthesized. Designed peptide molecules self-assemble into nanofibers and serve as a template with their functional amine groups exposed on the surface.
TiO2 nanonetworks serve as a support for light absorbing molecules and lead to improvement in short circuit current (Jsc) and open circuit voltage (Voc) with their nanostructured high-surface-area material in dye-sensitized solar cells (DSSCs). Self-assembled peptide amphiphile nanofiber networks were exploited as an organic template for growth of interconnected TiO2 nanowires. Atomic layer deposition (ALD) technique was utilized in growth of nanostructured titania layers as anodic material in DSSCs.
In this study, we exploit self-assembled peptide nanofibers for ALD deposited inorganic nanostructure coatings with high conformality and thickness resolution, which is an ideal thin film coating method on very-high surface area [2]. By changing the number of ALD cycles, the thickness of the nanotubes can be adjusted with a sub-nanometer resolution. Here, TiO2 layer was deposited by ALD using Ti(NMe2)4, and H2O as titanium, and oxygen precursors, respectively, at 150°C in Savannah S100 ALD reactor (Cambridge Nanotech Inc.) using exposure mode. Organic templates were then removed by calcination of the samples at 450 °C for 1 h to obtain anatase TiO2 nanofiber network.
Templated TiO2 nanonetworks possess intriguing features, such as greater surface area and improved Voc and Jsc, which result in enhanced photoactivity. The efficiencies of DSSCs prepared by template-directed (TiO2 nanonetwork) and template-free (thin film) approaches were compared, and the former approach resulted in 300 fold improvement, thanks to the dimensions and shape of the templated TiO2. Moreover, TiO2 with high surface area provides increased interaction between TiO2 and sensitizer molecules in DSSCs[3].
DSSC experiments have demonstrated superiority of nanostructured materials in terms cell parameters and emphasized the importance of bottom-up approach realized via self-assembled soft templates.
References
[1] J. D. Hartgerink, E. Beniash and S. I. Stupp, Science 294, 1684 (2001)
[2] H. Ceylan et al., Scientific Reports, 3, 2306, (2013)
[3] B. O'Regan and M. Grätzel, Nature 353, 737 (1991)
9:00 AM - KK5.27
Interfacial Convective Assembly for Large-Area Integration of Nanostructures and Nanopatterning
Adnan Korkmaz 1 Cihan Yilmaz 1 Ahmed Busnaina 1
1Northeastern University Boston USA
Show AbstractBottom-up directed assembly has been considered as an alternative nanopatterning technique for fabricating smaller device features. Among various directed assembly techniques, convective flow-driven assembly is one of the most widely used methods because it enables the assembly of various types of nanoelements into several arrangements including lines, arrays on surfaces. However, convective assembly process typically takes many hours to assemble over large areas and is not scalable. Herein, we present an entirely new flow-driven assembly technique so called interfacial convective assembly, in which the nanoelements are precisely integrated on surfaces in less than 3 min over a cm2 area. This technique accommodates a wide range of nanoelements such as nanoparticles, nanowires and carbon-nanotubes. The substrate can be flat or curved, flexible or rigid and does not require any chemical functionalization. In this process, first, a layer of organic solvent, with high vapor pressure and low density, is spread into prefabricated nanoscale patterns. Then, a second layer of an aqueous dispersion of nanoelements is placed on top of the first layer. A fluid flow occurs between the liquid layers because of the fast evaporation of the organic solvent (in minutes) and the difference in density. This flow creates a force on the nanoelements driving them into the nanoscale patterns. Using this method, we have assembled various organic and inorganic nanoparticles such as Polystyrene Latex (PSL), silica, gold and cadmium selenide into deep channels, holes, wells, vias and trenches, size ranging from 50-nm to 50 micron. We have shown assembly on both hydrophobic and hydrophilic surfaces containing patterned geometries with an aspect ratio up to 40. We have investigated the assembly mechanism using in-situ optical fluorescent microscopy. The understanding of the various assembly parameters such as temperature, time, particle concentration, and evaporation rate led to controlled assembly of particles in complex shapes over large areas. The speed, scalability and control of the presented assembly technique will enable large-area integration of nanoelements on surfaces for nanopatterning toward the fabrication of smaller feature sizes.
9:00 AM - KK5.28
Trimethyl Acetic Acid Adsorption on Moireacute; Pattern of Ultrathin TiO Films
Zhisheng Li 1 Denis V Potapenko 1 Richard M. Osgood 1
1Columbia University New York USA
Show AbstractUltrathin epitaxial films grown on single-crystal metal substrates are a subject of great interest for modern surface science and possible technological applications. In this context, TiOx (titanium oxide) film grown on (111) substrates plays an important role as a model system for studying the surface structure and strong metal support interaction.
In this work, we report the sharp adsorption phases of 2D arrays of TMAA (Trimethyl Acetic Acid) on ultrathin TiO films, which was prepared through several preparation steps. Ti was first deposited onto Au (111) at room temperature and annealed at 800K to form a surface layer of Ti/Au alloy. The Ti/Au alloy was then oxidized in O2 atmosphere at 850K to produce evenly distributed nanometer size TiOx on the Au (111) surface. The final step is annealing TiOx crystals at 950K in vacuum, which further reduced TiOx crystals into ultrathin TiO films. The resulting films contain only one atomic layer thickness and form a long-range pinwheel Moiré pattern due to the lattice mismatch between Ti layer and Au substrate. Each pinwheel within the long-range pattern is consisted of six interlocking triangles around a central hub. The ordered Moiré pattern can be used as a template for epitaxial growth of other oxides, nanosized metal clusters and 2D arrays of organic adsorbates.
After depositing TMAA onto TiO films, we found that TMAA preferentially adsorbs at the center of the pinwheels at low coverage (~0.04ML). By mapping the adsorbed molecules with the ball model of the pinwheel structure, it is found that the Ti atoms at the center of pinwheel are located at near the top of Au atoms, which gives rise to the higher binding energy of TMAA at these sites. As the coverage of TMAA increases (~0.12 ML), the adsorbed TMAA molecules form a three-fold geometry at the center of each pinwheel by adsorbing at the edge of triangles, while retaining the long-range six-fold Moiré-pattern.
After TMAA adsorption reaches saturation level, the long-range Moiré-pattern persists, but the local adsorption pattern within each pinwheel varies from one pinwheel to another. It is also worth to note, TMAA molecules do not cover the whole surface and leave the center of triangles blank, indicating the significant difference in adsorption energy between adsorption at the edge and at the center of the triangles.
9:00 AM - KK5.29
Controlled Formation of Nanoscale Tunneling Gaps with Self-Assembled Molecular Layers
Farnaz Niroui 1 Ellen M. Sletten 2 Timothy M. Swager 2 Jeffrey H. Lang 1 Vladimir Bulovic 1
1Massachusetts Institute of Technology Cambridge USA2Massachusetts Institute of Technology Cambridge USA
Show AbstractWe have developed a technique for fabrication of quantum tunneling junctions with controlled nanoscale precision. In this approach, nanoscale force control and self-assembly of molecular layers are utilized to derive formation of few nanometer-thick tunneling gaps. Metal-coated large aspect-ratio polymeric support structures are fabricated in the form of lateral cantilevers. Capillary forces are then utilized to initiate attraction of the cantilever towards an opposing fabricated structure. Through a balance of the elastic restoring force of the cantilever and the combined capillary and van der Waals forces between the approaching structures, nanometer thick tunneling metal-air-metal gaps are defined. In this process, manipulation of the force balance enables nanoscale control of the gap thickness. Organic molecular layers are then self-assembled onto the fabricated gaps to define molecular tunneling junctions. In this process, through alteration of surface properties, the self-assembly can allow further attraction of the two metallic contacts forming a metal-molecule-metal structure with the tunneling width defined by the molecular layer thickness. Our experimental work demonstrates the feasibility of the proposed technique in fabricating gaps as small as ~1 nm. The measured current-voltage characteristics suggest direct tunneling as the main conduction mechanism for the gaps with widths in the tunneling regime. Through engineering of the molecular layers and device structures, the tunneling gaps can also be fabricated such that their tunneling width is tunable through application of an electrostatic force. The formation of the tunneling gaps with nanoscale control makes the proposed approach a promising platform for multiple applications in the field of molecular electronic, optoelectronic and electromechanical devices.
9:00 AM - KK5.30
Using Lattices of Asymmetric Colloidal Dimers under Electric Fields
Fuduo Ma 1 Sijia Wang 1 Hui Zhao 2 David T. Wu 3 Ning Wu 1
1Colorado School of Mines Golden USA2University of Nevada Las Vegas USA3Colorado School of Mines Golden USA
Show AbstractStudies on colloidal particles have shed light in the physics of soft materials, including the crystals nucleation and growth, phase behavior, and glass formation. In particular, anisotropic particles can potentially mimic natural interactions between molecules, which are often shape-specific and orientation-dependent. Arguably, the simplest form of anisotropic particles beyond spheres is colloidal dimer where two lobes are grown or fused together. We apply an AC electric field to induce anisotropic interactions among asymmetric colloidal dimers. These anisotropic interactions, being shape-specific and orientation-dependent, can create complex and unique structures that are not possible for spherical particles or symmetric dimers. More specifically, we show a series of novel structures that closely resemble one- and two-dimensional Ising lattices, including small clusters, linear chains, squares, and frustrated triangular arrays. All of them are uniquely formed by alternating association between dimers with opposite orientations. Our theoretical model attributes this alternating association to the delicate competition between dipolar and electrohydrodynamic interactions, which depend sensitively on the dimers' relative orientations. Although similarly oriented dimers are strongly repulsive, the oppositely oriented dimers possess a concave shoulder in the pair interaction, which favors clustering to minimize the number of overlaps between neighboring dimers. By combining the anisotropy in both particle geometry and field-induced interaction, our work suggests a new way to tailor colloidal interactions on anisotropic particles, which is important for both scientific understanding and practical applications.
9:00 AM - KK5.31
On-Demand, Multi-Material Assembly by Electrophoretic Deposition with Particle-to-Particle Precision
Tammy Olson 1 Fang Qian 1 Andrew Pascall 1 Joshua Kuntz 1 T. Yong-Jin Han 1
1Lawrence Livermore National Laboratory Livermore USA
Show AbstractMulti-material nanoparticle systems often exhibit new, enhanced, and collective materials properties. Directed self-assembly has been a promising technique for fabricating multi-material particle systems. In particular, electrophoretic deposition (EPD) is a highly versatile method of manipulating a wide range of structures, where an electric field provides a “knob” that can be applied for directing unique materials assembly. Recently, we have demonstrated precise particle assembly by using patterned electrodes, where holes created by e-beam lithography exposes the underlying electrode and the e-beam resist shields the electric field elsewhere. Each hole pattern accepts a particle deposition during EPD, allowing any particle configuration to be designed and fabricated. The pattern hole size with respect to particle size was shown to be highly sensitive to the voltage, where a voltage threshold for a particle deposition increased with decreasing hole size.
This newly discovered phenomenon has enabled multi-material deposition, where two different sets of patterned hole sizes on the same electrode allowed for sequential particle deposition of two different materials. Directed self-assembly of multi-material particles are made possible with single particle precision, without use of complicated surface modifying agents, and without the need for re-patterning of the electrode between depositions of different materials. Gold nanoparticles were assembled together with other materials types, such as polymers beads, which allow unique interfacial interaction and tuning of their optical properties. Synthesis of the metal and metal oxide nanoparticles will be discussed in context of their use in directed self-assembly by EPD and patterned electrodes.
9:00 AM - KK5.32
3D Nanoconfined Dopants in Si - A Block Copolymer Self-Assembly Approach
Bhooshan C. Popere 1 Boris Russ 1 Andrew T. Heitsch 2 Peter Trefonas 3 Rachel A. Segalman 1
1University of California, Berkeley Berkeley USA2The Dow Chemical Company Midland USA3The Dow Chemical Company Marlborough USA
Show AbstractAs the dimensions of field effect transistors continue to shrink and new device architectures are developed, there is a vast need for new technology to demonstrate reliable nanoscale doping with well defined and uniformly doped ultrashallow junctions, both for the source/drain contacts and the channel regions. A monolayer doping (MLD) approach based on the self-limiting hydrosilylation monolayer reaction can successfully address the limitations of conventional ion implantation, such as stochastic spatial distribution of implanted ions, severe crystal damage, and inability to achieve implantation ranges and abruptness down to the nanometer length scale. Indeed, MLD has enabled the fabrication of ultrashallow junctions with low sheet resistance for both p- and n-type doping. While the uniform surface coverage of the monolayer is ideal for large area doping and ultrashallow junctions, it lacks control over the concentration, and the 2D (lateral) positions of the dopants to within a few nanometers. Directed self-assembly (DSA) of block copolymers has proved critical in meeting the ever shrinking technology nodes by enabling excellent pitch control for lithography. However, controlling the dopant distribution in all three dimensions using DSA represents a fundamental design challenge, especially as the domain size approaches the nanometer regime.
We have utilized the DSA of block copolymers in a novel approach to confine dopants within block copolymer domains. The highly periodic nature of these domains affords precise control over the spatial positions of dopant atoms. Briefly, an organic dopant precursor small molecule is encapsulated via hydrogen bonding into the interior of the polystyrene-b-poly(4-vinylpyridine) (PS-b-P4VP) micellar aggregates in solution (toluene). Since toluene is a selective solvent for the PS block, the polymers aggregate in solution to form spherical assemblies with a P4VP core and a PS corona. The kinetic trapping of these aggregates affords good encapsulation stability even at room temperature. Furthermore, incorporation of the dopants via hydrogen bonding eliminates the need for tailored synthesis, thereby making the approach highly modular. Thin films cast from these solutions show a periodic hexagonally close packed array of spherical aggregates on Si substrates. Rapid thermal annealing effectively drives the encapsulated dopants into the underlying Si thereby confining them to within 10-20 nm in the x, y and z dimensions. Furthermore, due to the modular nature of this approach, the size, pitch, dopant dosage and the junction depth can be independently varied and tuned for a wide range of dopant atoms (B, P, Sb, As, etc.). Secondary ion mass spectrometry, cross-sectional TEM, atom probe tomography and sheet resistance measurements indicate that the domains are indeed discrete and nanoconfined.
9:00 AM - KK5.33
Morphological Evolution of PS-b-PMMA Block Copolymer Thin Films within Periodic Gratings
Michele Perego 1 Federico Ferrarese Lupi 1 Tommaso Jacopo Giammaria 1 3 Gabriele Seguini 1 Emanuele Enrico 2 Natascia De Leo 2 Luca Boarino 2 Diego Antonioli 3 Valentina Gianotti 3 Katia Sparnacci 3 Michele Laus 3 Francesco Vita 4 Oriano Francescangeli 4 Christopher Kemper Ober 5
1CNR-IMM Agrate Brianza Italy2INRIM - Nano Facility Piemonte Torino Italy3Universitamp;#224; del Piemonte Orientale amp;#8220;A. Avogadro" Alessandria Italy4Universitamp;#224; Politecnica delle Marche Ancona Italy5Cornell University Ithaca USA
Show AbstractDiblock Copolymers (DBCs) have attracted a wide interest over the last decades due to their ability to self-assemble into well-ordered nanometric size structures. In this work we studied the self-assembling process of cylinder-forming PS-b-PMMA block copolymers (BCPs) with different molecular weight, from 29 to 205 kg/mol. Using a Rapid Thermal Processing (RTP) machine we were able to process these polymeric films at high temperatures, close to their order-disorder transition, in a relatively short amount of time (t le; 900s), resulting in the formation of hexagonally packed cylindrical PMMA structures embedded in a PS matrix. The self-assembled polymeric film exhibited different characteristic dimension of the cylindrical nanodomains with diameters ranging from 12 to 30 nm and center-to-center distance L0 from 24 to 73 nm. The study was performed on both flat and pre-patterned surfaces. In particular we focused on the kinetics of the SA process in BCP thin films properly confined inside periodic grating structures formed by densely packed and nanometer wide trenches. Irrespective of the surface neutralization the study revealed an unexpected and irreversible flipping of the cylindrical nanodomains from parallel to perpendicular orientation with respect to the surface. This peculiar phenomenon was attributed to de-swelling of the polymeric film as a consequence of a progressive desorption of the solvent naturally retained inside the film during the spinning procedure. Systematic investigation of the annealing process indicated that the flipping is strongly dependent on the geometric parameters of the trenches and on the relative position of the analyzed trench with respect to the periodic topographic structure suggesting the possibility of proximity or cross-talk phenomena among the neighboring structures. This specific effect is discussed in view of the implementation of lithographic protocol that integrate self-assembling BCPs in densely packed pre-patterned structures, like the ones commonly used in the fabrication process of microelectronic devices.
M. Perego et al., "Collective behavior of block copolymer thin films within periodic topographical structures", Nanotechnology 24, 8 (2013)
F. Ferrarese Lupi et al., “Fine Tuning of Lithographic Masks through Thin Films of PS-b-PMMA with Different Molar Mass by Rapid Thermal Processing", ACS Appl. Mater. Interfaces 10, 7180-7188 (2014)
F. Ferrarese Lupi et al. " Thermally induced orientational flipping of cylindrical phase diblock copolymers", Journal of Materials Chemistry C 2, 2175-2182 (2014)
KK3: Directed Self Assembly for Nanopatterning - Simulations and Measurements
Session Chairs
Tuesday AM, December 02, 2014
Hynes, Level 1, Room 104
9:30 AM - *KK3.01
Importance of Kinetics on Solvent-Assisted Directed Self-Assembly and Defect Annihilations
Su-Mi Hur 1 2 Gurdaman S. Khaira 1 Vikram Thapar 3 Juan J. de Pablo 1 2
1University of Chicago Chicago USA2Argonne National Laboratory Argonne USA3Cornell University Ithaca USA
Show AbstractAlthough there has been significant progress in the directed self-assembly of block polymers for lithographic patterning applications, important challenges remain with regards to development of materials and processes leading to perfect, defect-free assembly. Thermal and solvent annealing, respectively, represent two such processes. Specifically, recent experimental work suggests that solvent annealing provides an effective means for defect removal and creation of structures that cannot be achieved through conventional thermal annealing. Theory and simulation have been successful in predicting the equilibrium morphologies observed in thermal annealing experiments. A theoretical analysis of the dynamics of morphology formation in solvent-assisted DSA, however, is not available. This is partly due to the intrinsic non-equilibrium nature of such a process. In this work, we present an efficient simulation approach to describe solvent annealing in block copolymer films, which captures the evolution of microstructure and the transformation between various microphases in response to film swelling and solvent evaporation. The effect of the process and the relevant thermodynamic variables (e.g. solvent pressure, molecular weight and segregation force) on the self assembled structure of block copolymer thin films is investigated, and we identify conditions leading to defect-free morphologies. Our results agree with available experimental evidence, and serve to emphasize the importance of kinetics on the elimination of defects, where an extraordinarily large thermodynamic driving force is not necessarily sufficient for defect removal. This latter point is highlighted in calculations of the minimum free energy pathway for defect annihilation in block copolymer melt thin films using a string procedure. Kinetic energy barrier heights are shown to strongly depend on the defect type and on processing conditions such as the type of chemical pattern or the strength of the segregation force between blocks.
10:00 AM - KK3.02
Real-Time Observation of PS-PDMS Block Copolymer Self-Assembly under Solvent Vapor Annealing
Wubin Bai 1 Kevin Yager 2 Caroline Ross 1
1MIT Cambridge USA2Brookhaven National Laboratory Upton USA
Show AbstractSolvent annealing provides a convenient way to produce microphase separation in films of block copolymers, but the transitions of the morphology of the film during the swelling, annealing and deswelling process are not well known. An in situ study of solvent annealing of polystyrene-block-polydimethylsiloxane (PS-PDMS, 16 kg/mol, fPDMS = 30%, period 17 nm) diblock copolymer was carried by synchrotron grazing-incidence small-angle X-ray scattering (GISAXS). The swollen film morphology was found to be strongly dependent on swelling ratio (swollen film thickness divided by as-cast film thickness). Under a solvent vapor produced from a toluene:heptane 5:1 volumetric solvent mixture, a transition from the disordered state to a highly ordered state which contained multiple layers of in-plane cylinders was observed at a swelling ratio around 1.45 from samples with 100nm, 200nm, and 300 nm as-cast thickness. For a 25 nm film which formed a monolayer of cylinders, the ordered state appeared at a swelling ratio of 1.3 and was more sensitive to as-cast film thickness. When the swelling ratio passed through 1.65, a transition from the ordered state to disorder was observed, and the ordered state reappeared when the films were gradually dried out. However, the most ordered morphology was found when the swelling ratio reached 1.5 and the film was quenched gently with nitrogen flow. The rate of film swelling and the time of consistent-swollen state were found to be less important to the dried morphology. The implications of the results to pattern generation for block copolymer directed self-assembly will be discussed.
10:15 AM - KK3.03
How Would Template Affect the Block Copolymer Self-Assembly: Experiment, Simulation and Theoretical Analysis with Two Dimensional Crystal Melting Model
Yi Ding 1 Ricardo Pablo Pedro 1 David Tempel 1 Hsieh Chen 1 Caroline A. Ross 1 Alfredo Alexander-Katz 1
1Massachusetts Institute of Technology Cambridge USA
Show AbstractDirected self-assembly (DSA) of block copolymers (BCP) is one of the most promising approaches to the high-throughput fabrication of nano/mesoscale structures and devices. It provides the ability to easily achieve sub-10 nm feature size, which could potentially be integrated into manufacturing line within a few years and therefore extend the ability of traditional photolithography for nanofabrication.
Two major factors are considered to be most important in realizing the sub-10 nm feature size. The first one is the Flory interaction parameter chi;, which should be large enough for the block copolymers to phase separate. In line with this goal, a variety of high chi; block copolymers were successfully synthesized and tested. Another important factor is the template. When reaching smaller feature size, the fluctuation in the template fabrication could significantly affect the behavior of the self-assembly process of block copolymers. In this Presentation, we will address this second issue regarding the limitation imposed by the template for the directed self-assembly of block copolymers.
For experimental part of this work, we used sphere-forming polystyrene-b-polydimethylsiloxane (PS-b-PDMS) and posts template with hexagonal symmetry as model system. By systematically introducing different levels of deviation (Gaussian noise) in the position of the posts, we have observed the gradual changes of the self-assembled pattern. These pattern changes can also be accurately predicted by Self-Consistent Field Theory (SCFT) simulation. In both experiment and simulation, different types of melting behaviors for two-dimensional crystal can be observed, depending on the different inter-post distances and levels of introduced noise. We have gained more insight on this melting behavior by further performing a theoretical analysis under the framework of KTHNY Theory.
In all, by introducing noise into the template, we have tested how template would influence the directed self-assembly process of block copolymer and therefore demonstrated the potential and limitation of this method.
10:30 AM - *KK3.04
Simulating Directed Self-Assembly of Block Copolymer Thin Film
An-Chang Shi 1
1McMaster University Hamilton Canada
Show AbstractThe ordering dynamics of directed self-assembly of block copolymer thin films is studied by a combination of self-consistent field theory and time-dependent Ginzburg-Landau (TDGL) theory. The directing field, mimicking chemically or topologically patterned surfaces, is composed of an array of potential wells that are attractive to the minority blocks. Cell dynamics simulation of the TDGL theory is used to obtain results on systems containing a large number of defects. Time evolution of the defect concentration is used to characterize the ordering dynamics of the self-assembled structures. The mechanism, validity and efficiency of different directing schemes are examined. Based on the simulations, we proposed that a strategy to obtain large-scale perfectly ordered patterns via controlling the position and orientation of induced nucleation events.
11:30 AM - *KK3.05
Defect Annihilation in Chemo-Epitaxial Directed Self-Assembly: Computer Simulation and Self-Consistent Field Theory
Marcus Mueller 1 Weihua Li 1 2 Ulrich Welling 1
1Georg-August University Goettingen Germany2Fudan University Shanghai China
Show AbstractCopolymers are flexible macromolecules that are comprised of two (or more) blocks. The incompatibility between the constituents of different blocks gives rise to microphase separation on the length scale of 5-40 nm. Much effort has been devoted to utilizing these soft materials as templates for nanostructures, e.g., for integrated circuits and memory devices. Chemical guiding patterns have been used to direct the self-assembly and fabricate defect-free structures.
Defects have a high free energy of several hundreds kT where kT denotes the thermal energy scale. Thus, they cannot be conceived as equilibrium fluctuations around a perfectly ordered state. Instead, defects, which are observed in experiments, are formed in the course of directed self-assembly and are dictated by the kinetics of structure formation and the kinetic pathways of defect annihilation. Computational modeling can contribute to optimizing material parameters such film thickness, interaction between copolymer blocks and substrate, geometry of confinement, and it provides fundamental insights into the physical mechanisms of directing the self-assembly, addressing both the equilibrium structure and thermodynamics as well as the kinetics of self-assembly.
I will discuss computer simulation of highly coarse-grained particle-based models, which allow us to access the long time and large length scales associated with self-assembly, and self-consistent field theory calculations. These calculations provide information about the free-energy landscape and mechanisms of defect annihilation in thin films as a function of the incompatibility, the preference of the guiding pattern and the film thickness. Additionally, opportunities for directing the kinetics of self-assembly by temporal changes of thermodynamic conditions will be discussed.
12:00 PM - KK3.06
Self-Consistent Field Theory and Field Theoretic Simulations of Directed Self-Assembly of Block Copolymers
Bongkeun Kim 1 4 Nabil Laachi 1 Tatsuhiro Iwama 1 2 Kenichi Izumi 1 3 Kris T Delaney 1 Glenn H Fredrickson 1
1Materials Research Laboratory, University of California Santa Barbara Santa Barbara USA2Asahi Kasei E-Materials Shizuoka Japan3JSR Corporation Yokkaichi Japan4Dow Materials Institute, University of California, Santa Barbara Santa Barbara USA
Show AbstractWe provide an overview of modeling tools developed by our group for studying the directed self-assembly (DSA) of block and complex architecture copolymers. These tools, which are encapsulated in a parallel MPI/OpenMP/GPU code base - PolyFTS, include self-consistent field theory (SCFT) and complex Langevin (CL) field updating schemes for mean-field and non-mean-field studies, respectively. Our framework allows for embedding a wide range of guiding patterns in both graphoepitaxy and chemoepitaxy, and can treat simple and complex block copolymers and alloys including AB diblocks, ABn miktoarm stars, and bottle brush block copolymers. An implementation of the “string method” further enables the identification of minimum energy paths/kinetic barriers between metastable and stable states. This presentation will highlight a number of recent results from the group including studies of cylindrical and non-cylindrical vertical interconnect access (VIA) lithography, cylinder-forming diblock copolymers laterally confined in narrow channels, and lamellar-forming diblock copolymers on chemically patterned substrates. In these various cases, we identified the formation energy of isolated defects under optimal commensurability conditions with SCFT, enabling the estimation of equilibrium defect concentrations. Applying the string method, we also investigated the energy barriers and kinetic pathways of the transition from defective to perfect states. In VIA lithography, we applied the CL technique to investigate thermal fluctuations on size, placement and eccentricity of DSA cylinders. Most recently, we have been exploring the use of asymmetric polymer architectures such as miktoarm stars and bottle brush block copolymers as either additives or pure components to lower defectivity and improve the fidelity of DSA processes.
12:15 PM - KK3.07
Directed Self-Assembly of Lamellae-Forming Block Copolymer with Density Multiplication for High Aspect Ratio Structures
Xuanxuan Chen 1 Paulina Rincon-Delgadillo 1 Zhang Jiang 2 Jin Wang 2 Paul Nealey 1
1The University of Chicago Chicago USA2Argonne National Laboratory Argonne USA
Show AbstractDirected self-assembly (DSA) of block copolymers provides the means to control structure over micro- and macroscopic dimensions. We investigate the potential for DSA to control nanostructure through sub-micron film thickness and realize near perfect structure in the plane of the film over macroscopic areas. Lamellae-forming poly (styrene) - block - poly (methyl methacrylate) (L0=28.5 nm) was directed to assemble on chemical patterns with a pitch (LS) of 84 nm. Top-down SEM imaging and Grazing-incidence Small-angle X-ray Scattering (GISAXS) were used to locally and globally characterize the three-dimensional structure of the films, as a function of the geometry and chemistry of the chemical pattern, film thickness, and thermal annealing time. At optimal conditions, perpendicular through film structures was achieved with aspect ratio of 12 over 5 x 8 mm2 patterned areas in 3 hours at 250#8451;. At non-optimal boundary conditions, time for assembly increases, and the maximum film thickness decreases, suggesting an assembly mechanism involving nucleation of structure at the patterned and free surface and differing governance of the pattern-directed structure in both the thermodynamics and kinetics of the system. GISAXS experiments were performed here with the x-ray beam parallel and perpendicular to the chemical pattern at two different incidence angles for surface and full-film analysis respectively. It reveals that a significant number of defect structures still persist within the films even after the surface structures are perfectly aligned.
12:30 PM - KK3.08
Advancing the Theoretical Framework and Simulation Methods for Solvent Vapor Annealing of Block Copolymer Directed Self-Assembly Systems
Adam Floyd Hannon 1 Wubin Bai 1 Alfredo Alexander-Katz 1 Caroline Anne Ross 1
1Massachusetts Institute of Technology Cambridge USA
Show AbstractDirected self-assembly (DSA) of block copolymers (BCPs) has been demonstrated to be a powerful processing method capable of producing complex circuit-like features with sub-10 nm dimensions. Recent simulation studies using inverse design algorithms have allowed for the fabrication of these complex circuit features by starting with target patterns and determining the necessary DSA template needed for the BCP to self-assemble into that pattern computationally [Hannon, A. F. ACS Macro Lett.2013 & Qin, J. Soft Matter2013]. Experiments that use electron beam lithography to fabricate the DSA template hydrogen silsesquioxane “posts” and solvent vapor annealing (SVA) of polydimethylsiloxane-bshy;-polystyrene (PDMS-PS) for self-assembly have been able to confirm these inverse design algorithms in restricted cases [Hannon, A. F. Nano Lett.2014]. However, due to the sometimes nonequilibrium nature of SVA or incomplete theory of the process the inverse design methods do not always produce the target patterns. To account for these experimental and simulation differences, a better theoretical framework for the traditionally “black box” view of the SVA process is necessary.
In this presentation, we use recent experimental and simulation SVA studies to gain better insight into the physical details of the process. Recent grazing-incidence small-angle x-ray scattering experiments have suggested the presence of two regimes in BCP SVA self-assembly [Gu, X. Adv. Mater. 2014]. Here we focus on the regime where ordering of the BCP occurs while the film swells in the solvent vapor as opposed to the regime where the order-disorder transition is reached while swelling. In this case the ordered equilibrium structures in the film are collapsed upon quenching with the vacated solvent accounting for most of the out-of-plane shrinking. Self-consistent field theory (SCFT) simulations using both explicit and implicit consideration of solvent in the system are used to explore the physics of this process. Experimental results using various molecular weight and volume fraction PDMS-PS thin films solvent annealed in toluene and heptane vapor are examined and correlated to simulation parameters. These experimental parameters include vapor pressures, swelling ratios, and solvent mixture ratios which are correlated to the effective chi;, volume fraction f, and film thickness parameters used in the simulations. Example results show that by controlling the effective fraction of minority selective solvent for an f = 0.41 bulk gyroid BCP, the thin film internal morphology of a monolayer of the BCP thin film can be changed to spheres for effective f cong; 0.25, cylinders for effective f cong; 0.35, and in-plane lamellae for effective f cong; 0.45, all different from the natural perforated thin film morphology for f = 0.41. These results and the insights derived from them enhance the inverse design methods for BCP DSA and enable more precise control in the design and fabrication of DSA nanopatterns.
12:45 PM - KK3.09
Time-Dependent Ginzburg-Landau Simulations of Directed Self-Assembly of ABC Triblock Terpolymers
Paul Millett 1
1University of Arkansas Fayetteville USA
Show AbstractThe phase separation of block copolymers into ordered, nanoscale domains is a highly promising approach to fabricate nanoscale surface patterns in a scalable and cost-effective manner. One distinct challenge that exists in this field concerns the fact that the self-assembly process typically results in a lack of truly long-range order due to the creation of defects such as grain boundaries and dislocations. A second challenge concerns the fact that for many applications, a regular array of square patterns is preferable to close-packed hexagonal patterns (the latter being the stable phase of the commonly-studied diblock copolymer). This is particularly true for the semiconductor industry. Recently, triblock terpolymers have emerged as an alternative to diblock copolymers, due to their demonstrated thermodynamic preference for square arrays. However, triblock terpolymers are much less understood than their diblock copolymer counterparts. In particular, there is a fundamental lack of knowledge concerning the extent of long-range uniformity that can be achieved with triblock terpolymer domains, and to what degree such uniformity can be enhanced with various measures, including topological templating (a sparse array of posts to guide self-assembly) or zone annealing (a moving temperature front that restricts the phase separation to a narrow region).
This talk will present a new Time-Dependent Ginzburg-Landau (TDGL) model for triblock terpolymers. The TDGL model is computationally efficient and combines the thermodynamics and kinetics of copolymer phase separation at the mesoscale. The model has adjustable parameters to represent the three Flory-Huggins interaction parameters (AB, BC, and AC) as well as the two independent volume fractions. The author will present simulation results demonstrating the methods ability to model self-assembly in thin films with and without topological posts, and the dependence of defect concentration on post spacing. Also, combining topological templating and zone annealing have been found to be effective for enhancing long-range order.
Symposium Organizers
Juan de Pablo, University of Chicago
Roel Gronheid, IMEC
Phillip D. Hustad, Dow Electronic Materials
R. Joseph Kline, National Institute of Standards and Technology
Symposium Support
AZ Electronic Materials USA Corp.
Brewer Science Inc.
EUVL Infrastructure Development Center, Inc.
TOKYO OHKA KOGYO CO., LTD.
University of Chicago
KK7: Directed Self Assembly for Nanopatterning - Novel Methods
Session Chairs
Phillip Hustad
R. Joseph Kline
Wednesday PM, December 03, 2014
Hynes, Level 1, Room 104
2:30 AM - KK7.01
Assembly and Aligning of Semiconductive Quantum Rods onto DNA Origami Substrates
Tennyson L Doane 1 Mathew M Maye 1
1Syracuse University Syracuse USA
Show AbstractThe DNA-mediated assembly and alignment of CdSe/CdS quantum rods onto novel DNA origami scaffolds is described. The rods were first functionalized with ssDNA using a new protection-deprotection approach which uses DNA&’s tunable rigidity and denaturation temperature to lessen non-specific chelation of DNA to the rods, which was found to improve ssDNA coverage. The rods were then assembled onto DNA Origami substrates that were designed to selectively assemble two rods in specific zones and in a parallel manner. Assembly of multiple rods per DNA origami as well as multi-color assemblies is demonstrated. The practical utilization of the unique optical properties of quantum rods (polarized emission and high absorption cross-sections) for rationally designed FRET will be discussed.
2:45 AM - KK7.02
Self-Assembly Directed by a Liquid-Liquid Interface for the Creation of Low Symmetry Arrangements of Particles
Paul Clegg 1
1University of Edinburgh Edinburgh United Kingdom
Show AbstractThe liquid-liquid phase separation of binary fluids, induced by a temperature quench, can occur via spinodal decomposition which gives rise to a tortuous domain pattern. The interface between the fluid domains can be used to guide colloidal particles into a low-symmetry arrangement which itself arrests the phase separation. The arrested structure, a novel soft solid known as a bicontinuous interfacially jammed emulsion gel (bijel), was first predicted by computer simulations [1] and subsequently realised in the lab [2]. Here we present our understanding of the directed self-assembly process and its influence on the subsequent properties of the material.
Initially, the mechanical properties of the bijel are controlled by the interfacial tension between the two fluid domains (e.g. a deeper quench yields a stronger bijel) and the volume fraction of particles [3]. Reversing the temperature quench results in the two fluids becoming miscible again. Whether or not this leaves a colloidal gel in place which is stable without a liquid-liquid interface (a “monogel”) depends on the age of the gel [4] and the choice of liquids [5]. The ease of post processing a bijel for use in materials applications (electrodes, catalyst supports etc) appears to depend entirely on this difference [3]. A consideration of the role of both the interfacial tension and the interparticle interactions is required to fully explain the directed self-assembly process.
References:
[1] K. Stratford, R. Adhikari, I. Pagonabarraga, J.-C. Desplat and M.E. Cates, Science 309, 2198 (2005);
[2] E.M. Herzig, K.A. White, A.B. Schofield, W.C.K. Poon and P.S. Clegg, Nat. Mater. 6, 966 (2007).
[3] M.N. Lee, J.H.J. Thijssen, J.A. Witt, P.S. Clegg and A. Mohraz, Adv. Funct. Mater. 23, 417 (2013).
[4] E. Sanz, K.A. White, P.S. Clegg and M.E. Cates, Phys. Rev. Lett. 103, 255502 (2009).
[5] J.W. Tavacoli, J.H.J Thijssen, A.B. Schofield and P.S. Clegg, Adv. Funct. Mater. 21, 2020 (2011).
3:00 AM - KK7.03
3D Nano-Lithography under DNA Bricks Crystal
Jie Shen 1 Wei Sun 1 Peng Yin 1
1Harvard University Boston USA
Show AbstractNowadays, electronic industry field is struggling for 10nm technology following Moore&’s law, but traditional top-down UV photolithography seems impotent for such goal under optical diffraction limit. Alternatively, extreme UV or electron beam motivated lithography technics are still too expensive to be popularized. Since bottom-up synthetic strategies have been sophisticated in engineering single nanometer scale substances, marrying bottom-up ideas with top-town methodologies may meet the 10nm challenge in nanofabrication.
Self-assembly of DNA is an effective bottom-up approach for constructing prescribed synthetic molecular structures and devices. By encoding spatial information into DNA sequence complementarity, this technique enables unique single-position addressability and modularity down to 2 nm, plus the scalable production of desired structures in an error-correction manner. Particularly, the development of DNA origami enables programmability of arbitrary 2D/3D sub-100 nm nanostructures. Furthermore, after surface coating with metals or oxides, these self-assembled DNA nanostructures can be used as lithographic masks for shaping graphene and Si under extreme conditions, known as metalized DNA lithography and siliconized DNA lithography. However, the constant length of scaffold DNA strand restricts the maximal size of DNA origami masks and thus only sub-100 nm discrete nanostructures have been etched. Surface coating layers above or around the DNA nano-structures also prevent DNA templates to be applied for lithography with sub-20 nm features.
Here, we report a new DNA nanostructure-based lithography, namely 3D DNA lithography, towards the micron-scale patterning of inorganic materials with sub-10 nm features. DNA masks are synthesized through the modular assembly of DNA bricks, with product length ranging from 200 nm to 2 mu;m and thickness ranging from 10 nm to 60 nm. Direct deposition of the self-assembled DNA masks onto inorganic substrate, followed by reactive-ion etching produces tunable inorganic nanostructures with smallest features around 10 nm. Additionally, by tuning the thicknesses of DNA templates, we are able to control the 3D features of the inorganic substrate, producing a one-step 3D lithography of inorganic materials at 2nm resolution. The etching quality is ensured by the structure stiffness of DNA-brick structures. We believe such high-resolution 3D lithography will bridge the bottom-up and top-down technics and open a new avenue for nano-fabrication.
3:15 AM - KK7.04
Directed Assembly of Nanoparticles by Evaporative Formation of Capillary Bridges in Periodic Micro-Pillar Arrays
Jonas Zuercher 1 2 Brian R. Burg 1 Andre R. Studart 2 Thomas Brunschwiler 1
1IBM Research - Zurich Rueschlikon Switzerland2ETH Zurich Zamp;#252;rich Switzerland
Show AbstractThe directed assembly of nanoparticles by evaporative formation of capillary bridges can be widely used to obtain nanoparticle patterns in topographically engineered geometries without the need of any other external potential. The nanoparticle assembly occurs at locations where the formation of capillary liquid bridges is facilitated.
In this work, the assembly is performed in confined periodically arranged micro-pillar arrays. The arrays are implemented in cavities of 30 µm to 60 µm fixed height. In these geometries, the formation of capillary bridges in the vicinity of the contact area of the micro-pillars and the confining surfaces is observed during the evaporation of the solvent of the injected nanoparticle suspension. Nanoparticles remain predominantly in the suspension and result in so called necks around the ends of the micro-pillars once all liquid is removed. The interplay between the liquid evaporation and the nanoparticle transport in the evaporating liquid in confined geometries is studied in order to achieve uniform distributions of nanoparticle necks.
Fluorescence microscopy is used to investigate the liquid patterns (e.g., stable displacement or capillary fingering) and the particle movement during the evaporation of the solvent in the template cavities. Relevant parameters of the directed assembly process, such as the surface tension of the nanoparticle suspension, the size of the nanoparticles, the evaporation temperature, the porosity, and pore size of the cavity templates are discussed. The optimal process parameters leading to a uniform distribution of nanoparticle necks over an entire cavity size of 5 x 5 mm2 are deduced and presented.
Reference: T. Brunschwiler, G. Schlottig, S. Ni, Y. Liu, J. V. Goicochea, J. Zürcher, and H. Wolf. Formulation of percolating thermal underfills using hierarchical self-assembly of microparticles and nanoparticles by centrifugal forces and capillary bridging. Journal of Microelectronics and Electronic Packaging9, 149-159 (2012)
KK6: Directed Self Assembly for Nanopatterning - Processing III
Session Chairs
Roel Gronheid
Caroline Ross
Wednesday AM, December 03, 2014
Hynes, Level 1, Room 104
9:30 AM - *KK6.01
Block Copolymer Alignment Layers with Photoswitchable Wetting Characteristics
Michael J. Maher 2 Christopher M. Bates 2 Matthew C. Carlson 1 Jeffrey L. Self 1 Dustin W. Janes 1 William J. Durand 1 C. Grant Willson 2 1 Christopher Ellison 1
1University of Texas at Austin Austin USA2University of Texas at Austin Austin USA
Show AbstractBlock copolymer (BCP) self-assembly is showing great promise for enhancing the resolution of established, high-throughput photolithography techniques. This methodology has the potential to broadly impact device capabilities from magnetic data storage media to semiconductors. However, most device architectures possess the requirement that self-assembled BCP domains be confined only to prescribed areas. For example, templates for manufacturing magnetic storage media should contain regions with high density features for storing bits of data and, additionally, regions without any features. BCPs can no doubt self-assemble into high density features for data storage, but it is difficult to prescribe that features only appear in specific regions across the full width of the template. We have recently developed a strategy to address this issue by demonstrating alignment layers (top and bottom adjoining layers to the BCP film) that have photoswitchable wetting characteristics. In this talk, the synthesis and BCP self-assembly characteristics of two different alignment layers that transition from neutral to preferential and preferential to neutral upon exposure to light will be highlighted.
10:00 AM - KK6.02
Fabrication of Well Ordered Hexagonal Si Nanopore Arrays by Poly(ethylene oxide)-b-polystyrene Templated lsquo;In Sitursquo; Hard Mask Approach
Tandra Ghoshal 1 2 Matthew T Shaw 3 Justin D Holmes 1 2 Michael A Morris 1 2
1University College Cork Cork Ireland2Trinity College Dublin Dublin Ireland3Intel Ireland Ltd. Kildare Ireland
Show AbstractNanoscale patterning of surfaces has become essential for advanced research and development in electronics, optics, and material science applications. Studies of structures and optical properties of surface textured semiconductors have drawn much attention because of their potential applications in advanced optoelectronics, nanophotonics and microelectromechanical systems. Among these nano-textured surfaces, nanoporous silicon substrates are particularly attractive due to its excellent light-trapping properties and technical compatibility with existing Si-based semiconductor processing technologies. Therefore, significant research effort have been devoted developing patterning approaches based around self-assembled surface templates that can be used to produce highly-ordered Si nanopore structures at high throughput and low cost. Arguably, the most promising technique appears to be the self-assembly of block copolymers (BCPs) which have been shown to be an extremely versatile platform to form highly regular nanostructure arrangements and can also be used as templates to achieve secondary patterns of interest. However, the fabrication of a regular nanopore array is a significant challenge if the nanopores are to demonstrate controllable and uniform size and shape. In block copolymer lithography, limitations in the etch selectivity of the polymer blocks to each other and to silicon can result in poor size and shape control. To overcome these challenges highly etch resistant material can be introduced into the periodic features by selective block inclusion so that the underlying substrate can be deeply etched with controlled diameter, spacing and shape over large areas. We report a simple technique to fabricate hexagonal ordered Si nanopore arrays with controlled orientation and density at spatially well defined locations on substrate based on insitu hard mask pattern formation approach by microphase separated poly(ethylene oxide)-b-polystyrene (PEO-b-PS) BCP thin films. Ordered microphase separated patterns of BCP were defined by spin coating and solvent annealing approach where ordering can be achieved in short time periods under solvent atmospheres. Films of PS cylinders with vertical orientation inside the PEO matrix were applied to create ‘frames&’ for the generation of inorganic oxide nanopore arrays. The nanoporous templates were created by the ethanol treatment which modify the PEO matrix for the inclusion of inorganic precursor. Selective metal ion inclusion and subsequent processing was used to create iron oxide nanopore arrays which mimic of the original BCP nanopatterns. The phase purity, crystallinity and thermal stability of the nanopores coupled to the ease of large scale production may make them useful in technological applications. We also demonstrate that the oxide nanopore arrays could be used as a resist mask to fabricate densely packed, identical ordered, good fidelity silicon nanopore arrays on the substrate.
10:15 AM - KK6.03
Dense Arrays of Vertical Nanopores in Silicon
Daniel Brodoceanu 1 Roey Elnathan 2 Beatriz Prieto-Simon 2 Bahman Delalat 2 Taryn Guinan 2 Elmar Kroner 1 Nicolas Hans Voelcker 2 Tobias Kraus 1
1Leibniz - Institute for New Materials Saarbruecken Germany2Mawson Institute, University of South Australia Adelaide Australia
Show AbstractThe fabrication of regular arrays of nanopores on square centimeter areas without lithographic steps based on colloids and wet etching can improve the production and performance of solar cells, plasmonic devices, Li-ion batteries, biosensors, and masters for replica molding for biomimetic functional surfaces. We report a versatile particle-based route to dense arrays of parallel nanopores with high aspect ratio in silicon.
Polystyrene particle monolayers were convectively assembled on gold-coated silicon substrates. A sputter etch step reduced the diameter of polystyrene spheres and simultaneously removed the metal layer exposed, yielding arrays of gold discs with well-defined edges and excellent long-range order. The gold discs were used as catalysts in Metal-Assisted Chemical Etching (MACE) to achieve ultra-dense arrays of pores in silicon with straight walls and aspect ratios above 5. Spacing and diameter of the pores (200 nm < D < 3 µm) as well as the aspect ratio can be tuned via polymer particle size, duration of the sputter etch and MACE time, respectively.
We applied the arrays as platforms for sensitive identification of analytes by surface-assisted laser desorption/ionization (SALDI) mass spectroscopy (MS), as electrochemical and optical biosensors and for enzyme nanoreactors. When used as molds, the silicon templates yielded fibre arrays of polydimethylsiloxane (PDMS) and polycarbonate (PC) with exceptional density and unusual adhesive properties.
10:30 AM - *KK6.04
Directed Self-Assembly Lithography for Half Pitch Sub-15 nm Pattern Fabrication Process
Tsukasa Azuma 2 Yuriko Seino 2 Hironobu Sato 2 Yusuke Kasahara 2 Katsutoshi Kobayashi 2 Katsuyoshi Kodera 2 Hideki Kanai 2 Naoko Kihara 2 Yoshiaki Kawamonzen 2 Shinya Minegishi 2 Ken Miyagi 2 Toshikatsu Tobana 2 Noriyuki Hirayanagi 2 Tomoharu Fujiwara 2 Satoshi Nomura 2 Teruaki Hayakawa 1
1Tokyo Institute of Technology Meguro-ku Japan2EUVL Infrastructure Development Center, Inc. Tsukuba Japan
Show Abstract#12288;Directed self-assembly (DSA) lithography using block copolymer is expected to have a potential to fabricate half pitch sub-15 nm level LSI patterns with low cost-of-ownership (COO) [1-8]. In this work, our latest development status of the DSA lithography for half pitch sub-15 nm pattern fabrication in EIDEC is reported [9-14]. 300 mm wafer performances of half pitch 15 nm pattern fabrication process using polystyrene-block-poly(methyl methacrylate) (PS-b-PMMA) and half pitch sub-10 nm pattern fabrication process using high chi; (chi) block copolymers (BCPs) are demonstrated.
#12288;A part of this work was funded by the New Energy and Industrial Technology Development Organization (NEDO) under the EIDEC project.
[1] J. Cheng et al., ACS Nano., 4(8), 4815 (2010).
[2] C. Liu et al., Proc. SPIE, 9049, 9049-8 (2014).
[3] R. Gronheid et al., Proc. SPIE, 9049, 9049-4 (2014).
[4] J. Kim et al., J. Photopolym. Sci. Technol., 26(5), 573 (2013).
[5] C. Bencher et al., Proc. SPIE, 7970, 79700F-1 (2011).
[6] Y. Seino et al., Proc. SPIE, 8323, 83230B (2012).
[7] H. Kato et al., J. Photopolym. Sci. Technol., 26(1), 21 (2013).
[8] S. Chang et al., Proc. SPIE, 8680, 86800F-1 (2013).
[9] N. Kihara et al., Proc. SPIE, 9049, 9049-31 (2014).
[10] K. Kodera et al., Proc. SPIE, 9049, 9049-78 (2014).
[11] Y. Kasahara et al., Proc. SPIE, 9049, 9049-80 (2014).
[12] K. Kobayashi et al., The 58th International Conference on Electron, Ion, and Photon Beam
#12288;#12288;#12288;Technolpgy and Nanofabrication, 2014, to be published.
[13] Y. Seino et al., 40th Micro and Nano Engineering, 2014, to be published.
[14] Y. Seino et al., 27th International Microprocesses and Nanotechnology Conference 2014,
to be published.
11:30 AM - *KK6.05
Template Design for Directed Self-assembly of Block Copolymer Films
Caroline A Ross 1 Karl K Berggren 1 Alfredo Alexander-Katz 1 Adam F Hannon 1 Jae-Byum Chang 1 Wubin Bai 1 Hong Kyoon Choi 1 Yi Ding 1
1Massachusetts Institute of Technology Cambridge USA
Show Abstract
The microphase separation of block copolymer films produces periodic nanoscale patterns with feature sizes of a few nm and above, and offers a method for extending microelectronic fabrication beyond the limits of optical lithography. To control the long range order of the microdomains, and to produce patterns with designed aperiodic features or 3D interconnections, templating strategies based on substrate topography (posts and trenches) have been developed in combination with modeling using self consistent field theory. Templates are usually designed intuitively, but this may not yield an optimum template for directing complex patterns. Two approaches to template design will be described. In the first, a rule-based method is used in which double-posts placed in a square array of single posts are used to direct the orientation of in-plane cylinders within small regions called tiles. Combinations of tiles yield patterns with bends and terminations. In a second method, the post positions are varied by a Monte Carlo method and each move is accepted or rejected depending on whether it improves the overall match with the target pattern. Experimental validation of these methods will be presented for a polystyrene-b-polydimethylsiloxane block copolymer templated by hydrogen silsesquioxane posts, including the use of solvent annealing to promote microphase separation in short processing times. Although much of the study of block copolymer lithography has focussed on planar processing, block copolymers are inherently 3D, and an intriguing future nanomanufacturing possibility is the use of block copolymers to produce 3D devices directly by directed self assembly.
12:00 PM - KK6.06
A New Method for Producing a Chemical Pattern onto a SiNx Membrane via Molecular Transfer Printing for Enabling 3D Characterization of Directed Self-Assembly of Block Copolymer Thin Film
Takejiro Inoue 1 Jiaxing Ren 1 Hyo Seon Suh 2 Tamar Segal-Peretz 2 XuanXuan Chen 1 Paul Nealey 1 2
1University of Chicago Chicago USA2Argonne National Laboratory Lemont USA
Show AbstractNano-patterning templates formed by the Directed Self-Assembly (DSA) of block copolymer thin films are crucially important to the development of next generation microprocessors, hard disk memory devices, photonic/plasmonic devices, separation membranes, and biological microarrays because they can assemble into well-ordered, non-defective periodic structure at the nanometer scale of 3-50 nm, which is readily directed onto lithographically defined chemical patterns. One promising technique in this field is “Molecular Transfer Printing” (MTP) that could replicate a chemical pattern with higher resolution than the initial defined pre-pattern. MTP utilizes functionalized homopolymer inks that are able to be preferentially segregated into the micro-phase separated domains of a block copolymer thin film in order to transfer a chemical pattern from a “master” substrate to a “replica” substrate by the diffusion and reaction of inks to the surface of replica that are brought into contact with master. However, only a limited substrate that has reactive functionalities on the surface such as a Si wafer has so far been adaptable to MTP process. Therefore, the capability of pattern transfer onto wide variety of substrates may expand this technology to fabricate and characterize more complex structures along with the rapid progress of flexible and 3D electronic devices. Here we demonstrate new procedures in which chemical patterns could be perfectly replicated onto a SiNx thin film over large area, and eventually they could be extracted as a SiNx membrane on liquid phase. This strategy has been examined to replicate chemical patterns with periodicities of 28 nm, as well as thin block copolymer films which was directly self-assembled on the SiNx membrane. The resulting 2D chemical pattern on the SiNx thin film was characterized by AFM, SEM and Grazing Incidence Small Angle X-ray Scattering method (GISAXS). Furthermore, 3D characterization of directed self-assembly of block copolymer thin film on the SiNx membrane were also performed by TEM and Resonant Soft X-ray Scattering (RSoXS). Our results are indicating perfection of DSA on the SiNx membrane over large area.
12:15 PM - KK6.07
One-to-One Insertion of Sphere within Perforate Lamellar Domain by Using Host-Guest Self-Assembly in Block Copolymer Blends
YongJoo Kim 1 Woon Ik Park 1 Alfredo Alexander-Katz 2 Yeon Sik Jung 1
1KAIST DaeJeon Korea (the Republic of)2MIT Cambridge USA
Show AbstractBlock copolymers (BCPs) have been regarded as attractive material for designing uniform nanostructures with excellent functionalities due to their ability to self-assemble into variety of structures at nanometer length scale. However, extension of their geometric variability is not straightforward due to their limited thin film morphologies. Here, we report that unusual and spontaneous positioning between host and guest BCP microdomains from BCP blends, even in the absence of H-bond linkages, can create hybridized morphologies that cannot be formed from a neat BCP. Hybrid self-consistent field theory (HSCFT) simulation results theoretically support that the precise registration of a spherical BCP microdomain (guest, B-b-C) at the center of perforated lamellar BCP nanostructure (host, A-b-B) can energetically stabilize the blended morphology. Our results suggest the possibility of a new pathway to construct more diverse and complex nanostructures with large scalability using controlled blending of various BCPs.
12:30 PM - KK6.08
Electric Field Assisted Nano-Patterning: A New Approach to Produce Ordered Arrays of High Aspect Ratio Nanostructures Inexpensively
David Barbero 1 Vasyl Skrypnychuk 1
1Umea University Umea Sweden
Show Abstract
We demonstrate a novel lithographic method which uses electric fields to produce inexpensively well ordered arrays of nanopatterns into a polymer film, without the need to use a nanopatterned mask. We show nanostructures as small as asymp;200 nm in diameter, and high aspect ratios above 5 produced by this method. The lateral dimensions of the final structures obtained can be tuned by varying the thickness of a polymer film deposited on a silicon substrate and the gap spacing between electrodes. Unlike previously demonstrated methods using electric fields, here the electrodes are smooth and not patterned, which makes this method inexpensive and easier to use. We demonstrate the formation of various shapes and sizes in different polymers such as polystyrene and PMMA.