Symposium Organizers
Paul Stradins, National Renewable Energy Laboratory
Akram Boukai, University of Michigan
Friedhelm Finger, Forschungszentrum Juuml;lich GmbH
Takuya Matsui, National Institute of Advanced Industrial Science and Technology
Nicolas Wyrsch, Eacute;cole Polytechnique Feacute;deacute;rale de Lausanne
Symposium Support
Ecole Polytechnique Federale de Lausanne - EPFL
Forschungszentrum Juelich GmbH
Helmholtz-Zentrum Berlin
National Renewable Energy Laboratory
Photovoltaic Power Generation Technology Research Association
A3: Light Trapping in Film Si Solar Cells
Session Chairs
Tuesday PM, April 02, 2013
Moscone West, Level 2, Room 2000
2:30 AM - *A3.01
Light Management Using Periodic Textures for Enhancing Photocurrent and Conversion Efficiency in Thin-film Silicon Solar Cells
Hitoshi Sai 1 Takuya Matsui 1 Adrien Bidiville 1 Kimihiko Saito 2 Yuji Yoshida 1 Michio Kondo 1
1National Institute of Advanced Industrial Science and Technology Tsukuba Japan2Photovoltaic Power Generation Technology Research Association Tsukuba Japan
Show AbstractIn thin-film silicon solar cells, the use of the so-called light trapping technology is important for absorbing photons within thin Si films. Using a thin amorphous Si (a-Si:H) active layer is also advantageous for mitigating the well-known light-induced degradation effect. For this purpose, textured substrates have been implemented to scatter the incident light and elongate the optical path length inside the cells.
In the recent years, periodically textured substrates have been actively studied as a more sophisticated platform with the potential for achieving higher current density than conventional random textures. Recently Battaglia et al. reported that when an optimized periodic texture is applied to a-Si:H solar cells, it improves the conversion efficiency as well as the current density at the same level as state-of-the-art random textures [1]. Application of periodic textures to microcrystalline Si (mu;c-Si:H) solar cells has also been intensively studied both experimentally and theoretically. It is well known that excessively steep textures such as pyramidal textures with V-shaped valleys often induce defects in mu;c-Si:H films and impair photovoltaic performance. Hence, it is vital to use appropriate textures that are suitable for high-quality film growth as well as good light confinement. Recently, we showed that periodically textured substrates with hexagonal dimple arrays (“honeycomb textures”) have the potential to improve photon absorption and conversion efficiency in mu;c-Si:H cells [2].
In this contribution, we report that carefully chosen honeycomb textures result a high current density of more than 30 mA/cm2 and a conversion efficiency exceeding 10% in n-i-p mu;c-Si:H solar cells. We also show, by a systematic survey, that there is a preferable period and aspect ratio of periodic textures that can be used to achieve this high performance.
1. C. Battaglia et al., ACS Nano Vol.6 2790-2797 (2012).
2. H. Sai et al., IEEE PVSC 38 (2012).
3:00 AM - A3.02
Ergodicity of Light-trapping in Nanocrystalline Silicon Solar Cells
Hui Zhao 1 Eric Schiff 1 Baojie Yan 2 Jeff Yang 2 Subhendu Guha 2
1Syracuse University Syracuse USA2United Solar Ovonic,LLC Troy USA
Show AbstractNanocrystalline silicon solar cells (nc-Si:H) are thick enough that a
simple "classical" estimate of the maximum photocurrent enhancement due
to light-trapping is a useful guide. This maximum enhancement is 4n2,
where n is the refractive index of nc-Si:H; this limit is based on an
ergodic argument that all the electromagnetic modes in the cell at a
given wavelength are equally excited by sunlight.
We have prepared nc-Si:H solar cells using several different texturing
and back reflector schemes, and analyzed their quantum efficiencies in
terms of a simple enhancement metric Y that can be compared to this 4n2
result. We have also analyzed published nc-Si:H cell properties from
other laboratories. While thick crystalline-silicon cells can achieve
the full enhancement for crystalline silicon of Y=50 [1], thin-film
nc-Si:H cells do not. We find that the largest values for Y are 22 for
1.0 micron thick cells, and about 25 for 2.5 micron cells. Since
enhancements of these magnitudes can be achieved using a variety of
implementations, we suggest that this convergence indicates that the
best light-trapping implementations for thin-film nc-Si:H cells are
close to ergodicity, even though the Y-values are well below 4n2. We
account for this difference by parasitic absorption in the cell (by
doped layers,interface reflectance losses etc.) and by imperfect anti-reflection
coatings. We discuss three approaches to further increasing
light-trapping: reducing parasitic absorption, improving anti-reflection
coatings, and implementing true "supraclassical" designs involving
evanescent electromagnetic excitations beyond the modes considered for
the 4n2 limit [2,3].
[1] M. Stutzmann, presented at Materials Research Society Spring 2011 Symposium
[2] Z. Yu, et al. - Proc. Nat. Acad. Sci. (2011).
[3] M. Green, Prog. in Photovoltaics (2010).
3:15 AM - A3.03
Enhancement of Ultrathin Free-standing a-Si:H Solar Cells Using Silver Nanoparticles
Joshua Winans 3 Chanse Hungerford 2 1 Chengzhu Qi 3 1 Philippe Fauchet 1
1Vanderbilt University Nashville USA2University of Rochester Rochester USA3University of Rochester Rochester USA
Show AbstractThe thin-film solar cell (TFSC) industry struggles to compete with the conventional crystalline solar cells. The use of novel nanostructures has the potential to increase the efficiency of TFSCs, which leads to a decrease in overall costs. These nanostructures must be fabricated at a relatively low cost and metal nanoparticle (NP) enhancement has proven to be a possible solution. To explore the effects of Ag NPs on p-i-n a-Si:H solar cells, a free-standing thin-film structure was first developed. The a-Si:H solar cell is deposited, using PECVD, onto a 4” patterned wafer and is sandwiched between layers of SiO2. A chemical etch is used to remove the substrate and expose the back contact of the solar cell. This process produces 120 devices, each 5.5x5.5mm with a 2x2mm free-standing thin-film solar cell. An HF dip is used to remove the protective oxide layers. This leaves the n and p layers of the solar cell exposed and now a variety of enhancement designs can be tested without affecting the planar structure of the device. Hemi-spherical Ag NPs were fabricated on the front, back, and both sides of the device. Three wafers were processed and the thicknesses of the solar cells were estimated using a SEM to be 150nm, 100nm, and 60nm. ITO was sputtered onto both sides of the cell. For cells with NPs, a 10nm SiO2 layer was deposited to isolate the NPs from the ITO. NPs were formed by annealing Ag thin-films, typically 12-18nm, deposited by e-beam evaporation. Annealing conditions were varied. The best results were produced by ramping the temperature slowly from 50C to 170C. The EQE measurements show that cell performance always improved at wavelengths greater than about 500nm. The addition of NPs increases the short circuit current and overall efficiency of the solar cells. NPs on both sides of the cell always resulted in the largest enhancement. The best results to date have shown a 57% increase in the short circuit current of the 60nm solar cells with front and back NPs.
3:30 AM - A3.04
Absorption Enhancement in Ultrathin c-Si Solar Cells with Antireflection and Light-trapping Nanocone Gratings
Ken Xingze Wang 1 Zongfu Yu 2 Victor Liu 2 Yi Cui 3 4 Shanhui Fan 2
1Stanford University Stanford USA2Stanford University Stanford USA3Stanford University Stanford USA4SLAC National Accelerator Laboratory Menlo Park USA
Show AbstractEnhancing optical absorption is important for improving efficiency and reducing cost for ultrathin c-Si solar cells. With active layer thickness at a few mu;m, efficient light absorption requires both broadband antireflection (AR) coatings and effective light trapping (LT) techniques, which often have different design considerations. We show that by employing a double-sided grating design, we can simultaneously optimize the geometries for AR and LT to achieve broadband absorption enhancement. The photocurrent generated by the proposed thin film absorber is 34.6 mA/cm2, close to the Yablonovitch limit that assumes perfect AR and LT for c-Si thin film at the thickness of 2 mu;m.
We use nanocones as the basic building elements for the grating geometry because of their unique optical properties and compatibility with inexpensive fabrication techniques. On one hand, one needs broadband AR over the entire usable solar spectrum due to the strong index mismatch between silicon and air. The periodicity of the nanocone grating has to be in the subwavelength regime for the incoming light to see an averaged effective index. In addition, a high aspect ratio of nanocone is preferred to provide a smooth index transition from air to silicon. On the other hand, one needs efficient LT from roughly 800 to 1100 nm for c-Si. The trade-off between number of supported resonances and coupled channels determines that a periodicity comparable to the targeted wavelength is optimal. Our strategy is to engineer the front surface of the solar cell primarily for AR and the back surface primarily for LT.
We calculate the absorption spectrum using the rigorous coupled-wave analysis (RCWA) and determine from it the short-circuit current, assuming an AM1.5 normally incident solar irradiance. We optimize the geometry over all parameters, while ensuring that the structure has the same amount of c-Si as a 2 mu;m thick flat thin film. The optimized double-sided structure with nanocone gratings has indeed the desired geometries. The performance is close to the Yablonovitch limit and much higher than the single-pass absorption. We repeat our simulation for various thicknesses and choices of grating or substrate materials, and we observe similar absorption enhancement for the double-sided structures. Therefore, this strategy is a general approach to absorption enhancement in c-Si solar cells.
The nanostructure can be fabricated by applying the LB assembly method of SiO2 nanospheres and RIE to each side sequentially. Since the structure involves only a slight surface modification of a c-Si film with nanocones of relatively low aspect ratio, the issues associated with surface recombination and degradation of electronic properties should be less severe than those of other high aspect ratio nanoscale structures. The double-sided nanocone grating design provides an experimentally realistic and effective strategy in photon management for ultrathin c-Si solar cells.
3:45 AM - A3.05
Photonic and Plasmonic Crystal Enhanced Super-lattice Nano-crystalline Amorphous Silicon Solar Cells
Sambit Pattnaik 2 Nayan Chakravarty 2 Rana Biswas 1 2 Vikram Dalal 2 Dennis Slafer 3
1Iowa State University Ames USA2Iowa State University Ames USA3Lightwave Power Cambridge USA
Show AbstractSuperlattices of nanocrystalline silicon and amorphous silicon have been developed to increase optical absorption, achieve high stability to illumination, and control transport properties with microstructure[1]. We have rigorously simulated and experimentally fabricated such superlattice solar cells consisting of alternating layers of nano-crystalline silicon (nc-Si) and amorphous silicon (a-Si:H), grown on flexible plastic kapton substrates. We have enhanced the absorption of light at long wavelengths by utilizing a periodic photonic-plasmonic substrate with a periodic array of nanocones on kapton on stainless steel, achieved by nano-imprint lithography. Rigorous vectorial electromagnetic simulations with the scattering matrix method optimized the optimized pitch values of ~700-800 nm for a triangular lattice of nano-cones, and demonstrated an absorption enhancement exceeding 25% over the entire range of wavelengths. The predicted enhancement exceeded a factor of 10 at near-infrared wavelengths (above 700nm). Experimentally superlattice solar cells were conformally grown on periodically textured kapton with a growth cycle of 120s nc-Si and 60 s a-Si:H layer layer growth. Thin a-Si:H layers (<20 nm) are necessary to preserve good transport properties. The measured external quantum efficiency demonstrated a marked increase over the flat case for wavelengths from 600 nm to the band edge with enhancement of short circuit current of 28% over a flat substrate and 50% over cells fabricated with stainless steel. Currents of 21 mA/cm2 have been achieved with 810 nm thick cells and offer the potential for considerably higher currents with thicker absorber layers. We will compare these measurements with simulations to identify losses. This is a new path to achieving stable thin film silicon solar cells utilizing novel absorber materials coupled with enhanced light harvesting.
[1] J. Kocka et al, Appl. Phys. Lett. 79, 2540 (2001).
A4: Material and Device Characterization and Simulation
Session Chairs
Tuesday PM, April 02, 2013
Moscone West, Level 2, Room 2000
4:30 AM - *A4.01
In-situ Methods Applied to Study the Chemical Vapor Deposition of Thin-film Silicon
Stefan Muthmann 1 Thomas Fink 1 Matthias Meier 1 Bjoern Grootoonk 1 Jan Woerdenweber 1 Reinhard Carius 1 Aad Gordijn 1
1Forschungszentrum Juelich Juelich Germany
Show AbstractSolar module efficiencies above 14 % are the avowed aim of thin-film silicon technology. To achieve this ambitious goal, stacks of layers with properties customized for their purpose like absorption, reflection and conduction are required. To fulfill these demands a precise understanding and control of the utilized deposition processes is necessary. A variety of in-situ measurement methods are available to determine structure and optical properties of the growing films as well as the composition of the gas phase during deposition. These techniques help to improve the understanding of the complex interplay between gas phase processes and the properties of growing films during chemical vapor deposition.
We combine in-situ measurement techniques that allow the determination of material properties during growth, like transmission measurements or in-situ Raman spectroscopy with measurements of the gas phase like optical emission spectroscopy and Fourier-transform-infrared spectroscopy. Accordingly, the knowledge about the silicon deposition process is increased and a precise control of the material growth is enabled.
We will present results on the optimization of the plasma deposition of microcrystalline silicon through information obtained in-situ. Using in-situ Raman spectroscopy the crystalline volume fraction and the film temperature are measured. Combining these measurements with optical emission spectroscopy the reaction of the film growth to changes of the plasma composition is observed in-situ. Transmission measurements additionally are applied to provide online information about the absorbance and the reflective index of the growing films. Hence, it is possible to deposit thin silicon films with properties tailored for their application in solar cell devices.
5:00 AM - A4.02
``Amorphousrdquo; Intergrain Regions in Polycrystalline Silicon Thin-film Solar Cell Absorbers
Dominic Gerlach 1 Christiane Becker 1 Regan George Wilks 1 Daniel Abou-Ras 1 Mark Wimmer 1 Tobias Sontheimer 1 Janis Jeanne Merkel 1 Monika Blum 2 3 Lothar Weinhardt 2 4 5 Wanli Yang 3 Clemens Heske 2 4 6 Bernd Rech 1 Marcus Baer 1 2 7
1Helmholtz-Zentrum Berlin Berlin Germany2University of Nevada Las Vegas USA3Lawrence Berkeley National Laboratory Berkeley USA4Karlsruhe Institute of Technology (KIT) Eggenstein-Leopoldshafen Germany5Karlsruhe Institute of Technology (KIT) Eggenstein-Leopoldshafen Germany6Karlsruhe Institute of Technology (KIT) Eggenstein-Leopoldshafen Germany7Brandenburgische Technische Universitamp;#228;t Cottbus Cottbus Germany
Show AbstractPolycrystalline silicon (poly-Si) thin films represent a material- and energy-efficient way of producing solar cells that achieve efficiencies of up to 10.5 % using a non-toxic and abundant material. These thin films typically consist of Si crystallites in the µm range. Recently, also nanocrystalline Si absorbers are intensively studied where the use of quantum-size effects promises to be an additional parameter for improving cell performance. However, as the Si grain size decreases, the performance of corresponding devices is increasingly influenced by intergrain effects. Microstructural defects located in these regions can act as recombination centers, affecting the charge-carrier transport. Hence, a fundamental knowledge about the chemical and electronic structure of the intergrain regions is required to understand potentially limiting mechanisms and deliberately improve the material properties of Si thin films.
In this study, we used Si L2,3 soft x-ray emission spectroscopy (XES) to investigate the structure of Si thin films grown by electron-beam evaporation at various substrate temperatures (TSub), before and after solid phase crystallization (SPC). Representing the measured XES spectra by a superposition of amorphous Si (a-Si) and monocrystalline Si (c-Si) reference spectra, the degree of crystallinity was estimated. The comparison with Raman-derived crystallinity values reveals a reasonable agreement, confirming the sensibility of this approach. We find that while Si is deposited amorphous at low TSub, the material is mainly nano- or polycrystalline above a “threshold” of 350°C. After SPC, all samples (independent of TSub) can mainly be described as poly-Si. However, even after prolonged SPC, the XES spectra can only be described well with the above-mentioned superposition approach if an amorphous contribution > 5 % is assumed.
Using the grain-size distribution determined by electron backscatter diffraction, we are able to correlate the average crystallite size with the remaining a-Si contribution. A quantitative model was developed that locates the amorphous material at the intergrain regions. This suggests that the grain boundaries in these thin-film Si layers consist of “amorphous”, i.e., disordered material. The quantification estimates the thickness of these intergrain regions to be between 1 - 3 nm. These regions are expected to have a considerably different electronic structure, which needs to be taken into account for future device optimization.
Additional surface sensitive x-ray photoelectron spectroscopy (XPS) measurements are in progress to study whether the amorphous character of the intergrain regions also extends to the surface of poly-Si thin films. In our presentation, we will - based on our combined XES and XPS study - draw a detailed picture of the structural, chemical, and electronic properties of poly-Si thin-film solar cell absorbers with a particular focus on the impact and nature of the intergrain regions.
5:15 AM - A4.03
Thin-film Silicon-based Quadruple Junction Solar Cells with Efficiency Beyond 20%
Miro Zeman 1 Olindo Isabella 1 Arno H. M. Smets 1
1Delft University of Technology Delft Netherlands
Show AbstractThin-film silicon solar cell technology is a promising photovoltaic technology for delivering low-cost solar electricity. Light management plays a crucial role in effective use of the energy of the solar radiation and increasing the performance of thin-film silicon solar cells. Multi-junction approach using silicon-based absorber materials with different band-gaps is a standard approach in thin-film silicon PV technology to increase the efficiency. Modules based on both double- and triple-junction solar cells are already in production.
We present results of optimal design of a quadruple junction thin-film silicon solar cell in order to enhance the conversion efficiency. Multi-dimensional modeling was carried out in order to study the combined optical behavior of the four component sub-cells and to optimize the solar device structure for current matching conditions. Amorphous silicon (a-Si:H), amorphous silicon-germanium (a-SiGe:H), nanocrystalline silicon (nc-Si:H) and nanocrystalline silicon-germanium (nc-SiGe:H) films with band-gap of 1.95 eV, 1.50 eV, 1.12 eV and 0.75 eV, respectively, were employed as absorber materials. In the simulations we used the measured optical properties for both absorber and supporting layers [1-3].
In order to obtain the optimal design of the quadruple junction solar cell we investigated the following aspects: i) both pin and nip configurations, ii) impact of broad-band and wide-angle light scattering on optical performance, iii) inclusion of silicon-oxide based intermediate and rear reflectors, and iv) thickness variation of absorber layers for current matching. We found that an optimized randomly-textured and current-matched pin quadruple junction solar cell can deliver a total photo-current density higher than 34 mA/cm2 (or 8.5 mA/cm2 per each sub-cell). This value of photocurrent density is 24% higher than the one of the flat quadruple junction reference cell and is a result of a significant reduction of the reflectance, especially for wavelengths longer than 700 nm. In the optimized current-matched quadruple junction solar cell absorber layers thicknesses were determined to be 130 nm, 80 nm, 2500 nm, and 2700 nm for a-Si:H, a-SiGe:H, nc-Si:H and nc-SiGe:H films, respectively.
Considering a state-of-the-art open circuit voltage obtained on single-junction solar cells with investigated absorber materials, an open circuit voltage of 3050 mV for the quadruple junction cell can be predicted. Assuming a state-of-the-art fill factor of 78%, we can estimate a potential conversion efficiency of 20.2%.
[1] O. Isabella, S. Solntsev, D. Caratelli, M. Zeman, Prog. Photovolt: Res. Appl., 2012, doi: 10.1002/pip.1257.
[2] T. Matsui, M. Kondo, K. Ogata, T. Ozawa, M. Isomura, Appl. Phys. Lett. 89, 142115, 2006.
[3] H. Fujiwara, M. Kondo, Physical Review B 71, 075109, 2005.
5:30 AM - A4.04
Quantitative Evaluation of the Local Junction Voltage of Single a-Si:H Solar Cells and Modules from Electroluminescence Images
Thi Minh Hang Tran 1 Bart Pieters 1 Andreas Gerber 1 Thomas Kirchartz 2 Uwe Rau 1
1IEK-5 Photovoltaik, Forschungszentrum Jamp;#252;lich GmbH Jamp;#252;lich Germany2Imperial College London London United Kingdom
Show AbstractRecently electroluminescence (EL) has received much attention as a characterization tool for photovoltaic devices. Spatially resolved EL imaging is used to derive local junction voltage differences making it a fast inline characterization method for solar modules. It has been demonstrated that using EL imaging one can estimate electrode sheet resistances, series resistance losses and shunt losses in solar modules. Such quantitative analysis has been successfully applied for crystalline silicon and Cu(In,Ga)Se2 solar modules. However, in case of hydrogenated amorphous silicon (a-Si:H) solar modules the interpretation of EL images is not so straight forward. In this work, we develop the quantitative interpretation of EL images of a-Si:H modules.
The determination of local voltage differences is based on the assumption that the emission follows a diode lay with a radiative ideality factor of unity, nrad = 1. Under the assumption that the superposition principle is valid absolute values for the junction voltage can be obtained by calibrating the voltage with the open circuit voltage (Voc), i.e. for an injected current density in the dark equal to the short circuit current density (J = -Jsc) the luminescence intensity corresponds to a junction voltage of Voc. Note that the junction voltage does not include the voltage drop over (internal) series resistances.
In this contribution, we find that the emission in a-Si:H follows a diode law with a radiative ideality factor which is not always equal to one. However, it is demonstrated that with small modifications, the same quantitative analysis used for crystalline silicon and Cu(In,Ga)Se2 solar modules can also be applied to a-Si:H modules. The radiative ideality factor is determined using two Jsc/Voc pairs measured under different illumination intensities, and two EL images taken under corresponding dark current densities. We investigate two a-Si:H modules for which we found nrad = 1.07 and nrad = 1.24, respectively. Using the measured radiative ideality factors, we determined local junction voltages as well as of the resistive losses in the two modules.
5:45 AM - A4.05
Using FDTD Simulations to Realize the Effects of Light-trapping in Thin Film Silicon Solar Cells with Periodic Gratings
Brian Maynard 1 Hui Zhao 1 Eric Allan Schiff 1
1Syracuse University Syracuse USA
Show AbstractThe effects of light trapping enhancements in thin film periodic structures have been investigated in the past as a means to overcome the Lambertian light trapping enhancement limit of 4n2 [1], which is around 50 for silicon. It has been shown in theory that the light trapping limit for periodic cells can achieve a factor of π higher than the Lambertian limit for certain wavelengths [2] and FDTD (finite difference time domain) computer simulations [3] agree that such a feat is possible. However, in fabricating such cells, losses from materials and other deviations from ideality, have so far failed to even come close to the Lambertian limit of 50, and in best cases achieve a value around 33 [4]. We discuss how periodic and random surface gratings compare with one another analytically by relating their short circuit current densities, Jsc, as a function of thickness, which for 1-mu;m thick silicon-for optimized periodic gratings is 35.3 W/cm2; the Lambertian limit using random scattering is 32.5 W/cm2. We then use FDTD simulations to find these enhancements at their predicted wavelengths on realizable grating structures. We also show that this effect is discernible in published simulation results that include spectral absorbtance plots. We underline the importance of understanding the loss mechanisms in both types of grating structures, as this will ultimately tell us which type of grating structure will prevail.
This research was supported by SRC, Inc..
[1] E. Yablonovitch, J. Opt. Soc. Am. 72, 899 (1982).
[2] Z. Yu, A. Raman, and S. Fan, Proc. Nat. Acad. Sci. 107, 17491 (2010).
[3] R. Biswas and C. Xu, Opt. Express 19, A664 (2011).
[4] H. Zhao, B. Ozturk, E. A. Schiff, L. Sivec, B. Yan, J. Yang, and S. Guha, under review.
A1: Amorphous and Nanocrystalline Silicon Solar Cells (Dedicated to Stanford Ovshinsky)
Session Chairs
Tuesday AM, April 02, 2013
Moscone West, Level 2, Room 2000
9:30 AM - *A1.01
Improvements in Material Quality and Device Design for High Efficiency Amorphous and Nanocrystalline Silicon Solar Cells
Baojie Yan 1 Guozhen Yue 1 Jeffrey Yang 1 Subhendu Guha 1
1United Solar Ovonic LLC Troy USA
Show AbstractHydrogenated amorphous silicon (a-Si:H) and nanocrystalline silicon (nc-Si:H) solar cells as a potential low cost and scalable technology for mass production of photovoltaic (PV) solar panels have gained a great deal of attention. Large-volume manufacturing lines have been made and installed in several countries. However, with the dramatic decrease of polycrystalline silicon solar panel price, the thin film silicon PV industries have been experiencing a very difficult time for survival. The biggest challenge is to increase solar cell efficiency. Currently, with an a-Si:H/nc-Si:H/nc-Si:H triple-junction solar cell structure, stable cell efficiency of over 13% and module efficiency of close to 12% have been attained.
Looking forward, a short term efficiency goal of over 12% stable PV product with lower cost than polycrystalline silicon PV panels is needed. In this presentation, we review the paths that we have taken to improve the solar cell and module efficiencies, which include optimizations in material quality, device design and light trapping. We found that the most critical element for high efficiency nc-Si:H solar cells is a high quality nc-Si:H intrinsic layer with a desired crystallinity and crystallinity distribution along the film thickness, a compact structure with proper grain boundary passivation to prevent post-deposition oxidization, and a low impurity content. Very high frequency (VHF) glow discharge under a high pressure with a narrow gap between the cathode and substrate and with a dynamically profiled hydrogen dilution or a dynamically profiled VHF power is the most effective way to achieve such high quality nc-Si:H materials. For optimized solar cell design, use of an optimized Ag/ZnO back reflector with moderate texture to have adequate light scattering without deterioration of the material quality caused by the negative impact of texture on the nc-Si:H quality is important. Furthermore, the interface layers between the doped and intrinsic layers have to be optimized to minimize the amorphous incubation layer, to prevent impurity inter-diffusion, and to reduce micro-shunt for high open-circuit voltage and fill factor. Finally, new doped layers with multiple functions such as nanocryalline silicon oxide (nc-SiOx:H) provide additional contributions for improving the nc-Si:H intrinsic layer quality and to effective light trapping and management. We also analyze potentials of improving the cell and module efficiency further to explore the limits of attaining high efficiency using this technology.
10:00 AM - A1.02
New Progress in the Fabrication of Thin Film Silicon Nip Micromorph Solar Cells for Opaque Substrates
Remi Biron 1 Simon Haenni 1 Mathieu Boccard 1 Gregory Bugnon 1 Laura Ding 1 Sylvain Nicolay 1 Gaetano Parascandolo 1 Fanny Meillaud 1 Matthieu Despeisse 1 Franz-Josef Haug 1 Christophe Ballif 1
1EPFL-IMT-PVLab Neuchamp;#226;tel Switzerland
Show AbstractWe present our last recent progress in the fabrication of micromorph solar cells deposited in the substrate configuration. The latter is advantageous for opaque substrates like flexible plastic and stainless steel foils, as well as commercial ceramics. Secondly, a large choice of light scattering patterns covered with a silver layer (Ag) can be used to optimize the light trapping in the microcrystalline (µc-Si:H) cell which serves as bottom cell of the tandem device.
For absorption enhancement in µc-Si:H cells, we investigated combinations of highly reflecting Ag with the texture that develops on ZnO if grown by low-pressure-chemical-vapor-deposition (LPCVD-ZnO). The Ag layer is, either deposited before (Type 1) or after (Type 2) the LPCVD-ZnO layer. In both cases, the Ag layers were deposited at elevated temperature. The light trapping in the µc-Si:H cell is found to be the most efficient on Type 1 substrate, resulting in a 10 % initial efficiency µc-Si:H cell with a thickness of 1.6 µm and Jsc of 27.2 mA.cm-2. Based on variable illumination measurements, we quantified the Voc losses if used as bottom cell with 50% illumination in a tandem cell; these were best mitigated in cells on Type 2 substrate compared to Type 1 substrate and the LPCVD-ZnO reference, indicating that Type 2 substrate is more suitable for the electric performance of µc-Si:H material.
An issue related to the use of textured electrodes is the growth of structural defects in the µc-Si:H cells, creating zones of current leakage though the device. In tandem cells, we managed to quench these hot spots by using a ZnO based intermediate reflector layer whose conductivity is reduced by a plasma treatment in pure-oxygen. A second issue related to textured substrates are pinches at the bottom-cell surface, which were found to deteriorate the performances of the a-Si:H cell that grows above. We overcame this limitation by inserting a LPCVD-ZnO-based asymmetric intermediate reflector (AIR) which covers these pinches, offering an excellent growth template for the top cell. The third role of the AIR is to match the current of both sub-cells. This is achieved by varying the AIR roughness with an argon-plasma treatment. Each function of the AIR is fulfilled though independent processes, offering full control and a large scope of applications.
Finally, optimizing the triple-function AIR in a tandem device our best initial and stabilized efficiencies reach 13.2 and 11.6 %, respectively, using Type 2 substrate with a cell size of 0.25 cm2. In total, the silicon films are less than 2 micrometers thick. To go towards higher efficiencies, we plan to study innovative IRs combining the triple-function AIR with a low refractive index material like magnesium fluoride.
10:15 AM - A1.03
Development of N-type mu;c-SiOx:H as Intermediate Reflecting Layer and Tunnel Recombination Junction in a-Si:H/a-Si1-xGex:H Tandem Solar Cells
Li-Shin Chang 1 Yi-Wen Tseng 1 Hung-Jung Hsu 1 Cheng-Hang Hsu 1 Chuang-Chuang Tsai 1
1National Chiao Tung University Hsinchu Taiwan
Show AbstractThe development of a-Si:H/a-Si1-xGex:H tandem solar cells is key to high-efficiency thin-film solar cells. Due to more defective nature of a-Si1-xGex:H than a-Si:H, the cell is usually controlled to be limited by a-Si:H top cell current to obtain higher FF and better stability [1]. To further improve the cell efficiency, intermediate reflecting layer (IRL) is adopted to obtain higher photo current in the top cell. Transparent conductive oxide such as ZnO can serve as the IRL while an ex-situ sputtering step is needed. The n-type mu;c-SiOx:H has been successfully used as IRL due to its lower refractive index and acceptable conductivity [2]. Moreover, mu;c-SiOx:H(n) prepared by PECVD can simplify fabrication process. In this work, we employed mu;c-SiOx:H(n) single layer and mu;c-SiOx:H(n)/mu;c-Si:H(n) bi-layer as TRJ and IRL in a-Si:H/a-Si1-xGex:H tandem cells. The development of mu;c-SiOx:H(n) thin films will also be presented.
The deposition of all layers was conducted in a single-chamber 27.12 MHz PECVD system equipped with NF3 in-situ cleaning and a load-lock chamber. The n-type mu;c-SiOx:H layer was deposited from the gas mixture of SiH4, CO2, PH3 and H2. The superstrate-type solar cells were deposited on the textured SnO2:F coated glass. The crystalline volume fraction of thin film was measured by Raman spectroscopy and the oxygen content was characterized by the XPS. The J-V characteristics of the cells were measured under the illumination of AM1.5 light source with silver electrode size of 0.25 cm2.
Our results showed that as the CO2-to-SiH4 flow ratio increased from 0.53 to 3.53, the oxygen content increased from 3.5 to 37.3% accompanied by the reduction in conductivity and crystalline volume fraction. The oxygen incorporation would suppress the crystallite formation and thus reduced the conductivity. Our first attempt is to employ mu;c-SiOx:H(n) as IRL/TRJ and field-build-up layer in a-Si:H/a-Si1-xGex:H tandem cells. As the thickness of mu;c-SiOx:H(n) increased from 35 to 60 nm, the VOC increased from 1.27 to 1.75 eV with the increase in FF from 63.2 to 73.3%. The increments of JSC in top and bottom cell were 0.47 and 1.11 mA/cm2 as thickness increased to 45nm, respectively. This can be attributed to the enhanced carrier recombination by a more conductive mu;c-SiOx:H(n). However, the parasitic light absorption increased considerably as mu;c-SiOx:H(n) thickness was 60 nm. To further improve the cell efficiency, the mu;c-SiOx:H(n)/mu;c-Si(n) and the mu;c-Si(n)/mu;c-SiOx:H(n) bi-layer with total thickness of 38 nm were employed. The former had a higher VOC and JSC of 1.55 V and 7.58 mA/cm2, respectively. Presumably, mu;c-SiOx:H(n)/mu;c-Si(n) can reflected more un-absorbed photons back into the top cell and also have better carrier recombination. Further optimization on the current matching resulted in the efficiency over 10% in a-Si:H/a-Si1-xGex:H tandem cell.
[1] J. Yang et al. Appl. Phys. Lett. 61 (1992) 2917.
[2] P. Buehlmann et al. Appl. Phys. Lett. 91 (2007) 143505.
10:30 AM - A1.04
Advanced 3D a-Si:H Solar Cells: How to Surpass Efficiency of 2D Solar Cells?
Jeehwan Kim 1 Corsin Battaglia 2 Augustin Hong 1 Christophe Ballif 2 Devendra Sadana 1
1IBM TJ Watson Research Center Yorktown Heights USA2Ecole Polytechnique Famp;#233;damp;#233;rale de Lausanne (EPFL), Institute of Microengineering (IMT) Neuchamp;#226;tel Switzerland
Show Abstract. Extensive studies have been performed on 3D a-Si:H solar cells with the goal to surpass stable efficiency of conventional 2D a-Si:H solar cells on textured TCO. 3D cells fabricated on nanostructured templates collect carriers effectively in the lateral direction while simultaneously allowing longer absorption distance vertically. Compared to 2D a-Si:H solar cells, this concept allows for higher initial efficiency due to enhanced Jsc at a same absorber thickness or higher stable efficiency after light soaking since equivalent light absorption can be obtained at much thinner absorber thickness.
However, the reported efficiency of 3D solar cells is significantly lower than that of conventional 2D solar cells due to the following: (i) increased surface area which degrades the fill factor as well as the open circuit voltage due to increased J0, (ii) increased parasitic light absorption in TCO and p-layer which partially compensates for enhanced light absorption vertically in the i-layer, and (iii) reduced back-reflection from the back metal contact due to parasitic plasmonic effects. We have demonstrated that by proper designing of the 3D template, e.g., that with glass nanocones formed by litho-free methods, record efficiency of > 9% can be achieved from a single junction device. We believe that with further research 3D a-Si:H solar cell efficiency can easily surpass the efficiency of a conventional 2D a-Si:H solar cell on textured TCO.
10:45 AM - A1.05
Comparison of High-efficiency Thin-film Silicon Triple Junction Solar Cells in p-i-n and n-i-p Configuration
Jan-Willem Schuettauf 1 Karin Soederstroem 1 Gregory Bugnon 1 Mathieu Boccard 1 Laura Ding 1 Simon Haenni 1 Franz-Josef Haug 1 Sylvain Nicolay 1 Michael Stuckelberger 1 Fanny Meillaud 1 Matthieu Despeisse 1 Christophe Ballif 1
1EPFL Neuchamp;#226;tel Switzerland
Show AbstractWe study triple junction thin-film silicon solar cells with absorber layers consisting of amorphous Si (top cell) and microcrystalline Si (middle and bottom cells). In this contribution, we compare the performance of triple cells in p-i-n (superstrate) and n-i-p (substrate) configuration and address the advantages, drawbacks and potential of both designs.
Efficient light trapping is an essential aspect in thin-film Si solar cells, which is most commonly achieved by nanotexturing of the substrates on which the cells are deposited. In p-i-n configuration (where the nanotexturing is applied on the front side) this implies that an optimum trade-off between morphology, transparency and conductivity has to be found on the front side. In n-i-p configuration, however, these three functionalities are distributed between front and back, enabling more flexibility for the design and fabrication of the devices. However, the p-i-n configuration is usually preferred by industry, as this design facilitates module interconnection and fabrication.
In superstrate configuration, various glass/ZnO front structures are compared; the most successful being a 2.3 mu;m thick B-doped ZnO film with moderate doping and texture. On this structure, initial and stabilized efficiencies of 12.9% (JSC = 8.8 mA/cm2; VOC = 1.86 V; FF = 78.7 %) and 11.5% (8.7 mA/cm2; 1.82 V; 72.8 %) were achieved, respectively. The relatively low current density can be ascribed to non-ideal matching conditions. Optimized matching is expected to lead to a current density of ~9.5 mA/cm2, albeit that the FF would be slightly reduced. Based on these assumptions, an initial efficiency above 13% should be within reach.
In n-i-p configuration, a morphologically flat, optically rough substrate was recently developed [1]. This substrate allows us to grow Si layers with excellent electrical properties, and provides good light scattering in the middle and bottom cells. On this substrate, we obtained an initial efficiency of 13.7% (9.1 mA/cm2; 1.96 V; 77.1 %) and a stabilized efficiency of 12.5% (8.9 mA/cm2; 1.93 V; 72.7 %) with excellent matching conditions. Higher VOC values than in p-i-n configuration are obtained on this morphologically flat substrate, with a similarly high total current. As light trapping in the top cell is not required for triple-junction cells, we believe that higher efficiencies are to be expected in n-i-p configuration than in p-i-n configuration for such devices.
[1] K. Söderström et al., Sol.Mat. 101 (2012), 193.
A2: Amorphous and Nanocrystalline Silicon Solar Cells - Industrial Applications
Session Chairs
Tuesday AM, April 02, 2013
Moscone West, Level 2, Room 2000
11:30 AM - *A2.01
Panasonic's Thin Film Silicon Technologies for Advanced Photovoltaics
Akira Terakawa 1
1Panasonic Corporation Gifu Japan
Show AbstractPanasonic has taken over Sanyo&’s R&D history on thin film silicon based solar cells which has continued more than 35 years, including consumer-use a-Si solar cells “AMORTON” and “HIT” solar cells. We have performed high-efficiency a-Si/µc-Si tandem solar cells and modules with very high deposition-rate of µc-Si [1], and have proved the effectiveness of the Localized Plasma Confinement CVD (LPC-CVD) method for very high-rate deposition (>2.0nm/s) of device-grade µc-Si layers [2]. For further progress in productive plasma-CVD techniques, we have studied on the plasma phenomena by combining a newly developed plasma simulation and plasma diagnosis techniques, and have revealed the importance of the non-emissive atomic H [3]. We also have proposed a model of defective µc-Si formation on highly textured substrates, where the atomic H in plasma has supposed to play an important role [4]. According to HIT solar cells, the new record conversion efficiency of 23.9% has been achieved with using a very thin c-Si wafer (Thickness: 98µm, Area: 102cm2) [5]. We will review our recent R&D activities on thin film silicon technologies for solar cells.
Acknowledgments
This work was supported in part by NEDO (New Energy and Industrial Technology Development Organization) under the Ministry of Economy, Trade and Industry.
References
[1] A. Terakawa, M. Hishida, S. Yata, W. Shinohara, A. Kitahara, H. Yoneda, Y. Aya, I. Yoshida, M. Iseki and M. Tanaka: Proc. 26th EUPVSEC (2011) 2362
[2] M. Matsumoto, H. Katayama, M. Hishida, W. Shinohara, I. Yoshida, Y. Aya, A. Terakawa, M. Iseki and M. Tanaka: IEEE Journal of Photovolatics Vol.2 (2012) to be published
[3] H. Katayama, I. Yoshida, A. Terakawa, Y. Aya, M. Iseki and M. Tanaka: Proc. 27th EUPVSEC (2012) to be published
[4] Y. Naruse, M. Matsumoto, T. Sekimoto, M. Hishida, Y. Aya, W. Shinohara, A. Fukushima, S. Yata, A. Terakawa, M. Iseki and M. Tanaka: Proc. 38th IEEE PVSC (2012) 3118.
[5] S. Yata, Y. Aya, A. Terakawa, M. Iseki, M. Taguchi and M. Tanaka: 22th PVSEC (2012) to be presented.
12:00 PM - A2.02
Novel Intermediate Reflector Layer for Optical and Morphological Tuning in the Micromorph Thin Film Tandem Cell
Jean-Baptiste Orhan 1 Evelyne Vallat-Sauvain 1 Miguel Marmello 1 Ulrich Kroll 1 Johannes Meier 1 Edith Laux 2 David Grange 2 Sophie Farine-Brunner 2 Hebert Keppner 2
1Oerlikon-Solar Lab Neuchatel Switzerland2Haute Ecole Arc Ingamp;#233;nierie La Chaux-de-Fonds Switzerland
Show AbstractIn Micromorph tandem devices, reducing the thickness of the amorphous absorber i-layer is desired to minimize the light-induced degradation. Nevertheless, a high current in the top cell is required for high efficiencies. The use of a n-SiOx layer as intermediate reflector based on PECVD is a well-known concept to enhance the current in a-Si:H top cell while keeping the amorphous absorber layer thin. As-grown textured LPCVD ZnO is known to be an excellent light diffuser and has demonstrated an enhanced light-management for the a-Si single-junction [1] as well as for the Micromorph a-Si/µc-Si [2] tandem solar cells. However, it has been observed that the growth of the µc-Si:H bottom cell on very rough surfaces has the tendency to deteriorate the device photo-electrical properties compared to a cell deposited on a smoother TCO layer. Indeed, the growth of a µc-Si:H intrinsic layer on a rough surface, leads to the formation of so called “cracks”, probably acting as shunts and recombination centers [3]. Thus, when using highly textured front TCO layers in Micromorph devices, the gain in current can be vanished by a strong deterioration of the photo-electrical properties.
This study is focused on a novel interlayer which allows the generation of high current in the thin top cell as well as the fabrication of “crack”-free µc-Si bottom cell. We developed a smoothening and reflective layer (SRL) that allows light management and morphological control in the tandem device at the same time. The SRL is based on a sol-gel process and is deposited in liquid phase. The sol-gel process involves the evolution of oxide networks through the formation of a colloidal suspension. We successfully deposited such smoothening and reflective layers in various thicknesses. Our deposition process allows the preferential filling of the valleys of the underlying rough surface. AFM imaging indicates a lowering of the valley-to-peak height of the top cell surface. Such morphological selectivity and smoothening have not been achieved using standard PECVD deposition methods of n-SiOx layer. Finally, SEM of Micromorph cross-sections indicates a significant reduction of the crack density in the µc-Si:H bottom cell with increasing SRL thickness. Thus, as expected, the smoothening effect of the SRL improves the quality of our bottom µc-Si:H cell. Furthermore, our SRL, being optically transparent with a refractive index below 1.7, acts as an intermediate reflector in the tandem device. From EQE measurement of tandem device test cells with SRL, an efficient current transfer from the bottom to the top cell has been demonstrated. Full AM1.5 I-V characteristics of tandem devices with our novel SRL will be presented and compared to tandem devices with traditional nSiOx.
[1] S. Benagli et al., Proc. of 24th EU-PVSEC, 2009
[2] J. Bailat et al., Proc. of 25th EU-PVSEC, 2011
[3] D. Dominé, D., PhD Thesis, University of Neuchatel, 2009
12:15 PM - A2.03
Development of Nanocrystalline Silicon Based Multi-junction Solar Cell Technology for High Volume Manufacturing
Xixiang Xu 1 Jinyan Zhang 1 Anhong Hu 1 Cao Yu 2 Minghao Qu 1 Xiaoning Ru 1 Jianqiang Wang 2 Furong Lin 2 Yuanmin Li 1
1Apollo Precision Equipment Limited Company Shuangliu China2Hanergy Holding Group Limited Beijing China
Show AbstractThin film silicon, amorphous silicon (a-Si) and nanocrystaline silicon (nc-Si), has evolved into an important technology for photovoltaic industry. Over 1.5 GW capacity of amorphous silicon and silicon-germanium (a-SiGe) multijunction solar cell manufacturing lines using Apollo&’s turnkey technology has been installed. However, compared with other thin film PV technology, such as CdTe and CIGS, with 11-14% product efficiency, most of thin film Si product&’s efficiency is still in the range of 8-10%. In order to further increase cell conversion efficiency of our product, we have focused our effort on development of compatible nc-Si technology.
We have conducted our experiments mainly on two types of nc-Si based solar cell structures, a-Si/a-SiGe/nc-Si triple-junction and a-Si/nc-Si double-junction device. Currently we are achieving comparable initial efficiency for the both device structures, 85 - 90 W, with ~11.5% initial active area efficiency. For better compatibility to the installed manufacturing equipment, we prepared a-Si and a-SiGe component cells with the existing deposition machines. Only nc-Si bottom component cells are prepared in separate deposition machines tailored for nc-Si process. For effective technology transfer, we run all thin film Si deposition on product size glass substrate (1.245m x 0.635m).
Multiple samples selected from the two cell structures are currently undergoing light soaking testing, both indoors and outdoors (mainly outdoors since it has better spectrum to simulate real environment for the product). Results of the comparative study of the light soaking will be presented.
More detailed experimental results, including study of volume fraction of crystalline (Raman spectroscopy) along nc-Si growth, individual component cell optimization and current match assisted by QE (quantum efficiency), and development of superior tunnel-junction and contact layers, will also be discussed.
12:30 PM - A2.04
Crack-free Growth of Microcrystalline Silicon Solar Cells Grown on Substrates with Periodic Textures
Wim Soppe 1 Klaas Bakker 1 Maarten Dorenkamper 1 Ruud Schropp 1
1ECN Eindhoven Netherlands
Show AbstractDue to the small absorption coefficient of microcrystalline (nanocrystalline) silicon, thin film solar cells based on this absorber material must have very good light trapping structures to enable high conversion efficiencies. For superstrate configurations on glass, this is usually pursued by creation of light scattering textures on the TCO at the front side of the cells. For substrate configurations it is more practical to use light-scattering reflectors at the rear side of the cells. Nano Imprint Lithography (NIL) has proven to be a very effective method to fabricate such light-scattering textures. In our research we use steel foil as substrate and create the texture by NIL in a UV hardening lacquer that is deposited on the steel foil. After the NIL step, the back reflector is finished by sputtering a Ag layer on top of the lacquer. One of the major merits of NIL is that any arbitrary nanoscale structure can be fabricated by this method. In our study we designed and fabricated various 2D periodic patterns and compared the growth of microcrystalline silicon on such structures with that on a replica of a random Asahi-U type texture as a reference structure. Microcrystalline silicon as grown by PECVD is a multiphase material consisting of nanometer sized crystals embedded in a tissue of amorphous silicon. Its morphology depends on the structure of the substrate and it is a well-known issue that rough substrates can lead to micro-cracks and voids in the microcrystalline silicon layer. These micro-cracks and voids are the result of the collision of microcrystalline growth zones on local surfaces and can lead to shunting of the solar cells. In our paper we compare the growth of microcrystalline silicon layers on random and periodic textures and show that optimized 2D periodic textures can provide as good light trapping as random textures, leading to Jsc of more than 25 mA/cm2 for cells with a microcrystalline silicon absorber layer of only 1 micron. Furthermore we show that these 2D periodic textures can prevent the formation of micro-cracks and voids, leading to significantly better shunt resistances and fill factors than for cells made on random texture.
12:45 PM - A2.05
SnO2:F with Very High Haze Value and Transmittance in Near Infrared Wavelength for Use as Front Transparent Conductive Oxide Films in Thin-film Silicon Solar Cells
Masanobu Isshiki 1 2 Yasuko Ishikawa 1 Toru Ikeda 1 Takuji Oyama 1 Hidefumi Odaka 1 Porponth Sichanugrist 2 Makoto Konagai 2 3
1Asahi Glass Co., Ltd. Yokohama Japan2Tokyo Institute of Technology Tokyo Japan3Tokyo Institute of Technology Tokyo Japan
Show AbstractFor front transparent conductive oxide (TCO) films used in thin-film silicon solar cells, high transmittance in all wavelength, low sheet resistance and high haze value are required. To achieve high transmittance and low sheet resistance, carrier mobility of TCO needs to be high and carrier concentration needs to be low. TCOs with high mobility of around 80 cm2/(Vs) have been reported by several groups including ours. But all of them have flat surfaces and low haze values. Recently, W-textured ZnO films fabricated by metal-organic chemical vapor deposition (MOCVD) on RIE-etched glasses are reported to have very high haze value. However, the mobility of B-doped ZnO is not high enough. Therefore, we have developed F-doped SnO2 with higher mobility and higher haze value using RIE-etched glass.
We deposited F-doped SnO2 from SnCl4+H2O+HF using low pressure chemical vapor deposition (LPCVD) on both RIE-etched and flat Corning 7059 glass substrates. Here novel film structure using non-doped and F-doped stacked configuration has also been tried.
We found that for the film thicknesses of around 900 nm the mobility dropped from 75 to 36 cm2/(Vs) when we used flat substrates to RIE etched substrates. We also found that the drop of mobility can be suppressed if the films are thicker than 3000 nm. In order to increase the film thickness up to 3000 nm while keeping transmittance high, we need to decrease carrier concentration from 1x1020 cm-3 to 2-3x1019 cm-3. However, we found that the mobility decreased monotonically as the carrier concentration decreased from 1x1020 cm-3. Therefore in order to solve these constrains, we have adopted a stacking structure using thick non-doped layer of 2700 nm and thin F-doped layer of 500 nm. With this novel approach, we have successfully achieved the high mobility (80 cm2/(Vs)), low carrier concentration (2.2x1019 cm-3) and high haze value (77% at wavelength of 1000 nm) at the same time. This new developed high-haze SnO2 is a new promising TCO for thin-film Si solar cells.
Symposium Organizers
Paul Stradins, National Renewable Energy Laboratory
Akram Boukai, University of Michigan
Friedhelm Finger, Forschungszentrum Juuml;lich GmbH
Takuya Matsui, National Institute of Advanced Industrial Science and Technology
Nicolas Wyrsch, Eacute;cole Polytechnique Feacute;deacute;rale de Lausanne
Symposium Support
Ecole Polytechnique Federale de Lausanne - EPFL
Forschungszentrum Juelich GmbH
Helmholtz-Zentrum Berlin
National Renewable Energy Laboratory
Photovoltaic Power Generation Technology Research Association
A7: Novel Silicon-based Devices
Session Chairs
Wednesday PM, April 03, 2013
Moscone West, Level 2, Room 2000
2:30 AM - *A7.01
Transient Electronics
John Rogers 1
1University of Illinois Urbana USA
Show AbstractA characteristic feature of modern silicon integrated circuit technology is its ability to operate in a stable, reliable fashion, almost indefinitely for practical purposes. Recent work demonstrates that carefully selected sets of materials and device designs enable a class of silicon electronics that has the opposite behavior -- it physically disappears in water or biofluids, in a controlled manner, at programmed times. This talk summarizes recent work on this type of ‘transient&’ electronics technology, ranging from basic studies of dissolution of the key materials, to development of components and systems with radio frequency operation, to invention of schemes for externally ‘triggering&’ transient behavior.
3:00 AM - A7.02
Li+ Ion Conductive Single-crystal Silicon Membranes for Potential Applications in Lithium-air Batteries
Yugang Sun 1
1Argonne National Laboratory Argonne USA
Show AbstractSilicon has become an intriguing anode material in lithium ion secondary batteries because of its theoretical high gravimetric and volumetric capacity for lithiation (i.e., 4200 and 1750 mA h/cm3, respectively). To approach the theoretical limits, the conductivity of lithium in silicon should be high because the diffusion rate of lithium in and out of silicon determines the discharge/charge speed and influences the battery performance. For example, enormous efforts have been devoted to utilizing nanostructured silicon to improve the electrochemical performance of lithium-ion batteries since decreasing the lateral dimensions of silicon is expected to increase the diffusion rate of lithium and reduce the diffusion time for efficiently exchanging lithium ions between electrolytes and anodes. Moreover, the large surface-to-volume ratios of silicon nanostructures can facilitate accommodation of their large volume expansion/contraction during lithiation/delithiation. However, reducing the size of silicon structures is not always helpful for applications that require selective diffusion of lithium ions across a continuous membrane, such as for a lithium ion selective electrode (Li-ISE). This kind of application motivated us to study the diffusion behavior of lithium in extended, large-area silicon membranes, in particular, single-crystalline silicon membranes with continuous lattices. In this presentation, we will show the precise measurement of lithium conductivity in single-crystalline silicon membranes and in-situ monitoring of the structural variation of the membranes under continuous current flows. The results reveal that the single-crystalline silicon membranes exhibit exceptional lithium conductivity as high as sim; 1 uS/cm and their single crystallinity remains even with a current density of 1 mA/cm2. The high conductivity and structural stability makes the single-crystalline silicon membranes promising in lithium-air batteries, Li-ISEs, and detectors.
Reference: T. T. Truong, Y. Qin, Y. Ren, Z. Chen, M. K. Chan, J. P. Greeley, K. Amine, Y. Sun, Adv. Mater. 2011, 23, 4947.
Use of the Center for Nanoscale Materials and Advanced Photon Source at Argonne National Laboratory was supported by the U.S. Department of Energy, Office of Science, Office of Basic Energy Sciences, under contract No. DE-AC02-06CH11357.
3:15 AM - A7.03
Hybrid Nanocrystalline / Amorphous Silicon Schottky Diodes for Large Area Electronic Systems
Josue Sanz-Robinson 1 2 Yingzhe Hu 1 2 Warren Rieutort-Louis 1 2 Liechao Huang 1 2 Naveen Verma 1 2 Sigurd Wagner 1 2 James C. Sturm 1 2
1Princeton University Princeton USA2Princeton University Princeton USA
Show AbstractWe are developing large-area sensing systems that integrate thin-film electronics and CMOS VLSI [1]. In order to capacitively and inductively transmit power and signals between the planes (physical layers) of the system we employ thin film rectifiers. Previously, we fabricated rectifiers using amorphous silicon (a-Si) Schottky diodes with a high ON-to-OFF current ratio [2]. However, these diodes suffered from low ON currents, which meant that to drive large currents they had to be sized over large areas, and correspondingly had large terminal capacitances. To overcome these limitations we have developed hybrid nanocrystalline / amorphous silicon Schottky diodes, which use a-Si Schottky contacts to obtain low OFF currents and bulk nanocrystalline (nc-Si) thin films for high ON currents. These hybrid diodes yield a forward current density of 5 A/cm^2 at 1V, which is ~1000x higher than our previous a-Si diodes. The high current density results from avoiding the space charge limited current of their a-Si counterparts because of the very high conductivity of the nc-Si. We exploit the high ON current of the hybrid diodes to fabricate devices with smaller terminal capacitances, which enables diode-based circuits with significantly improved frequency performance. For example, when comparing a half-wave rectifier with a 10 kOmega; resistive load, an a-Si rectifier (1mm^2 diode) gives a circuit with an AC-to-DC power conversion efficiency of 9% at 10 kHz and fails to rectify at higher frequencies, while a hybrid rectifier (0.01 mm^2 diode) yields an efficiency greater than 30% at 1 MHz.
In this talk we will: (1) describe the structure and processing of the hybrid diode, including the integration of multiple diodes to fabricate circuits; (2) compare the DC and AC behaviours of isolated hybrid and a-Si Schottky diodes, and discuss how they relate to the underlying device operating principles; and (3) compare the frequency performance of half-wave and full-wave rectifier circuits composed of a-Si and hybrid diodes.
The hybrid diode is made by plasma-enhanced chemical vapor deposition with a frequency of 80 MHz at 180°C. It does not require p-type doping, which makes it compatible with n-channel TFT processing. The diode structure consists of a chrome Schottky contact, 750 nm undoped nc-Si, 120 nm n+ nc-Si, and a chrome ohmic contact. The Schottky metal is in contact with the a-Si incubation layer, formed before the nucleation of the nc-Si film. Measurements show that the undoped nc-Si layer is n-type with a background donor density of 10^16 cm^-3. Not only does the hybrid diode have a high current density, but it also has a high ON-to-OFF current ratio (>1000 for +1V /-8V), making it well suited for diode circuits for large-area systems.
[1] Y. Hu et al. VLSI Symp., 2012.
[2] J. Sanz-Robinson et al. DRC, 2012.
3:30 AM - A7.04
A Printed Diode Operating at Ultra-high Frequency
Negar Sani 1 Magnus Svensson 2 Isak Engquist 1 Xin Wang 2 Peter Andersson Ersman 2 David Nilsson 2 Mats Robertsson 4 Goeran Gustafsson 2 Philip G Cooper 3 Magnus Berggren 1
1Linkamp;#246;ping University Norrkamp;#246;ping Sweden2Acreo AB Norrkamp;#246;ping Sweden3De La Rue International Limited Overton United Kingdom4VINNOVA Stockholm Sweden
Show AbstractA printed rectifying diode manufactured on a flexible substrate, operating in the GHz range, is presented. We show that silicon and silicide in the form of powder with an average particle size of 1 mu;m can be used as the active layer of the diode. The production of the silicon and silicide powders is fairly simple as compared to the preparation route used for e.g. silicon nanoparticle ink. Except for the bottom electrode, which is made by photolithography of aluminum on PET foil, all layers of the diode, including the powders, are all printed. DC measurements show an average current capacity of 10 mu;A at 2 V forward bias, and a rectification factor of about 100 at 1 V. According to the measured frequency response of the diodes their cut off frequency is around 1.7 GHz. At 1.8 GHz, which is the frequency for the Global System for Mobile Communications (GSM), the diodes still have an output signal high enough to switch a printed organic electrochromic (EC) display. To demonstrate the use of this diode in power harvesting applications, a simple integrated circuit including an antenna, the diode and an EC display was printed on a flexible substrate. The antenna is designed to capture the signal of a mobile phone working in the GSM band. The signal transmitted from the mobile phone while making a call, is transferred to the diode through the antenna. The diode rectifies the signal and produces a DC voltage which is large enough to turn on the printed EC display. Under optimum conditions the display is switched in less than 10 s.
3:45 AM - A7.05
Amorphous Silicon Based Betavoltaic Devices
Nicolas Wyrsch 1 Yannick Riesen 1 Sylvain Dunand 1 Hannes Kind 2 Sandro Schneider 2 Christophe Ballif 1
1Ecole Polytechnique de Lausanne (EPFL) Neuchatel Switzerland2mb-microtec AG Niederwangen Switzerland
Show AbstractThe betavoltaic principle is based on the direct generation of an electrical voltage and current upon irradiation of a semiconductor diode by beta particles. Such a principle has been used for power generation in few satellites, but never commercialized. For safety reasons, it is attractive to use tritium as a low energy beta particle emitter, but it then limits considerably the power density of such a device. Recently, a new battery design has been proposed comprising a 3D porous silicon diode to increase the surface interaction between the active volume (containing T) and the semiconductor device and to improve performances.
We here explore the possibility to use hydrogenated amorphous silicon (a-Si:H) thin film device together with tritium to fabricate betavoltaic battery. In contrast to the solution incorporating a 3D porous silicon diode design, a-Si:H silicon solar cells offer potentially many advantages:
- a-Si:H cells can be deposited on thin and (eventually) flexible substrates in order to create a 3D module design by stacking or folding of such solar cells
- Higher a-Si:H diode performance at low excitation levels compared to c-Si diodes
- Flexible design allowing fabrication of diodes with various output voltages
A few experiments were already performed by other groups using either tritiated amorphous silicon [1] or diodes exposed to tritium [2]. In the latter case, a rapid degradation of the cell was observed, attributed to a replacement of hydrogen in a-Si:H by tritium, leading to an increase of dangling bonds. In the present study we analysed the degradation of various a-Si:H diode structures exposed to tritium. Following excellent initial device performance, a rapid degradation was also observed which differs from the one reported in the literature. In the paper, the results will be discussed in detail, starting from the theoretical interaction of beta with the material and the effect on the diode. Finally, degradation from tritium exposure will be compared to degradation of diodes from beta particle emitted from an electron gun and possible solutions to mitigate the degradation will be presented.
[1] T. Kosteski et al., IEE Proc.-Circuits Devices Syst. 150 (2003) 274
[2] S. Deus, Proc. of the 28th Photovoltaic Specialists Conf. (2000) 1246
A8: Silicon Film Materials and Devices - 30 Years at MRS
Session Chairs
Wednesday PM, April 03, 2013
Moscone West, Level 2, Room 2000
4:30 AM - *A8.01
Materials Design - The Case of Film Silicon
Kazunobu Tanaka 1
1Japan Science and Technology Agency (JST) Tokyo Japan
Show AbstractSince the initial success of substitutional doping in hydrogenated amorphous silicon by Spear and LeComber in 1975, a great number of works have been done in the field of film structures of Si and its alloys, including syntheses of those materials, microscopic analyses of preparation processes, characterization of material properties, and their application to a variety of electronic devices. However, from the viewpoints of “ materials design”, many problems still remain unresolved.
In this talk, firstly, I look back our early efforts to control and improve photosensitivity and related properties of a-Si:H and alloys using several different plasma reactors designed on the basis of the deposition kinetics at the growing surface as well as the gas-phase chemistry in the plasma.
Secondly, I describe the recent progress of this field of research in film structures of silicon, amorphous as well as crystalline substances, prepared by gas-phase or liquid-phase processes.
Finally, “materials design” will be discussed with regard to social issues in a more general way, especially, as an important tool for designing functions that meet urgent social needs
5:00 AM - *A8.02
Silicon TFT Backplanes; Past, Present and Future
Robert Street 1
1Palo Alto Research Center Palo Alto USA
Show AbstractIn the 30 years since the MRS symposium series started, amorphous silicon has enabled the huge display industry, dominates the digital x-ray technology and is a strong contended in the solar PV industry. The research has led to different forms of thin film silicon and the techniques developed to understand a-Si have been applied to many other materials. Flexible and printed electronic devices took their inspiration from amorphous silicon technology. The talk will mostly focus on the development of TFT backplanes for displays and digital x-ray, and the advances that have been made into alternative materials, better devices and circuits, different fabrication techniques and new applications.
5:30 AM - *A8.03
Thin-film Silicon Solar Cells: Limitations, Status and Prospects
Arvind Shah 1
1Ecole Polytechnique Famp;#233;damp;#233;rale de Lausanne (EPFL) Neuchamp;#226;tel Switzerland
Show AbstractThe various steps in the historical development of thin-film silicon solar cells will first be described and commented: (a) the p-i-n type solar cell structure; (b) carbon-alloyed p-layers; (c) amorphous silicon-germanium alloys and multi-junctions; (d) microcrystalline silicon photoactive layers; (e) micromorph tandem cells; (f) advanced light-trapping schemes. With all this, stabilized commercial module conversion efficiencies have still remained below 10%. One may postulate that there are three main reasons for this: (1) the open-circuit voltage Voc of amorphous solar cells is always distinctly lower than the theoretical limit given by the band-gap of the material; (2) light-induced degradation is present in all amorphous photoactive layers; (3) microcrystalline silicon has an indirect band-gap and therefore a low absorption coefficient. Limitation (3) can be partially overcome by suitable light-management techniques, where there are presently significant progress and many promising ongoing developments. To reduce the effect of limitation (2), many schemes have been tried out, but only very few have brought any real improvement at all.. Regarding limitation (3) we lack at present a full theoretical understanding. This paper will review the present status of research work of the leading laboratories active in this field, with a special accent on the most recent results at IMT Neuchacirc;tel. The author will also list the priorities he sees for future research work. Finally, the author will comment on the long-term prospects for thin-film silicon solar modules: Which are the economical factors that may in future lead again to a renewed commercial interest in this technology, as compared to the other competitive solar cell technologies? Where can future cost reductions be expected for the production of thin-film silicon solar modules? Which future applications are most suited for thin-film silicon solar cells?
A5: Silicon Heterojunction Solar Cells
Session Chairs
Wednesday AM, April 03, 2013
Moscone West, Level 2, Room 2000
9:30 AM - *A5.01
Keypoints for High-efficiency a-Si:H/c-Si Heterojunction Solar Cells
Stefaan De Wolf 1 Antoine Descoeudres 1 Zachary Charles Holman 1 Benedicte Demaurex 1 Christophe Ballif 1
1EPFL Neuchatel Switzerland
Show AbstractFor high-efficiency c-Si solar cell, high-quality surface passivation is of extreme importance. Silicon heterojunction solar cells are made by depositing thin hydrogenated amorphous silicon (a-Si:H) layers on crystalline silicon (c-Si) wafers for this purpose. This design enables conversion efficiencies well above 20% at a reasonable cost, and is being pursued by an increasing number of groups in recent years. Key feature of such solar cells is the fact that the metal contacts, which are highly recombination active in traditional, diffused junction cells, are moved away from the absorber by insertion of wider bandgap a-Si:H layers. This explains the record open-circuit voltages typically associated with these devices. Here we discuss the key points to be considered when aiming for such high-efficiency devices.
Intrinsic a-Si:H films are already for a few decades known to yield good c-Si surface passivation. As a side note and from defect perspective, the a-Si:H/c-Si interface has no unique features compared to the a-Si:H bulk. This opens perspectives to use this interface as a sensitive probe to study typical a-Si:H bulk phenomena, including light-induced defect generation. From deposition perspective, we found that highly-depleted SiH4 plasmas yield the best electronic properties. A critical condition for the latter is the purveyance of an atomically sharp a-Si:H/c-Si interface, however. To come closer to the amorphous-to-crystalline transition without risking detrimental epitaxial growth, H2 plasma treatments during a-Si:H growth via brief deposition interruptions were proven to be very effective.
To fabricate emitter and back surface fields, doped a-Si:H must be deposited on the passivating a-Si:H(i) layers with sufficient care, else Fermi-level induced defect generation in the passivation layers underneath may occur. Subsequently, transparent conductive oxide (TCO) layers need to be deposited for contact formation. Such depositions may equally detrimentally affect the surface passivation, which now is at least partially caused by plasma luminescence during TCO sputtering.
We also compare here the use of n-type versus p-type c-Si substrates. The most notable difference is found in the fill factor (FF) of the devices, which are finished with an Ag grid screenprinted on the front and a full-coverage sputtered Ag contact at the rear. We briefly discuss how the lower FF value for p-type wafers is fundamentally linked to the electronic properties of the c-Si surface dangling bond, underlining the importance of understanding the fundaments of surface passivation for high-efficiency c-Si solar cells.
Finally, using floatzone wafers, we show independently confirmed conversion efficiencies of respectively 22.1% (n-type) and 21.4% (p-type) for 4 cm2 devices. These results give further evidence how heterojunction technology offers an interesting route towards high-efficiency industrial solar cells.
10:00 AM - A5.02
Lateral Carrier Flow near the Heterointerface in Amorphous/Crystalline Silicon Heterojunction Solar Cells
Michael G. Deceglie 1 Hal S. Emmer 1 Zachary C. Holman 2 Stefaan De Wolf 2 Christophe Ballif 2 Harry A Atwater 1
1California Institute of Technology Pasadena USA2amp;#201;cole Polytechnique Famp;#233;damp;#233;rale de Lausanne (EPFL) Neuchamp;#226;tel Switzerland
Show AbstractSolar cells based on heterojunctions between crystalline Si (c-Si) and wider band gap hydrogenated amorphous silicon (a-Si:H), commonly referred to as HIT (heterojunction with intrinsic thin layer) cells, are a high-efficiency technology that can obtain high photovoltages due to passivation of the c-Si surface by a-Si:H. We present the results of variable-bias scanning photocurrent and selected-area illumination experiments on a-Si:H/c-Si heterojunction solar cells demonstrating that lateral charge carrier transport in the c-Si near the heterointerface is an important mechanism in these devices. It is well known that excellent surface passivation of the c-Si surface by the a-Si:H is critical to achieving high efficiency. This is achieved by depositing a thin (~5 nm) layer of intrinsic a-Si:H between the c-Si absorber and the heavily doped a-Si:H emitter. Our results elucidate the origin of the sensitivity these devices have to interface quality, showing that photogenerated carriers can readily flow laterally near the junction and ultimately be lost to recombination at interfacial defect sites.
We first used focused ion-beam milling to create local defects of known size and location in silicon heterojunction solar cells fabricated on n-type wafers. These samples were then imaged with light beam induced current (LBIC) mapping at wavelengths of 633 nm and 488 nm and variable electrical bias to geometrically quantify the influence of interface defects on current collection with sub 5 mu;m resolution. In order to show that lateral carrier transport near the junction increases the effective geometrical cross section of interface defects, we fabricated samples with an i-p a-Si:H stack deposited on n-c-Si, but with lithographically defined, locally thin regions of i-a-Si:H. Isolated ITO contacts were patterned over the thin i-a-Si:H region and at varying distances from this region. A lock-in measurement was used to monitor the photocurrent at each ITO contact due to a local optical excitation at 635 nm. We find that under uniform white-light bias and near the operating voltage of the device, carriers excited directly below one contact will flow laterally and be collected through a nearby contact placed over a thinner region of intrinsic a-Si:H. At a 500 mV bias, intrinsic a-Si:H thickness of 20 nm, and a contact spacing of 80 mu;m, we find that 69% of the current flows laterally to the other contact which is located over a region of 5 nm thick intrinsic a-Si:H. This declines to 13% for a contact spacing of 440 mu;m. This is a significant increase from the control case with both contacts over 10 nm of intrinsic a-Si:H, in which 32% of the current is collected via lateral transport at 80 mu;m and 0% at 440 mu;m. In this experiment, the current sink caused by an interfacial defect is mimicked by a reduced series resistance at the lower contact. This scheme allows for direct quantification of lateral carrier flow as a function of distance and applied bias.
10:15 AM - A5.03
Hydrogen-plasma Etching of Thin Amorphous Silicon Layers for Heterojunction Interdigitated Back-contact Solar Cells
Stefano Nicola Granata 1 2 Twan Bearda 2 Mariella Brizzi 2 3 Robert Mertens 1 2 Jef Poortmans 1 2
1KU Leuven Heverlee Belgium2IMEC Heverlee Belgium3University of Calabria Cosenza Italy
Show AbstractIn heterojunction back contact (HJ i-BC) solar cells, thin (<20nm) amorphous silicon (aSi:H) layers of opposite polarity are deposited side by side on the rear surface of silicon wafers (cSi); this results in an interdigitated pattern of aSi:H(p) and aSi:H(n). To obtain this structure, a sequence of blanket layer deposition, lithography and wet or dry etching is commonly used. This process has been inherited from homojunction i-BC and is inadequate to HJ because of aSi:H film characteristics. On one hand, highly doped aSi:H(p) is etched only in aggressive chemicals such as concentrated TMAH or HF/HNO3 mixtures. These solutions might increase surface roughness and attack the masking material employed during patterning. On the other hand, Fluorine or Chlorine-based dry etching implies poor selectivity toward the underlying cSi substrate and might lead to surface damage. Furthermore, the etch rates commonly employed (>100nm/min) hinder full control of the etched thickness for thin aSi:H layers.
Therefore, we consider an H2-plasma etching as an alternative pattering suitable for HJ i-BC. H2-plasma etches aSi:H selectively toward typical hard masks (i.e. SiNx), with an etch rate below 10nm/min. Furthermore, an H2 line is often present in reactors for aSi:H deposition, enabling an in-line etching-and-regrowth process.
We see how the H2-plasma regime can change from layer-modification to etching-dominated according to deposition conditions and aSi:H characteristics. In the layer-modification regime, characterized by high temperature (>150C) and low plasma power (<60mW/cm2), FTIR and ellipsometric analysis detect an increase in dihdryde (SiH2) content and a bandgap raise of 0.05-0.1 eV. The etching rates do not exceed 0.5 nm/min. In the etching regime (temperature below 100C, power above 80mW/cm2) etch rates up to 3nm/min and negligible modification in the film structure are recorded. Furthermore, the hydrogen content in the film partially influences the etch rate.
The results are in line with theory: in the layer-modification regime, the hydrogen adsorbed easily diffuse through the bulk of the aSi:H and relax strained bonds. Subsequently, the amount of band-tail defects is lowered and the bandgap increases. In the etching-dominated regime, the action of the hydrogen atoms is limited to the layer subsurface: radicals break strained bonds and induce film etching, while changes in the bulk aSi:H are negligible.
To state the applicability of H2-plasma etching for HJ i-BC, it is necessary to confirm the absence of wafer surface damage after aSi:H layer removal. This is verified by etching-and-regrowth of an aSi:H layer on cSi and minority carrier lifetime measurements which shows values of 2-3ms at an injection level of 1E15 cm-3, comparable to reference.
As a conclusion, the H2-plasma etching has been proven suitable to remove thin layers of aSi:H without surface damage and can therefore be used to pattern aSi:H in HJ i-BC solar cells.
10:30 AM - A5.04
Study of HIT Cell Interface Defects Using Multiple Exposure Quasi Steady State Photoconductance Decay Spectroscopy
Ravi Andres Vasudevan 1 Marc Schouten 1 Arno HM Smets 1 Miro Zeman 1
1TU Delft Delft Netherlands
Show AbstractHeterojunction with intrinsic thin film (HIT) solar cells have shown to be a very promising technology in photovoltaic concepts [1]. HIT cells use the excellent absorption characteristics of crystalline silicon (c-Si) as well as the low cost and high passivation qualities of hydrogenated amorphous silicon (a-Si:H). This interface is made up of a high quality, n-doped, c-Si wafer and a thin, high quality a-Si:H film. At this interface, there are different defects in play including the surface and subsurface defects of c-Si as well as the bulk defects that exist in any disordered a-Si:H film. Within these two main categories of defects there could be many different types of defects that play a different role in the cell's performance due to their size, charge and other aspects of their nature [2]. The purpose of this research is to characterize and understand the dominating defects of the heterojunction in a HIT cell. This gives a better understanding of the physical principles of a HIT cell and can be utilized to produce higher performing cells in the future.
Quasi Steady State Photoconductance Decay spectroscopy is used to measure the minority charge carrier lifetime. Repeated exposure of a sample to this measurement technique has shown that the carrier lifetime of these interfaces tend to change due to exposure to measurement flashes [3]. These initial results showed that due to light exposure, the carrier lifetime of an interface on an n-doped 100 wafer decays exponentially, while the lifetime of the same film on a n-doped 111 wafer does not significantly degrade. However, this research only observed a small subset of heterojunction possibilities.
We have shown that modifying the doping of the absorbing wafer, for instance, reverses the kinetics of the change in minority carrier lifetime in the wafer. In this case, the lifetime increases exponentially. Furthermore, exposing the samples to further light soaking has shown to move the carrier lifetime closer to the original carrier lifetime of the sample. These two findings, are evidence of different charged defects that exist at the c-Si/a-Si:H heterojunction in HIT cells. The different kinetics during light soaking shows that there are at least two different defect states at play and during different stages of light soaking the kinetics are dominated by faster and slower metastable defect states. Furthermore, the difference between p-doped and n-doped wafers shows that charged defect states are playing a role as the effects are reversed depending on what the minority charge carrier is. These results have never been seen and give further insight into the complexity that is the c-Si/a-Si:H interface in a HIT cell.
[1] Tohoda et al., J. Non-Cryst. Solids., 358, 17 (2012)
[2] Watkins, Mat. Sci. in Semicond. Proc., 3, 227-235 (2000)
[3] De Wolf et al., Phys. Rev. B., 83, 23301 (2011)
10:45 AM - A5.05
Probing Silicon Interfaces and Near-interface Electric Fields with Optical Second Harmonic Generation
Long He 1 Charles W Teplin 2 Howard M Branz 2 Charles T Rogers 1
1University of Colorado at Boulder BOULDER USA2National Renewable Energy Laboratory Golden USA
Show AbstractWe use optical second harmonic generation (SHG) to measure near-interface electric fields at a-Si:H/indium tin oxide (ITO) and a-Si:H/c-Si interfaces. The SHG signal changes by >5X when a Si heterojunction (SHJ) solar cell is swept through a current-voltage (JV) measurement. The changing SHG signal is due to both electric-field induced SHG (EFISH) and interface contributions.[1] To quantify electric-field contributions in amorphous silicon, we carefully measure SHG from ITO/ a-Si:H/ITO sandwich structures at different biases and polarization geometries. In this “simple” structure, we quantitatively separate interface SHG and electric-field induced SHG (EFISH).[2] We also measure the time-dependence of the SHG response after a change in voltage bias and observe a double exponential decay. While the faster decay time constant (~0.5 microsecond) is matched by the circuit RC transient process, the slower decay (~5 microsecond) may reveal the movement of trapped charge near the a Si:H/ITO interface.
We study a-Si:H interfaces with SHG to better understand SHJ photovoltaics that produce high open circuit voltages and efficiencies. In these solar cells, the amorphous-crystalline silicon interface is the critical aspect of the device but the thinness of the a Si:H layers make them difficult to study. In SHG experiments, interfaces and surfaces can be probed selectively: One focuses a pulsed laser beam (frequency omega;) onto the sample and detects second harmonic light (frequency 2omega;) generated at optically accessible surfaces and interfaces in the sample. We use a 1.45 eV probe laser and measure a continuous and dramatic change in the SHG signal as the device is swept from forward bias through short circuit and into open circuit conditions. For ITO/ a Si:H/ITO sandwich structures, we measure SHG as we vary the electric fields in the a Si:H layer with an applied voltage. Through fitting of the SHG data, we find that near the a Si:H/ITO interface, the electric field in the a Si:H is proportional to the square root of the applied bias. The fitting measures the interfacial ITO/a Si:H built-in voltage to be ~0.2 V. Time-dependent measurements may probe deep energy levels at a Si:H interfaces as the dynamic SHG response likely reflects carrier trapping and emission.
References:
[1] L. He, C. T. Rogers, M. Page, E. Iwaniczko, K. Alberi, C. Beall, ... & C. W. Teplin, (2010, June). Photovoltaic device characterization with optical second harmonic generation. In Photovoltaic Specialists Conference (PVSC), 2010 35th IEEE (pp. 000223-000226). IEEE.
[2] L. He, J. D. Walker, H. M. Branz, C. T. Rogers, & C. W. Teplin, (2012). Measurement of electric-field induced second harmonic generation in hydrogenated amorphous silicon. Applied Physics Letters, 101, 161604.
A6: Defect and Transport (Dedicated to J. David Cohen)
Session Chairs
Wednesday AM, April 03, 2013
Moscone West, Level 2, Room 2000
11:30 AM - A6.01
Dave Cohenrsquo;s Science
Eric A. Schiff 1
1Syracuse University Syracuse USA
Show AbstractJ. David Cohen&’s splendid scientific career ended in November, 2012, when he passed away after a long illness. He had been a physics professor at the University of Oregon in Eugene for more than thirty years. In this talk I&’ll describe some of Cohen&’s scientific passions. He was the master of defects in semiconductors and of sophisticated capacitance techniques for elucidating them. He was a veteran of amorphous silicon research, which he commenced in the late 1970s and continued to the end of his life. In more recent years Dave and his group have done seminal research on CuIn1-xGaxSe2 and several other materials as well, mostly with an eye to advancing solar electric conversion. He&’ll be remembered for his many scientific and spectroscopic contributions, which have consistent themes that spanned his entire career, and by the students and colleagues he educated and inspired.
11:45 AM - A6.02
Charge Carrier Transport in Boron Doped Solid Phase Crystallized Polycrystalline Silicon Films on Glass
Norbert Nickel 1 Lars-Peter Scheller 1 2 Markus Moser 1
1Helmholtz Zentrum Berlin Berlin Germany2Sony Deutschland GmbH Stuttgart Germany
Show AbstractCompared to amorphous silicon (a-Si) polycrystalline silicon (poly-Si) is an attractive alternative material for many electronic applications because of its larger carrier mobility and superior long term stability. Moreover, poly-Si can be fabricated on low-cost glass and plastic substrates. Depending on the desired substrate the processing temperatures are limited to values well below 600 °C. This, however, can have a significant impact on the structural and electronic properties of the poly-Si thin-films.
In this paper, the influence of boron doping on the transport properties of solid state crystallized (SSC) poly-Si is investigated. Amorphous silicon films were deposited by electron beam evaporation on Corning glass, amorphous silicon-nitride coated Borofloat glass (SiN/Borofloat glass), and SiO2. Boron doping was achieved by thermal co-evaporation of boron from an effusion cell. The boron concentration varied between 4x1014 and 2x1020 cm-3. Then the samples were crystallized in a furnace at 600 °C for 24 hours. The resulting poly-Si films were characterized using temperature dependent Hall-effect and conductivity measurements.
The Hall-effect measurements show that in all samples the hole concentration is significantly lower than the B concentration for low doping concentrations ([B] < 5x1017 cm-3). At high doping concentrations all B acceptors are activated. The Hall mobility exhibits a similar behavior. Interestingly, the lowest mobilities are observed for poly-Si on Corning substrates. For poly-Si on Corning and Borofloat glass the hole mobility is thermally activated. The samples prepared on Corning substrates exhibit an activation energy of about 0.2 eV that is independent of the doping concentration for all samples where [B] < 1018 cm-3. At higher B concentration the activation energy shows a pronounced decrease. On the other hand, for poly-Si on Borofloat substrates the activation energy increases with increasing B concentration and reaches a maximum value of about 0.16 eV at [B] = 1018 cm-3. At higher B concentrations the activation energy also shows a pronounced decrease. This indicates that charge transport is governed by thermionic emission over grain-boundary potential-barriers. A detailed analysis of the transport phenomena in these samples will be presented based on an advanced model by Baccarani et al. [1].
[1] G. Baccarani, B. Ricco and G. Spadini, J. Appl. Phys. 49, 5565 (1978).
12:00 PM - A6.03
Minority Carrier Lifetime, Oxygen Doping and Defect Density in Nanocrystalline Si:H
Vikram Dalal 1 Shantan Kajjam 1 Siva Konduri 1 Gabiden Shamshimov 2 Nail Ussembayev 2 Galymzhan Koishiyev 2
1Iowa State University Ames USA2Nazarbayev University Astana Kazakhstan
Show AbstractWe report on the relationship between minority carrier lifetime and defect density in nanocrystalline Si:H p-n junction devices. The defect density was deliberately varied between a few x1014/cm3 to >1016/cm3 by changing oxygen concentration during growth. Oxygen was introduced using a diluted oxygen/helium gas as a dopant during growth. Defect density was measured using two techniques. First, a capacitance-voltage technique was used at low and high frequencies, and low and higher temperatures. At high temperature and low frequency, C-V allows for a measurement of both deep and shallow defects as well as dopant levels whereas C-V at high frequency and low temperatures allows one to measure shallow dopant levels. In addition, we also performed capacitance-frequency measurements from 20 Hz to 1 MHz, which allowed us to measure energetic distribution of defects in the gap. We find that introducing ppm levels of oxygen increases the shallow dopant density, as well as increasing two distinct defect levels, one at 0.35 eV below the conduction band and one at 0.5-0.55 eV below the conduction band. These defect levels are in very good agreement with the literature for oxygen-induced defects in crystalline Si, thereby implying that oxygen is going into the grain itself as opposed to just at grain boundaries. The minority carrier lifetime was measured using a reverse-recovery transient technique, and shows excellent correlation with the inverse of defect density, with the curve passing through the origin. The slope of this curve allows us to determine the capture cross-section of oxygen-induced defects, and it is in the range of a few x 10-17 cm2. We also measure the lifetime vs. temperature, and an analysis of this curve also shows that the defects are in the range of 0.35-0.5 eV below the conduction band, thereby agreeing with the C-V data. We also show that addition of ppm levels of B reduces defect density and increases the lifetime. We will also report on the influence of grain size and crystallinity on defect density and lifetime.
12:15 PM - A6.04
Revealing the Origin of the Staebler-Wronski Effect: Progress in Systematic and Detailed Experimental Studies
Arno HM Smets 1 Marinus Fischer 1 Ravi A Vasudevan 1 Jimmy Melskens 1 Miro Zeman 1
1Delft University of Technology Delft Netherlands
Show AbstractTackling the Staebler-Wronski effect (SWE) in hydrogenated amorphous silicon (a-Si:H) is one of the crucial breakthroughs needed to achieve highly efficient multijunction solar cells based on thin film silicon. Revealing the origin of the metastable defects responsible for the SWE, their local environment, relation with the nanostructure and the kinetics involved is an enormous challenge. Progress in the understanding is mainly hindered due to the complex nature of the a-Si:H, i.e. the difficulty of both characterizing its nanostructure and indentifying the dominant defect configurations.
Here we discuss the progress made in detailed experimental studies of the SWE in a-Si:H solar cells using a variety of novel diagnostics tools. For the first time, interesting relations between the material nanostructure, metastable defect states and cell performances are revealed. The study is based on a systematic approach in which a large and unique set of a-Si:H solar cells with a wide variety of a-Si:H nanostructures has been analyzed. The nanostructure of the a-Si:H is well characterized using Doppler Broadening Positron Annihilation Spectroscopy and Fourier Transform Infrared Spectroscopy . These techniques show that nanostructure is determined by the distribution of the various hydrogenated volume deficiencies in the network, which can range from dominance of di-vacancies, multivacancies up to nanosized-voids.
For the first time, the evolution of the various defect states in the sub-gap of a-Si:H in real solar cells have been measured in-situ under both light-soaking and thermal annealing using a new diagnostic setup based on Fourier Transform Photoconductivy Spectroscopy. These measurements reveal the energy positions of the ‘fast&’ to ‘slow&’ meta-stable defect states in the gap. The study shows that the fast meta-stable defect states (generated with less than 10 hours of light soaking) can be selectively annealed out at temperatures around 120 C. The reduction in the fill factor due to fast states in the solar cell has a clear relation with the nanostructure of a-Si:H. As the density of nanosized voids increases, the cell performance decreases due to the fast states. This shows that the defect configurations responsible for the fast states are related to the largest volume deficiencies. In contrast, the slow defect states do not show a clear relation with the nanostructure suggesting that the spatial distribution of these defect configurations could be more isotropic.
Finally, the nature of ultra-fast metastable defect states in a-Si:H can be studied at c-Si/a-Si:H interfaces using Multi Exposure Quasi Steady State Photoconductance Decay Spectroscopy. This study demonstrates that the various ultra-fast metastable defects are charged and its generation and anneal kinetics is highly sensitive to the photon excess energy and consequently the spectral signature of the light.
The possible metastable defect configurations will be discussed.
12:30 PM - A6.05
Microstructure Characterization of Amorphous Silicon Films Measured by Effusion of Implanted Helium
Wolfhard Beyer 1 2 3 Willi Hilgers 1 Dorothea Lennartz 1 Florian Maier 3 Norbert H. Nickel 2 Frank Pennartz 1 Pavel Prunici 3
1Forschungszentrum Jamp;#252;lich GmbH Jamp;#252;lich Germany2Helmholtz-Zentrum Berlin Berlin Germany3Malibu GmbH amp; Co. KG Bielefeld Germany
Show AbstractAs it is well known, an important property of thin film silicon and related materials is the microstructure which may involve the presence of interconnected and isolated voids. To detect such voids, we employ effusion of implanted helium (He). Since He does not react with the silicon host material, the effusion curves give information on material imperfections (1). Here we report on the investigation of several series of hydrogenated and unhydrogenated amorphous silicon films prepared by the methods of plasma deposition, hot wire deposition, vacuum evaporation and others. Fairly complicated helium effusion curves with (up to) four He effusion peaks are observed in some cases, in particular for hot wire deposited material. Helium effusion up to a temperature of about 600°C is attributed to out-diffusion of helium atoms through a compact material and/or through a material with interconnected voids. Helium effusion at temperatures > 600°C is associated with the presence of isolated voids or bubbles in the as-deposited material. These empty spaces will trap diffusing helium which is then released at high temperatures due to a rising helium pressure. In general, bigger isolated voids or bubbles are expected to cause higher helium effusion temperatures. High temperature He effusion, however, is often influenced by material reconstruction and crystallization effects. While our (unhydrogenated) vacuum evaporated films show little variation of the He effusion spectra with rising substrate temperature, rather strong effects are observed for hydrogenated material, supporting that microstructure in hydrogenated amorphous silicon is strongly influenced by the presence of hydrogen. Deposition conditions leading to a minimization of microstructure will be discussed.
(1) W. Beyer, Physica Status Solidi (c) 1 (2004) 1144-1153.
12:45 PM - A6.06
Defect Tolerance in Thin Crystalline Silicon Solar Cells
Sachit Grover 1 Charles W Teplin 1 Jian V Li 1 Howard M Branz 1 Vincenzo LaSalvia 1 Pauls Stradins 1 David L. Young 1
1National Renewable Energy Lab. Golden USA
Show AbstractWe report on the defect-limited performance of ultrathin (~10 mu;m) c-Si solar cells made from epitaxial absorber layers. We have improved the quality of epi-silicon grown using hot-wire chemical vapor deposition (HWCVD) at 750 °C by reducing the hot-wire filament current. For 8 mu;m thick absorbers grown on heavily doped “dead” wafers, this has enabled heterojunction solar cells with Voc = 622 mV and efficiency = 9% without any light trapping. Despite efficient current collection, device analysis indicates that Voc is still limited by defects in the c-Si. This challenges the commonly held view that the material quality requirement in thin absorbers is governed by a fixed ratio between the absorber thickness and diffusion length.
Previously, using hydrogen-passivated epitaxial layers grown with a high filament current, we demonstrated Voc > 620 mV only for absorber thicknesses less than 2 microns. Thicker films gave reduced Voc and Jsc. However, by reducing the hot-wire filament current we are able to maintain the high Voc and improve current collection for thicker absorbers. We speculate that reducing the filament current decreases the emission of impurities from the filament, thereby improving the material quality of the absorber.
Theoretical fit to experimental quantum efficiency (QE) data indicate efficient collection in the red implying sufficient material quality for current collection. However, the Voc on these cells is still limited by 30 to 40 mV below the value expected from device simulation. Therefore, we speculate that the defects in the depletion region lower the Voc. High defect recombination in the depletion region is likely because of the equal electron and hole concentration. This interpretation is also consistent with the observed peak QE of ~85% at 550 nm.
We find that decreasing the c-Si absorber thickness from traditional wafer thicknesses (~ 100 mu;m) to ultrathin layers (~10 mu;m) does not dramatically reduce the cell&’s tolerance to defects and impurities. Contrary to previous estimates of an inverse-squared thickness dependence on impurity tolerance, our results indicate that decreasing cell thickness by 10x increases the impurity tolerance by only 10x. This dependence may also be applicable to silicon microwire cells.
We present a systematic experimental investigation into how defects in thin cells affect device performance.
Research was funded by the U.S. DOE SETP, DE-AC36-08GO28308.
Symposium Organizers
Paul Stradins, National Renewable Energy Laboratory
Akram Boukai, University of Michigan
Friedhelm Finger, Forschungszentrum Juuml;lich GmbH
Takuya Matsui, National Institute of Advanced Industrial Science and Technology
Nicolas Wyrsch, Eacute;cole Polytechnique Feacute;deacute;rale de Lausanne
Symposium Support
Ecole Polytechnique Federale de Lausanne - EPFL
Forschungszentrum Juelich GmbH
Helmholtz-Zentrum Berlin
National Renewable Energy Laboratory
Photovoltaic Power Generation Technology Research Association
A11: Nanostructured Silicon and Related Novel Materials II
Session Chairs
Thursday PM, April 04, 2013
Moscone West, Level 2, Room 2000
2:30 AM - A11.01
Silicon Nanocrystals as Downshifters and Microcrystalline Silicon Growth Templates in Silicon Solar Cells
Zachary Charles Holman 1 Jesin Wu 2 Duncan Alexander 3 David Rowe 2 Antoine Descoeudres 1 Stefaan De Wolf 1 Uwe Kortshagen 2 Christophe Ballif 1
1EPFL Neuchatel Switzerland2University of Minnesota Minneapolis USA3EPFL Lausanne Switzerland
Show AbstractParasitic absorption in the doped layers at the front of both thin-film silicon and silicon heterojunction solar cells can easily reduce short-circuit current density by more than 1 mA/cm^2. Common approaches to reduce this loss include replacing amorphous silicon with wider bandgap oxides or carbides, or with microcrystalline silicon. In the first case, however, fill factor is often compromised; in the second, an amorphous incubation layer frustrates direct microcrystalline growth.
Here, we introduce two new approaches to improve the UV and blue response of thin-film silicon and silicon heterojunction solar cells using silicon nanocrystals. In both cases, the nanocrystals are synthesized in a RF plasma using a continuous-flow reactor, and then accelerated through a slit-shaped nozzle toward a substrate where they are deposited as a porous film. In the first approach, a monolayer of silicon nanocrystals deposited on the intrinsic absorber of a thin-film cell or the intrinsic passivation layer of a heterojunction cell serves as a nucleation template for the direct growth of microcrystalline silicon. Films of silicon were deposited via plasma-enhanced chemical vapor deposition on silicon wafer and silicon dioxide (amorphous) substrates with and without nanocrystals, using high hydrogen dilution to promote microcrystalline growth. Transmission electron microscopy and Raman spectroscopy indicate that when no nanocrystals are present, there is an amorphous incubation layer several nanometers thick on the silicon dioxide substrate, and epitaxy is observed on the wafer. However, when a layer of nanocrystals covers either substrate, microcrystalline silicon with a high crystalline fraction is deposited. These layers will soon be tested in solar cells.
In the second approach, luminescent silicon nanocrystals serve as both downshifters and a second antireflection coating in silicon heterojunction solar cells. After in-flight surface treatment between synthesis and deposition, the nanocrystals absorb in the UV and efficiently emit at roughly 800 nm (with a quantum yield of >40%). When deposited on finished solar cells (this is one of the attractions of this approach), the nanocrystals shift light that would be absorbed parasitically in the transparent conductive oxide and doped amorphous silicon layers to wavelengths at which the cells have nearly 100% internal quantum efficiency. In addition, the porosity—and thus the refractive index—of the nanocrystal film can be tuned by adjusting the nanocrystal deposition conditions. With a density of approximately 30% that of bulk silicon (refractive index of 1.4) and a thickness of 105 nm, the nanocrystal film also acts as a second antireflection coating. Our initial results demonstrate that heterojunction cells with efficiencies over 20% are improved by the addition of these nanocrystal layers, and that there is potential for further gains.
2:45 AM - A11.02
Optical Properties of Alloyed Silicon Germanium Type II Clathrates
Lauryn L. Baranowski 1 Lakshmi Krishna 1 Mark Lusk 1 Adele Tamboli 1 Eric S. Toberer 1 2
1Colorado School of Mines Golden USA2National Renewable Energy Laboratory Golden USA
Show AbstractInorganic group IV clathrates are compounds which exhibit a covalent cage-like structure with various guest atoms contained within the cages. A variety of applications for the inorganic clathrates have been proposed, including hydrogen storage, thermoelectrics, and optical absorber materials. The most commonly investigated structures of the inorganic clathrates are Types I and II, with the formulas A8B46 and A24B136, respectively, where A (the guest species) is a group I element such as Na or K, and B (the framework species) is a group IV element such as Si or Ge. Although the clathrates are most often synthesized with guest species to act as templates for the cages, the guests can be removed to give a metastable guest-free clathrate. In the case of the Type II structure, guest removal transforms the compound from a metal to a semiconductor. Clathrate semiconductors represent a unique opportunity for new optoelectronic materials: they are made of earth abundant elements and have direct band gaps.
The optical properties of guest-free Type II clathrates have been investigated through modeling and experimental work. Experimentally, the silicon and germanium Type II clathrates have been shown to have bandgaps of 1.8 and 0.6 eV, respectively. Calculations (GW & DFT) suggest that the bandgap of the Type II silicon clathrate can be tuned by substituting germanium onto the framework. The bandgap scales in a linear fashion with germanium content, allowing for a bandgap range of 1.8 - 0.7 eV. Furthermore, the bandgap is predicted to be direct over the entire alloy range. This suggests the possibility of using clathrates as thin film absorber materials.
In this study, we synthesized silicon germanium alloys of Type II clathrates. Silicon and germanium were mechanically alloyed and then reacted with NaH to form alloyed zintl compounds Na4(SiyGe1-y)4. These compounds can be decomposed under temperature and dynamic vacuum to form clathrates with sodium guests. However, there is only a narrow temperature and pressure window in which the Type II is formed as the majority phase. We used a custom built cold wall reactor to reduce the sodium vapor pressure during the decomposition, which resulted in samples with greater than 90% Type II clathrate. The sodium guest atoms were removed by further heating under dynamic vacuum.
Powder samples were first characterized for phase purity using x-ray diffraction. Rietveld refinement was used in conjunction with EDX measurements to determine the sodium guest occupancy of the clathrate. With guest-free clathrates synthesized, we are pursuing measurements of the bandgap and the absorption coefficient using photoluminescence and diffuse reflectance measurements. With the achievement of thin films of these compounds, photothermal deflection spectroscopy can be used to confirm these optical properties. Electronic properties, including carrier concentration and mobility, can also be investigated using these thin films.
3:00 AM - A11.03
Network of Percolated Si Filaments in SiO2: A Nanocomposite-absorber for Thin-film PV Cells
Karl-Heinz Heinig 1 Atilla Aydinli 2 Bernd Schmidt 1 Bartosz Liedke 1 David Friedrich 1 Umit Keles 2 Ceyhun Bulutay 1
1Helmholtz-Center Dresden-Rossendorf Dresden Germany2Bilkent University Ankara 06800 Turkey
Show AbstractSi-based thin film PV cells suffer from a rather low efficiency. This leads to a relatively small market share, although their module prices are comparably low. Here, we present a novel nanostructured Si-based thin film PV cell absorber, which has the potential to increase the efficiency substantially without increasing the module costs.
Spinodal decomposition of metastable SiO into Si and SiO2 is a promising synthesis process of nanostructured Si absorbers for 3rd generation thin-film solar cells. Under appropriate conditions of SiO deposition and subsequent heat treatment, self-organization of a network of percolated Si filaments embedded in SiO2 has been achieved. The SiO layers have been produced by different techniques, sputtering, CVD and e-beam evaporation. Spinodal decomposition has been activated by Rapid Thermal Processing (RTP, several seconds), very Rapid Thermal Processing (vRTP, dwell time tens of msec), and laser annealing. If, after phase separation, the volume fraction of elemental Si exceeds ~30%, then Si forms a percolated network of Si filaments, which will be proven by the aid of Energy-Filtered Transmission Electron Microscopy (EFTEM) images. The diameters of the filaments are in the range of 2hellip;5 nm and can be tuned by the thermal treatment. Due to the small diameters, the band gap is dominated by the quantum size effect. As the wire diameters coarsens with time of heat treatment like t^1/3, and because the Si bandgap opens for nm-structures by quantum confinement, a band gap engineering for PV cell optimization becomes feasible.
This is in excellent agreement with large-scale simulations on the network formation using our 3D kinetic lattice Monte-Carlo program. Electronic band structure calculations of such nanostructured silicon will be presented too. It will be shown that up-scaling of the nanocomposite fabrication as described above to the industrial scale is feasible with available technologies.
The work performed within the project “RainbowEnergy” is supported by the German ministry BMBF and the Turkish funding agency TÜBITAK.
3:15 AM - A11.04
Semiconducting Silicon-tin Nanocrystals Synthesis by Short Pulsed Laser Ablation in Liquid Medium as an Advanced Photovoltaic Nanomaterial
Vladimir Svrcek 1 Davide Mariotti 2 Takehiko Nagai 1 Fengjiao Yu 3 Wuzong Zhou 3 Koji Matsubara 1 Michio Kondo 1
1National Institute of Advanced Industrial Science and Technology (AIST) Tsukuba Japan2Nanotechnology amp; Integrated Bio-Engineering Centre (NIBEC), University of Ulster, UK Belfast United Kingdom3University of St. Andrews St. Andrews United Kingdom
Show AbstractAlloyed silicon-based and quantum confined nanostructures can take advantage of the mature silicon technology and an established industrial infrastructure to propose a range of new optoelectronic materials. Si alloying with other materials offers the opportunity for composition bandgap tuning in silicon-compatible devices. The silicon-tin (Si1-xSnx) system is an interesting candidate as an optically active material where the concentration of Sn can be effectively used to extend the range of achievable bandgaps (i.e. decrease). However, due to the large difference in size between Si and Sn atoms and the corresponding thermodynamic instability, Si1minus;xSnx alloys are inherently metastable. Therefore up to date, the synthesis methods used for producing bulk SiSn are very limited and the literature has mainly reported Sn-rich nanocrystals with metallic behavior, which are not expected to have relevant optoelectronic characteristics and quantum confinement effects as for semiconducting nanocrystals. It is believed that semiconducting silicon-tin nanocrystals (SiSn-ncs) with quantum confinement can benefit from bandgap narrowing and consequent enhanced carrier multiplications (CM) where the creation of multiple excitons per incident photon can be observed. Due to the incorporation of Sn, the absorption edge is lowered compared with silicon nanocrystals and therefore CM is likely to become observable at smaller photon energies. This becomes particularly useful to extend the absorption range of SiSn-ncs to an important part of the solar spectrum.
While different approaches have been investigated separately to overcome silicon inherent shortcomings (e.g. quantum confinement, bulk alloyed binary systems), the combined effect of quantum confinement and alloying in a SiSn nano-system has never been assessed in the attempt of improving silicon opto-electronic properties. In this contribution the synthesis of SiSn-ncs by ns and fs pulsed laser ablation in liquid medium is proposed. The plasma generated by the laser short pulses is spatially confined in the liquid medium and is characterized by high pressure (GPa) with unique kinetic pathways for nucleation and growth of SiSn-ncs. We will report results on the synthesis and surface engineering of alloyed semiconducting SiSn-ncs with quantum confinement size effects (<10 nm). The SiSn-ncs synthesis is achieved by both short pulsed (10 ns and 90 fs) lasers with blue ablations wavelengths (< 400 nm) of the SiSn targets with Sn concentrations up to 10 %. Given the crucial role played by surface characteristics we have also studied 3-dimenstional surface engineering of SiSn-ncs utilizing a DC atmospheric-pressure plasma treatment in liquid media. We will show that surface engineering allows for tuning the surface chemistry, providing a uniform passivation layer without using any lengthy surfactants that could hinder or complicate carrier dissociation and transport, as required for photovoltaic applications.
3:30 AM - A11.05
P-type Conduction in Mixed-phase Thin Films of Nanocrystalline Germanium in Hydrogenated Amorphous Silicon
Kent E. Bodurtha 1 James Kakalios 1
1University of Minnesota Minneapolis USA
Show AbstractThere is currently great interest in the electronic properties of mixed-phase thin films consisting of hydrogenated amorphous silicon (a-Si:H) with embedded nanocrystals for applications in solar cells, thermoelectrics and thin film transistors. The mechanism for electronic conduction through these mixed-phase thin films, as the nanocrystal content is increased, is poorly understood. We have developed a novel co-deposition dual-chamber PECVD system that significantly expands the range of mixed-phase materials that can be produced. This method uses two plasma reactors, one to synthesize nanocrystals and a second one to deposit a thin film matrix, and is, in principle, capable of producing heterogeneous samples composed of any nanocrystalline material in any thin film matrix. We describe the successful synthesis of thin films consisting of germanium nanocrystals (nc-Ge) embedded in a hydrogenated amorphous silicon matrix (nc-Ge/a-Si:H), and present conductivity and thermopower measurements of these materials as a function of the density of germanium nanocrystals. The nanocrystals are 4 nm in diameter based upon X-ray diffraction measurements. The concentration of nc-Ge (XGe) in each sample is sensitive to the substrate location in the second chamber relative to the particle injection tube, where XGe is determined by Raman spectroscopy. We observe in thermopower measurements a transition in the sign of the majority carrier from n-type to p-type that is dependent on both XGe and temperature. For samples with XGe ~ 10-15%, the transition occurs below 400K, while a moderate increase in XGe to 20-25% increases the transition temperature to around 450K. The conductivity for fully n-type samples is similar to that of a-Si:H with an activation energy EA = 0.8 - 0.9 eV, while for fully p-type samples (XGe > 25%), EA = 0.5 - 0.6 eV. In the transition region between n- and p-type conduction, the conductivity is non-Arrhenius and appears to follow a power-law temperature dependence. We propose that the dominant conduction path undergoes a transition from activated conduction through the a-Si:H matrix at low XGe to percolation through interconnected clusters of germanium nanocrystals for XGe > ~25%.
This work was partially supported by NSF grant DMR-0705675, the Nanofabrication Center and the Characterization Facility at the University of Minnesota, NREL Sub-Contract XEA-9-99012-01, and the University of Minnesota.
3:45 AM - A11.06
Quantum Confined, Mixed-phase, Hydrogenated Silicon Thin Films
Jeremy D. Fields 1 2 Tianyuan Guan 1 2 Grant Klefehn 1 2 Sarah McMurray 2 P. Levi Miller 1 2 Lee Wienkes 3 Jason Trask 4 James Kakalios 3 Uwe Kortshagen 4 Mark T. Lusk 1 2 Chito Kendrick 1 2 Reuben T. Collins 1 2 P. Craig Taylor 1 2
1Colorado School of Mines Golden USA2Colorado School of Mines Golden USA3University of Minnesota Minneapolis USA4University of Minnesota Minneapolis USA
Show AbstractQuantum confined semiconductor systems receive attention in photovoltaics research and development largely due to their special optoelectronic properties, which can possibly be exploited to realize significant solar cell efficiency gains. This work reports progress developing quantum confined, mixed-phase, composite film silicon materials for modern solar cell applications. The composite material, made by specialized PECVD processing, consists of very small silicon nanocrystals embedded in a hydrogenated amorphous silicon (a-Si:H) matrix. A photoluminescence band attributed to recombination in the nanocrystals, observed with a mean energy matching the bulk crystalline silicon band-gap of about 1.2 eV, extends to about 1.4 eV in energy. Emission with energy above bulk crystalline silicon band-gap values reveals quantum confinement in the nanocrystals. This signifies the ability of a topological discontinuity - the crystalline/amorphous barrier - to produce confinement, even with only a modest band-gap disparity between the two phases (e.g. 1.2 eV for crystalline vs. ~ 1.8 eV for a-Si:H). This has advantages for charge transport, compared to other systems with wider band-gap matrices. Furthermore, this work demonstrates the ability to design composite silicon materials with tunable optoelectronic properties through the effect of nanocrystal size. Variable matrix effects on confinement strength, such as the extent of alloying with narrower/wider band-gap semiconductors and the degree of hydrogenation, are also considered. Hydrogenation in amorphous tissues near nanocrystals, and at the nanocrystal/matrix interface, plays a large role in determining the confinement strength. Finally, progress in process development is discussed, which will allow us to establish better control over the microstructure and properties of composite silicon systems to better suit PV applications.
Acknowledgements:
The authors of this work with to acknowledge support from the US Department of Energy (DOE), under award number DE-EE0005326, the Center for Advanced Solar Photophysics, an Energy Frontier Research Center funded by the DOE, Office of Science, Office of Basic Energy Sciences (BES), and the Renewable Energy MRSEC at Colorado School of Mines, under NSF contract DMR 0820518.
A12: Fabrication of Silicon Layers and Structures
Session Chairs
Thursday PM, April 04, 2013
Moscone West, Level 2, Room 2000
4:30 AM - A12.01
Removing Cu Impurities at Tops of VLS Grown Si Microwires for Enhanced Photoelectrochemical and Spectroscopic Properties
Reuben Joseph Britto 1 Shu Hu 1 Matthew Shaner 1 Shane A Ardo 1 Emily L Warren 1 Harry A Atwater 2 Nathan S Lewis 1
1California Institute of Technology Pasadena USA2California Institute of Technology Pasadena USA
Show AbstractSilicon microwires, grown from SiCl4 through the Cu-catalyzed vapor-liquid-solid (VLS) process, have been shown to reach photovoltaic efficiencies that rival many wafer-based crystalline Si technologies. The VLS growth process requires annealing of copper catalysts that are patterned on silicon wafers. Supersaturated Cu-Si catalyst droplets precipitate out of Si during growth at 1000° C and subsequent cool down leaving behind compositions that favor the formation of a Si-Cu intermetallic phase at the top of the wire. This hundreds-of-nm Si-Cu intermetallic region is difficult to remove with standard Si processing techniques, such as the Cu-specific RCA-2 etch. Here, we use a Reactive Ion Etching technique to remove the top few microns of Si at the top of n-Si microwires, thereby removing the Si-Cu intermetallic region and leaving behind a flat Si surface. Furthermore, one-electron, fast, redox species were used to form Si microwire/liquid junction devices with rectifying behaviors. These devices with the top few microns of Si removed showed improved photoelectrochemical and spectroscopic properties. The open-circuit voltage and short-circuit current density increased on average by 21 ± 19 mV and 2.76 ± 3.39 mA×cm-2 respectively. This corresponded to an increase in the photoelectrode energy conversion efficiency of about 1%. We also observed a broadband improvement in the internal quantum yield (carrier collection efficiencies) of about 20%.
4:45 AM - A12.02
Electrochemical Micromachining as an Enabling Technology for Advanced Silicon Microstructuring
Salvatore Surdo 1 Margherita Bassu 1 Lucanos M Strambini 1 Giuseppe Barillaro 1
1University of Pisa Pisa Italy
Show AbstractIn this work, it is shown that silicon microstructures and microsystems of high complexity can be effectively fabricated in any research lab with sub-micrometer accuracy and high aspect ratio values (about 100), which is well beyond any up-to-date wet or dry microstructuring approach, using a wet etching, low-cost technology, namely silicon electrochemical micromachining (ECM).
ECM technology capitalizes on the experimental and theoretical results reported in the literature over the last two decades on back-side electrochemical etching of silicon in HF-aqueous electrolytes. A novel dynamic control of the electrochemical etching anisotropy (from 1 to 0) as the etching progresses allows the silicon dissolution to be switched in real-time from the anisotropic to the isotropic regime and enables advanced silicon microstructuring to be achieved through the use of high-aspect-ratio functional and sacrificial structures, the former being functional to the microsystem operation and the latter being sacrificed for accurate microsystem fabrication.
As case of study, the fabrication of monolithic silicon microgrippers, electrically actuable by means of comb-finger batteries driving a spring system, and optical accelerometers, which can be addressed by on-chip optical fibers, is here reported and discussed.
5:00 AM - A12.03
Ultrathin Silicon Fabrication, Light Trapping and Solar Cells
Shuang Wang 1 SangMoo Jeong 1 Yanbin Li 2 Ben Weil 3 Yi Cui 3
1Stanford University Stanford USA2University of Science and Technology Hefei China3Stanford University Stanford USA
Show AbstractDeveloping thin monocrystalline Si PV technology is very attractive because it has the potential to achieve the cost reduction target by lowering the materials cost and increasing the manufacturing throughput. It can also potentially decrease the installation cost since thin Si can be transferred to low-cost, flexible substrates. There have been a number of studies on this technology, which shows the promising of the thin Si cells. However, how to fabricate large-scale ultrathin Si cells with thickness < 10um still remains to be addressed. Here we developed manufacturing processes to produce large-scale ultrathin solar cells.
We obtained 4-inch-wafer-size ultrathin Si films by KOH etching method. The color of the thin films under backside illumination changes from dark red to orange and yellow, as the thickness decreases from 10um to 2um. The thin films are foldable and have very good flexibility. They can be cut into square, triangle, and hexagon shapes using shears. They can be well handled during the device fabrication processes. We studied the light trapping effect in the ultrathin films by fabricating nanotextures on both top and bottom surfaces. Large light absorption enhancement has been obtained with the sharp-cone array on the top surface to reduce light reflection. The nano-dome array on the bottom also shows light trapping effect, further enhancing light absorption, especially in thinner films. We also developed manufacturing processes to fabricate solar cells using these ultrathin free-standing Si films.
5:15 AM - A12.04
Low Temperature Annealing of Inkjet-printed Silicon Thin-films for Photovoltaic and Thermoelectric Devices
Etienne Drahi 1 Anshul Gupta 1 Sylvain Blayac 1 Sebastien Saunier 2 Laurent Lombez 3 Marie Jubault 3 Gilles Renou 3 Patrick Benaben 1
1Ecole Nationale Supamp;#233;rieure des Mines de Saint Etienne / Center of Microelectronics in Provence Gardanne France2Ecole Nationale Supamp;#233;rieure des Mines de Saint Etienne / Materials Science amp; Structures Saint Etienne France3Institut de Recherche et Damp;#233;veloppement sur lamp;#8217;Energie Photovoltaamp;#239;que (IRDEP), UMR 7174, EDF-CNRS-Chimie Paristech Chatou France
Show AbstractSilicon nanoparticles are novel materials that open a way towards new applications and fabrication processes. Their low thermal conductivity allow a strong increase of the figure of merit (ZT) and their use in thermoelectric applications [1]. In photovoltaic solar cells, their photoluminescence properties and specific absorption made them very interesting materials for spectral converters [2] and tunable absorbers [3] respectively. Those first results have been obtained through expensive vacuum-based processes or by powder metallurgy methods that are difficult to apply to microelectronic devices and thin film materials. More recently, solution-deposition processes have been seen as a very promising way to deposit and pattern silicon nanoparticles with low costs on both large area and at the micrometer scale [4].
Commercial silicon nanoparticles (20-150 nm) obtained by chemical route and dispersed in ethylene glycol have been inkjet-printed on quartz substrates and different electrodes (Mo, Al and ZnO:Al). Substrates surface energies have been tailored through chemical treatments for ink spreading control and printing resolution enhancement. A drying step (~150 °C) under vacuum has been determined to be crucial for continuity and homogeneity of the printed thin films.
An annealing step is mandatory to restore functional properties such as electrical conductivity. The use of nanosized particles allows reduction of melting temperature [5] and therefore sintering temperature and processing times can be strongly reduced. By thermal annealing under nitrogen atmosphere first sintering steps have been observed by SEM between 600 and 700 °C. Around 800 °C, which is special glass compatible, both SEM and Raman analysis show strong morphology changes.
In the aim to use low cost substrates such as glass, sintering temperatures have to be reduced. Innovative sintering method such as Rapid Thermal Annealing or Microwave Annealing (2.45 GHz) have been applied and are compared to thermal annealing in terms of transmittance, conductivity and morphology.
Finally, thermal conductivities of the printed thin films have been evaluated by Raman analysis as a function of the sintering process (2-50 W/mK) and complete photovoltaic devices have been fabricated by annealing an inkjet-printed silicon thin film on a bottom electrode and depositing a top electrode on it.
[1] S.K. Bux, R.G. Blair, P.K. Gogna, H. Lee, G. Chen, M.S. Dresselhaus, R.B. Kaner, J.-P. Fleurial, Adv. Func. Mat. 19 (2009) 2445-2452.
[2] V. Scaron;vr#269;ek, A. Slaoui, J.-C. Muller, Thin Solid Films 451-452 (2004) 384-388.
[3] S. Park, E. Cho, X. Hao, G. Conibeer, M.A. Green, in:, Conf. on Optoelect. and Microelect. Mat. and Dev., 2008, pp. 316-319.
[4] H. Antoniadis, High Efficiency , Low Cost Solar Cells Manufactured Using “ Silicon Ink ” on Thin Crystalline Silicon Wafers High Efficiency , NREL 2011.
[5] A.N. Goldstein, C.M. Echer, A.P. Alivisatos, Science 256 (1992) 1425-1427.
5:30 AM - A12.05
Plasma-surface Interaction during Microcrystalline Silicon Thin Film Growth in the High Pressure Depletion Regime
Erwin Kessels 1 2 Jurgen Palmans 1 Aad Gordijn 3 Mariadriana Creatore 1 2
1Eindhoven University of Technology Eindhoven Netherlands2Solliance Eindhoven Netherlands3Forschungszentrum Jamp;#252;lich GmbH Jamp;#252;lich Germany
Show AbstractManufacturing of thin-film tandem solar cells involve the deposition of hydrogenated microcrystalline silicon (mu;c-Si:H) films for which large area processing and high deposition rates are key requirements. These requirements can be met when operating the H2-SiH4 plasma in parallel plate capacitively coupled plasma reactors in the so-called high pressure depletion (HPD) regime. This latter involves high plasma power densities (~0.5 W/cm2) and high pressures (~10 Torr) enabling high deposition rates through virtually full SiH4 depletion. In this contribution the plasma-surface interaction during µc-Si:H film growth in the HPD regime will be addressed by relating results from several plasma diagnostics with the material properties obtained for various SiH4 concentrations in H2 (0.2 - 2 %) at a gas pressure of ~10 Torr and a power density of 0.5 W/cm2. The experiments have been carried out in a new plasma reactor which can easily be equipped with many plasma and surface diagnostics and which, for the rest, is similar to a plasma reactor at the Forschungszentrum Jülich. In particular a capacitive probe and retarding field energy analyzer have been implemented in the grounded electrode of this plasma reactor in order to measure the flux and energy of the ions arriving at the film surface. It is found that the ion flux is in the order of 1015 cm-2s-1 whereas the ion energies are limited to less than 19 eV (measured in a non-depositing H2 plasma). This means that the ions account for ~30% of the Si growth flux whereas less than 6 eV of energy is available per Si atom deposited. These and other results from for example optical emission spectroscopy will be compared to plasma, material and solar cell results previously obtained under similar HPD conditions (Dingemans et al., Appl. Phys. Lett. 93, 111914 (2008)).
5:45 AM - A12.06
Magnetically Guided Silicon Shaping and Slicing
Tae Kyoung Kim 1 Young Oh 1 Chulmin Choi 1 Li-Han Chen 1 Sungho Jin 1
1University of California, San Diego La Jolla USA
Show AbstractSilicon is one of the most important materials for modern electronics, telecom and photovoltaic (PV) solar cells. With the rapidly expanding use of Si in the global economy, it would be highly desirable to reduce the overall use of Si material, especially to make the PVs more affordable and widely used as a renewable energy source. Here we report first successful magnetically direction-guided, designable micro/nano shaping of silicon, the intended direction of which is dictated by an applied magnetic field. In this talk, magnetically direction-guided, catalytic Si etching and shaping will be described. Micrometer-thin, massively parallel silicon sheets, very tall Si microneedles, zig-zag-bent Si nanowires, linear and bent microholes through Si wafers, tunnel-drilling into Si substrates have all been demonstrated.[1] The technique, utilizing narrow array of Au/Fe/Au tri-layer etch-lines, is particularly effective in producing an order of magnitude thinner Si wafers for photovoltaic solar cells and electronic devices, with less than ~20 um thickness slicing loss (kerf loss), significantly less than ~200 um thick wire-saw cutting loss of Si per slicing. Such enabling of thinner Si use combined with substantially reduced kerf loss could lead to more cost-effective solar cell fabrications. These guided Si shaping including zig-zag bent Si sheets or bent Si microwires will be stimulating for research and development toward a variety of novel electronic, thermal, photonic, and energy devices. Curved tunnel geometry Si can be useful for microfuel cells and other unique devices. Thin microsheet geometry can be utilized for flexible Si circuit devices.
1. Y. Oh, C. Choi, D.H. Hong, S. D. Kong, S. Jin, Nano Lett. 12(4), 2045 (2012)
A13: Poster Session: Solar Cells
Session Chairs
Baojie Yan
Sachit Grover
Hitoshi Sai
Thursday PM, April 04, 2013
Marriott Marquis, Yerba Buena Level, Salons 7-8-9
9:00 AM - A13.01
High-efficiency a-Si:H/mu;c-Si:H Tandem Solar Cells Achieved by Employing mu;c-SiOx:H as n-Type Layer and Back TCO Replacement
Shin-Wei Liang 1 Cheng-Hang Hsu 1 Yi-Ping Lin 1 Yi-Wen Tseng 1 Chuang-Chuang Tsai 1
1National Chiao Tung University Hsinchu Taiwan
Show AbstractIn the applications of silicon thin-film solar cells, the most popular stacked cell configuration is the a-Si:H/mu;c-Si:H tandem which consists of a higher bandgap amorphous silicon (a-Si:H) top cell and a narrower bandgap microcrystalline silicon (mu;c-Si:H) bottom cell [1]. In order to reduce the light induced degradation in a-Si:H top cell and the long deposition time of mu;c-Si:H bottom cell, the thickness of a-Si:H and mu;c-Si:H absorbers have to be kept reasonably thin while provide sufficient photocurrent. In order to obtain sufficient bottom cell current, an effective approach is the use of a highly reflective back reflector (BR) containing a TCO and a metal contact. However, an ex-situ sputtering step for TCO is needed. The capability of n-type hydrogenated microcrystalline silicon oxide (mu;c-SiOx:H) as an alternative to n-layer and back TCO in a-Si:H solar cells was presented [2]. In this work, we used the mu;c-SiOx:H as a replacement for n-layer and back TCO in mu;c-Si:H bottom cell. In contrast to the standard cell structure (p-i-n/TCO/Ag), the cell using mu;c-SiOx:H(n) as the n-layer and back TCO replacement (p-i/mu;c-SiOx:H(n)/Ag) showed even better performance. In addition, all PECVD process for p-i-n layers and back TCO can result in process simplification and better interface quality by eliminating sputtering step.
The deposition process was conducted in a single-chamber 27.12 MHz PECVD system equipped with an in-situ cleaning. The doped and undoped silicon thin films were deposited on the textured SnO2:F coated glass substrates in a superstrate configuration. The oxygen incorporation in mu;c-SiOx:H films was originated from the dissociation of CO2 with highly H2-diluted SiH4. Electrical and optical measurements were carried out to investigate the conductivity, the bandgap and the reflectivity of the mu;c-SiOx:H layer. The crystalline volume fraction (XC) was examined by a Raman Spectroscopy. The solar cells were characterized by an AM1.5G illuminated J-V measurement system and a quantum efficiency (QE) instrument.
The effects of different deposition conditions on the properties of mu;c-SiOx:H were investigated. The resulting conductivity of the mu;c-SiOx:H film was decreased from 5.31 to 3.41×10-9 S/cm as the O-content increased from 3.5% to 37.3%. Considering the bandgap, refractive index and conductivity, the single-junction mu;c-Si:H thin-film cell using mu;c-SiOx:H(n)/Ag BR exhibited an efficiency of 6.35%. Compared to the standard cell structure (p-i-n/TCO/Ag), the improvements of the JSC, FF and cell efficiency were originated from the increased absorption and better interface contact. Moreover, the conversion efficiency of a-Si:H/mu;c-Si:H tandem thin-film solar cell using mu;c-SiOx:H/Ag back reflecting structure was improved to 10.15%, with JSC=10.43 mA/cm2, VOC=1.29 V, and FF=75.41%. More details about the improvement will be discussed.
1. J. Meier et al., Appl. Phys. Lett. 65, 860 (1994)
2. Y.P. Lin et al., Proc. of 27th EU PVSEC, 2689 (2012)
9:00 AM - A13.02
A Novel Vertical PN Junction Based on the Impurity Photovoltaic Effect for the Enhancement of Ultra-thin Film Silicon Solar Cells
Dixon Javier Paez 1 Edgar Huante-Ceron 1 Andrew P. Knights 1
1McMaster University Hamilton Canada
Show AbstractWe report the study of the influence of indium as an impurity on ultra-thin film (2.5mu;m c-Si thick) silicon solar cells. The design of the cell reported here is such that it should elucidate the impact of indium dopant which is concentrated in the thin film. Indium, a deep level in silicon (0.15eV above the valence band), acts as a p-type dopant and as a sensitizer. Absorption through sub-bandgap transitions is expected based on the previously proposed Impurity Photovoltaic (IPV) Effect [M. J. Keevers, et al., J.Appl.Phys. 75(8):4022-4031, 1994]. It is assumed that the implementation of a novel vertical PN junction configuration and the IPV effect enhances the efficiency of ultra-thin solar cells. The most efficient cell fabricated to date has a conversion efficiency of 4.3%, a short-circuit current density of 14.9mA/cm2 and an open-circuit voltage of 0.51V under 1 sun illumination. The cell has not been optimized with any type of light trapping technique and 11.24% is covered by the metal contacts. We will present results which will quantify the IPV effect for indium and show the impact of the variation of inter-digitated electrodes in thin film geometry. We will also discuss how this design may be optimised and used in conjunction with a deposited poly-silicon technology.
9:00 AM - A13.03
Impact on Thin Film Silicon Properties and Solar Cell Parameters of Texture Generated by Laser Annealing and Chemical Etching of ZnO:Al
Erik Johnson 1 Antoine Michel 1 Rym Boukhicha 1 Jean-Francois Lerat 2 Thierry Emeraud 3 Pere Roca i Cabarrocas 1
1Ecole Polytechnique Palaiseau France2EXCICO France SAS Gennevilliers France3EXCICO Group NV Hasselt Belgium
Show AbstractThe use of a laser annealing and texturing process (dubbed the LaText process) on sputtered ZnO:Al has been shown to generate unusually high haze properties, favorable for thin film silicon solar cells. This is likely due to the melting of the ZnO:Al layer by the XeCl laser resulting in the formation of crystalline domains on the surface, for which the grain boundaries and surface are etched at different rates. This unusual surface morphology produced through this process can strongly impact the nature of the amorphous or microcrystalline silicon material deposited thereupon. In this paper, we report on results on these two material systems. For amorphous silicon devices, the surface texture is seen to slightly increase the light absorption in the material, but more interestingly, also it also decreases the light-induced degradation of the cells. For cells of equal thickness, devices deposited on surfaces with the characteristic "LaText" morphology undergo a lesser degradation, and reach a higher stabilized efficiency. For microcrystalline silicon devices, a more pronounced increase in light absorption is observed, but in this case, a decreased electrical performance (fill factor and open circuit voltage) often accompanies the increased light absorption (and higher short circuit current density). We observe that this degradation coincides with a notable shift in the Raman scattering peak. This provides a rapid diagnostic for testing multiple textures and deposition parameters.
9:00 AM - A13.04
Effect of Light Exposure and Annealing on the Effective Lifetime of a-Si:H Passivated c-Si Wafers
Bill Nemeth 1 Chanse Hungerford 2 Qi Wang 1
1NREL Golden USA2University of Rochester Rochester USA
Show AbstractWe study the effective minority carrier lifetime changes against prolonged light exposure and annealing in hydrogenated amorphous Si (a-Si:H) passivated high quality (100) oriented n-type and p-type float-zone wafers. Before light soaking with 1 sun Xe lamp, a-Si:H passivated wafers were annealed at 230°C in air for 30 min. Both wafers have an initial lifetime greater than 2 ms after the first annealing. We observe a rapid decline in n-type wafer lifetime under light exposure. This effect is similar to that reported by De Wolf [1]. The n-type wafer lifetime continues to decrease and begins to saturate after 30 min of light exposure. Annealing the degraded wafer at 230°C recovers and surpasses the original peak lifetime with time. We repeat this light soaking and annealing experiment to demonstrate effective lifetime recycling. We observe no degradation of the effective p-type wafer lifetime after 4 min of light exposure; however, after longer soaking times slower effective lifetime decay is present. Annealing a-Si:H passivated wafer increases the lifetime for both types of wafers. However, the annealing recovery behavior seems independent of the light induced degradation. The mechanism of the light induced degradation and recovery for both types of wafer will be discussed. This work was supported by the U.S. Department of Energy under contract DE-AC36-08-GO28308.
[1] Stefaan De Wolf, Bénédicte Demaurex, Antoine Descoeudres, and Christophe Ballif, “Very fast light-induced degradation of a-Si:H/c-Si(100) interfaces,” Phys. Rev. B 83, 233301 (2011).
9:00 AM - A13.05
Fabricating Thin Film Solar Cells on Cheap and Light-weight Substrates
Chi Hwan Lee 1 Dong Rip Kim 2 In Sun Cho 1 Nemeth William 3 Qi Wang 3 Xiaolin Zheng 1
1Stanford University Stanford USA2Hanyang University Seoul Republic of Korea3National Renewable Energy Laboratory Denver USA
Show AbstractThin film solar cells (TFSCs) fabricated on cheap and light-weight substrates can not only reduce the substrate cost and weight but also enable powering flexible electronics. However, many cheap, light-weight and flexible substrates, such as paper, cloth, metal foil, and rubber, cannot be used for TFSC fabrication because these substrates are not compatible with the existing TFSC fabrication process (e.g., process temperature and chemicals, surface flatness and roughness for handling, etc.). Herein, we present a novel transfer printing method that peels the fully fabricated TFSCs from their original fabrication substrate and then attaches the peeled-off TFSCs onto virtually any substrates regardless of materials, flatness and rigidness. Thereby, our approach circumvents the above fabrication challenges associated with cheap and light-weight substrates. With this transfer printing method, we transfer hydrogenated amorphous silicon (a-Si:H) TFSCs from Si wafer to paper, plastics and metal sheets. Importantly, the average solar cell efficiency of 7.5 + 0.5% remains the same after the transfer, indicating that the transfer process has negligible impact on the solar cells. We believe that the transfer printing process represents a significant different approach to further lower the substrate cost and weight as well as broaden choice of the TFSC substrates, which enables significant extension of their applications to portable power supplies, wearable electronics, through aerospace applications.
A14: Poster Session: Defects and Transport
Session Chairs
Baojie Yan
Sachit Grover
Hitoshi Sai
Thursday PM, April 04, 2013
Marriott Marquis, Yerba Buena Level, Salons 7-8-9
9:00 AM - A14.01
A Systematic Annealing Study of the Nanostructure and Defect States of Various Types of Intrinsic Hydrogenated Amorphous Silicon
Jimmy Melskens 1 Arno H.M. Smets 1 Marc Schouten 1 Stephan W.H. Eijt 2 Henk Schut 2 Miro Zeman 1
1Delft University of Technology Delft Netherlands2Delft University of Technology Delft Netherlands
Show AbstractThe limited understanding of the defect states and their relation to the nanostructure of hydrogenated amorphous silicon (a-Si:H) has led to a wide variety of models that try to describe the light-induced metastability of a-Si:H, the so-called Staebler-Wronski effect (SWE). None of these models have succeeded in providing convincing experimental evidence for their correctness, but it is clear that hydrogen (H) plays an important role in the nanostructure and the nature of metastable defects.
In this contribution we systematically investigate the relation between nanostructure and native defects by manipulating both properties using a thermal annealing treatment and we discuss which model best describes the a-Si:H nanostructure. The nanostructure and distribution of defect states in a-Si:H are monitored using a unique combination of material characterisation techniques, such as Doppler broadening positron annihilation spectroscopy (DB-PAS) [1], Fourier Transform Infrared (FTIR) spectroscopy [2], and Fourier Transform Photocurrent Spectroscopy (FTPS) [3].
DB-PAS and FTIR spectroscopy are used to identify the dominant type of open volume deficiency for different a-Si:H nanostructures [1]. The dominant open volume deficiency in intrinsic a-Si:H deposited with different H dilution values is monitored as a function of the annealing temperature Ta. In addition, the defect distributions in the a-Si:H band gap are monitored as a function of Ta using FTPS [4].
It is shown that the divacancy is the dominant open volume deficiency in all a-Si:H films considered here, while the average size of all volume deficiencies in the material becomes smaller with increasing H dilution. This suggests that the size distribution and H-passivation degree of vacancies plays an important role in the nanostructure. Further, during annealing up to Ta = 400 °C divacancies agglomerate into larger volume deficiencies.
In addition, at least four defect distributions have been measured in the a-Si:H band gap of the as-deposited films. The most unstable defect states are located nearest the conduction band edge. During annealing the local mass density of the silicon matrix increases and additional defect states appear. The presence of different vacancies and at least four defect states indicates that not only dangling bonds are the dominant defects in a-Si:H. This suggests that not fully H-passivated vacancies with possible charged states (1+ / 0 / 1- / 2-) significantly contribute to the defect states in a-Si:H as well.
[1] J. Melskens, A. H. M. Smets, S. W. H. Eijt, H. Schut, E. Brück, and M. Zeman, J. Non-Cryst. Sol. 358, 17 (2012) 2015.
[2] A. H. M. Smets and M. C. M. van de Sanden, Phys. Rev. B 76 (2007) 073202.
[3] M. Vanecaron;#269;ek and A. Poruba, Appl. Phys. Lett. 80, 5 (2002) 719.
[4] J. Melskens, A. H. M. Smets, M. Schouten, S. W. H. Eijt, H. Schut, and M. Zeman, dx.doi.org/10.1109/jphotov.2012.2226870.
9:00 AM - A14.02
Effect of Light Induced Degradation on Nanoscale Charge Transport in Hydrogenated Nanocrystalline Silicon Studied by Current Sensing Atomic Force Microscopy
Rubana Bahar Priti 1 Pavel Dutta 2 Sandeep Mahat 1 Venkat Bommisetty 1
1South Dakota State University Brookings USA2University of Houston Houston USA
Show AbstractHydrogenated nanocrystalline silicon (nc-Si:H) based alloys have strong potential in cost effective and flexible photovoltaics. Several recent studies suggest that light socking generates metastable defects in nc-Si:H, preferentially around grain/ grain-boundary (GB) interface. These defects result in light induced degradation (LID), which limits the solar cell efficiency by over 15%. However, the location of these defects and their optoelectronic properties are still under debate. Conventional characterization techniques can measure transport properties such as electrical conductivity or carrier mobility averaged over a large sample volume. The nanoscale variation in the electrical conductivity of RF sputtered nc-Si:H films was measured in as-deposited and light soaked samples using a custom-designed scanning probe microscopy tool installed in an environment controlled glove-box. Light soaking for 12 hours resulted in significant changes in the surface microstructure and topography. AFM topographs and corresponding CS-AFM current-maps suggest a strong correlation between surface morphology and nanoscale electronic properties. The current distribution plots before and after light soaking show ~ 40% decrease in the conductivity of the sample in the lateral direction (from 0.22 pA to 0.13 pA). Moreover, light soaking results in significant decrease in the current modulation at grains and GBs. The decrease in local current is attributed to metastable defects created due to light soaking, particularly at GB regions. Therefore, GBs are assumed to play a critical role to hinder the nanoscale charge transport pathway in this material. These results suggest that the dominant route of charge transport in nc-Si:H is through the crystalline grain interiors while grain boundaries are highly resistive.
9:00 AM - A14.03
Temperature Dependence of 1/f Noise and Electrical Resistivity Measurements on p-type a-Si:H Devices
V. C. Lopes 1 E. Hanson 1 K. Shrestha 1 C. L. Littler 1 A. J. Syllaios 1
1University of North Texas Denton USA
Show AbstractWe report on electrical resistivity and noise measurements made on p-type a-Si:H material. Measurements were made at temperatures ranging from approximately 290°K to 320°K on devices fabricated on as grown boron doped p-type a-Si:H. The room temperature 1/f noise was found to be proportional to the bias voltage and inversely proportional to the square root of the device area. As a result, the 1/f noise can be described by Hooge&’s empirical expression[1]. Per the Hooge model, the 1/f noise is related to the total number of carriers. However, the 1/f noise was found to be independent of temperature in this range, even though the device resistance changed by a factor of approximately 2.5. We conclude that the a-Si:H carrier concentration does not change appreciably with temperature, and thus the resistance change in this temperature range is due to the temperature dependence of the hole mobility. Our interpretation is consistent with the temperature dependent hole mobility measurements by Schiff [2], Dinca et al. [3], and Brinza et al. [4], and model of Schiff [2]. Our results are applicable to a basic understanding of the noise and conductivity requirements for a-Si:H materials used for microbolometer ambient temperature infrared detection. 1. F. N. Hooge, IEEE Trans Elect Dev, 41, 1926 (1994). 2. E. A. Schiff, Mat. Res. Soc. Symp. Proc., Vol. 1153, 2009, 1153-A15-01. 3. S. Dinca, G. Ganguly, Z. Lu, E. A. Schiff, V. Vlahos, C. R. Wronski, and Q. Yuan, Mat. Res. Soc. Symp. Proc., Vol. 762, 2003, A7.1.1. 4. M. Brinza and G. J. Adriaenssens, Journal of Optoelectronics and Advanced Materials, Vol. 7, February 2005, p.73-81.
This work was done under the ARO grant W911NF-10-1-0410, William W. Clark, Program Manager.
9:00 AM - A14.04
Study of Surface Passivation of CZ c-Si by PECVD a-Si:H Films; A Comparison between Quasi-steady-state and Transient Photoconductance Decay
Omid Madani Ghahfarokhi 1 Karsten von Maydell 1 Carsten Agert 1
1Next-Energy Oldenburg Germany
Show AbstractSilicon heterojunction solar (SHJ) cells are known as high efficiency solar cells. Thanks to the excellent surface passivation of the intrinsic amorphous silicon (a-Si:H), high open circuit voltage (Voc) for SHJ devices can be achieved [1]. For the research purpose, double side polished high quality float zone (FZ) wafers are mainly used. However, due to the high cost of FZ wafers, Czochralski (CZ) mono-c-Si are mostly used for the production. This highlights the importance of the passivation study on the CZ wafers to improve the Voc and hence the efficiency of the solar cells. In this report, we address the effect of plasma parameters, namely, hydrogen flow, power, pressure, electrode distance and temperature on the passivation of non-polished low lifetime CZ wafers. For the passivation studies, we deposited intrinsic a-Si:H layers of 18 ± 3 nm on both side of 180 µm thick n-type CZ c-Si wafers. Effective minority carrier lifetime were measured as deposited and also after an annealing step in both quasi-steady-state (QSS) and transient mode of photoconductance technique [2]. The results of this study show that onset of epitaxial growth occurs at the same hydrogen dilution for both polished and non-polished wafers. By increasing the power, the epitaxial growth shifts to higher hydrogen dilution regime, mostly, due to the higher silane dissociation fraction. However, by applying higher power, the ion bombardment is also increased which is detrimental to the passivation quality. For all free-epitaxial monitored samples, higher lifetime in QSS than transient mode were measured. This condition was contrariwise in case of samples with partial epitaxial growth. Some studies suggest that the discrepancy in QSS and transient mode which has been observed for some combination of passivation layers and wafer types is due to the different interface thicknesses monitored in each mode [3]. We attribute the higher measured lifetime in QSS than transient to higher defect density in the bulk of CZ c-Si wafers. In case of partial epitaxial grown samples, the higher lifetime which is measured in the transient mode is in agreement with slightly weighted of this mode towards the centre lifetime of wafer. Finally, we have modelled the a-Si:H/c-Si interface theoretically based on amphoteric nature of the dangling bonds. The results show that while in case of FZ c-Si recombination in the bulk can be neglected, for CZ wafer the recombination in the bulk and especially the defect lifetime for a good fit should be considered. This modelling shows that there is a direct relation between the lifetime and the density of states and confirms the idea of chemical passivation through suppression of the defect states at the interface. [1]- T. Mishima, M. Taguchi, H. Sakata and E. Maruyama, Sol. Enrg. Mat. Sol. C. 95, 18 (2011). [2]- R. Sinton and A. Cuevas, Appl. Phy. Lett. 69, 2510 (1996). [3]- S. Olibet, E. V. Sauvain and C. Ballif, Phys. Rev. B 76, 035326 (2007)
A15: Poster Session: Crystallization
Session Chairs
Baojie Yan
Sachit Grover
Hitoshi Sai
Thursday PM, April 04, 2013
Marriott Marquis, Yerba Buena Level, Salons 7-8-9
9:00 AM - A15.02
Investigation of Surface and Interfacial Melting in Pulsed-laser-irradiated Si Films on SiO2
Jin J. J. Wang 1 Alexander B. Limanov 1 Ying Wang 1 Adrian M. Chitu 1 James S. Im 1 2
1Columbia University New York USA2College of Engineering, Korea Advanced Institute of Science and Technology Daejeon Republic of Korea
Show AbstractKnowing exactly how melting initiates and spatiotemporally evolves is essential in understanding the pulsed-laser-induced crystallization of thin Si films on SiO2. The extent of melting, for instance, determines the basic solidification scenario through which the final microstructure is determined (i.e., regrowth from surviving solid seeds in the case of partial or near-complete melting of the films, and growth from nucleated solids in the case of complete melting of the films). In particular, the transient and location-specific details associated with how the liquid layer initiates and propagates from the regions of high excess free energies are important in understanding the evolution of the film&’s polycrystalline microstructure in the excimer-laser annealing (ELA) and advanced ELA (AELA) crystallization techniques; where, for example, the average grain size increases with repeated irradiation only because some grains are fully melted away while the other grains survive the melting process.
We have started a set of experiments in order to better characterize and decipher the melting part of the transitions; in this paper, we present the results obtained from irradiating 50-nm-thick a-Si films on glass and quartz substrates from the back/substrate-side of the films (XeCl excimer laser, 308-nm wavelength, and 300-ns FWHM pulse duration). By comparing the observed data (as revealed by surface-side and interface/substrate-side transient reflectance analysis (STR and ITR, respectively)) obtained from dehydrogenated PECVD a-Si samples irradiated in air to the same films irradiated in vacuum after the samples were BHF-etched, we experimentally show that melting of free surface (i.e., Si-vacuum surface) must proceed more promptly at a lower temperature than interfacial melting proceeding at the Si-SiO2 interface.
The above conclusion is reached by analyzing the TR signals that reveal drastically different ITR and STR signatures for these two cases (i.e., BHF-etched sample back-side irradiated in vacuum vs. non-etched sample back-side irradiation in air). The signals indicate that melting initiates at the surface only if the native oxide layer is removed; otherwise, melting is found to initiate at the substrate-Si interface. This, in turn, implies that melting at the free surface must proceed at a lower temperature than at the Si-SiO2 interface, since the removal of the ultra-thin native oxide layer should otherwise have negligible thermal and mechanical effects. We discuss how such a conclusion can be reached based on considering thermal evolution of the films (i.e., energy deposition profile and transient heating of the films), and further that the finding is consistent with what can be expected from fundamental thermodynamic considerations involving surface and interfacial energies. We also point out why this finding represents an essential first step in figuring out important melting-related details in ELA and AELA.
9:00 AM - A15.03
Lateral Growth of Single-Crystalline Silicon Planar Films on SiO2 Using VLS Techniques
Jerome LeBoeuf 1 Nathaniel Quitoriano 1
1McGill University Montreal Canada
Show AbstractUtilizing Vapor-Liquid-Solid (VLS) techniques with microfabricated micro-crucibles of SiO2 we have laterally grown single-crystalline silicon films over SiO2 substrates from nucleated silicon crystallites. With progress continuing, thus far we have achieved areas of single-crystalline silicon planar films on SiO2 greater than 10 µm2. Micro-crucible dimensions range from 100-200 nm high, 5-50 µm wide and tens of microns long. The crucible is partially filled with gold at one end while the other is open to a SiH4 ambient at temperatures ranging from 400-500 °C. Silicon nucleation and crystal growth within the gold is induced when the SiH4 diffuses down the crucible length and cracks at the gold surface. Lateral growth is achieved through confining the crystal growth within the micro-crucible and is reminiscent of the Bridgman-Stockbarger technique. We have measured and controlled the growth rates and have observed a preferred growth orientation for the laterally-grown films. The fabrication of the micro-crucible structures as well as the growth mechanisms associated with this process, including: SiH4 gas delivery to the gold catalyst, silicon crystal nucleation from gold-silicon solutions and size/geometric constraints of planar crystals within the micro-crucibles will be discussed.
9:00 AM - A15.04
Strongly (111)-oriented Polysilicon Thin Films on Glass Substrate Using Self-limiting Nickel Oxide Catalyst Buffer Layer
Wenxu Xianyu 1 Wooyoung Yang 1 Yongyoung Park 1 Jeongyub Lee 1 Sunghee Lee 1 Junho Lee 1 Changyoul Moon 1
1Samsung Advanced Institute of Technology, Samsung Electronics Yongin-si Republic of Korea
Show AbstractThe metal-induced crystallization (MIC) of amorphous silicon thin films was produced by nickel oxide catalyst buffer layer. Amorphous silicon and nickel oxide films have been obtained by plasma-enhanced chemical vapor deposition (PE CVD) and plasma-enhanced atomic layer deposition (PE ALD) at low temperature (350°C), respectively. Effects of nickel/oxygen ratio and film thickness in nickel oxide films on the nickel induced crystallization of amorphous silicon thin films at various temperatures have been investigated. The nickel induced significant crystallization behavior is observed in amorphous silicon at 450°C. Even monolayer of nickel oxide buffer layer was enough to crystallization the amorphous silicon thin films. High-resolution transmission electron microscopy and selected-area electron diffraction showed that strongly (111) oriented polysilicon is formed directly on the SiO2/glass substrate. Our results suggest that the nickel oxide layer is useful in providing a self-limiting nickel catalyst source for induce of high crystallinity and low metal contamination of (111) oriented polysilicon thin films on glass substrate for high performance polysilicon TFTs.
9:00 AM - A15.05
Ni Silicide Formed at Room Temperature Induced Crystallization
Chang Woo Byun 1 Min Sun Kim 2 Se Wan Son 1 Yong Woo Lee 1 Seung Ki Joo 1
1Seoul National University Seoul Republic of Korea2Korea Electronics Technology Institute Seoul Republic of Korea
Show AbstractLow temperature polycrystalline silicon (LTPS) have been widely utilized for flat-panel displays (FPDs) such as liquid crystal display (LCD) and active matrix organic light emitting diodes (AMOLEDs), and electronic papers, as well as driver circuits and system on panel units because its field-effect mobility is much higher than that of amorphous Si (a-Si). Among the low temperature crystallization method, the most popular method is metal-induced crystallization (MIC). Compared with other techniques, such as solid phase crystallization (SPC) and excimer laser annealing (ELA), the MIC method has many advantages, including a low-cost batch process and a smooth film surface. However, the relatively high leakage current and low field-effect mobility are disadvantages compared with ELA poly-Si TFTs. It is well known that degradation of the electrical performance on MIC poly-Si thin-film transistors (TFTs) is metal contamination such as Ni and Ni silicide (Ni2Si, NiSi, and NiSi2). In order to overcome the problem, many attempts have been made to address such as Ni offset MILC, MIC through capping layers (SiNx, SiO2), metal-induced unilateral crystallization (MIUC), and Ni seed-induced lateral crystallization; however, these processes are complicated and require high process temperatures, and very long annealing times which can damage the poly-Si active layer.
In this study, we propose a novel process, named seed-induced crystallization (SIC), which uses seed of silicide to reduce Ni precipitates, wherein the Ni that was deposited at room temperature on a-Si is removed prior to crystallization, it is different from conventional MIC. There are no additional processes so the trap density of MIC-TFTs is minimized and high-performance characteristics of the SIC poly-Si TFT are obtained. Furthermore, lateral crystallization using SIC (SILC), gettering to remove remained Ni catalyst after crystallization, and channel splitting for crystal filter were also investigated. Consequently, the LTPS TFTs having drastically improved electrical performance in particular leakage current and field-effect mobility can be fabricated by suggested techniques.
9:00 AM - A15.06
Comparison of Pulsed Laser and Flash Lamp Assisted Crystallization of Amorphous Si:H Thin Films
Guntis Marcins 1 Jelena Butikova 1 Boris Polyakov 1 Andris Voitkans 1 Ivars Tale 1
1Institute of Solid State Physics, University of Latvia Riga Latvia
Show AbstractPolycrystalline silicon (p-Si) has been proved to be much more suitable material in comparison to amorphous silicon (a-Si) due to higher charge carrier mobility for low cost thin film transistor applications and solar cells. Near-UV pulsed laser or excimer laser crystallization is a well elaborated method to obtain large-grain poly-Si through the crystallization of hydrogenated amorphous silicon (a-Si:H) on cheap low melting temperature substrates of like glass and plastics [1, 2]. Main effects of laser crystallization are donor activation and lateral growth of 2D polycrystallites, which provide increasing of mobility. Interesting side effect of successive laser crystallization is a formation of 3D periodic structures [3, 4]. Flash lamp assisted crystallization is a cheap and less demanding alternative of laser crystallization of a-Si.
A-Si:H thin films grown by PECVD on oxideized Si wafers and micro slides glass have been used in this study. In order to investigate crystallization process of hydrogenised amorphous silicon thin films layers series of 2nd and 3rd harmonics of YAG nanosecond laser pulse exposures and microsecond flash lamp pulse exposures have been applied. Crystallization process can be controlled by single pulse energy and number of pulses.
Crystallinity and surface modification of a-Si:H layer have been investigated by Raman spectroscopy, atomic force microscopy and scanning electron microscopy respectively. Van-der-Paw method was used to estimate charge carriers concentration and mobility before and after crystallization.
At small pulse energy below 100 mJ/cm2 laser processing results in nucleation of Si nanocrystals inside the amorphous Si matrix. Every next pulse increases number of nanocrystals. At pulse energy >250 mJ/cm2 lateral growth of 2D polycrystallites occurs. Both 2nd and 3rd harmonics of YAG laser produce similar effect on silicon thin film electro conductive properties.
References
[1] K. Brendel, N.H. Nickel, P. Lengsfeld, A. Schopke, I. Sieber, M. Nerding, H.P. Strunk, W. Fuhs, Excimer laser crystallization of amorphous silicon on metal coated glass Substrates. Thin Solid Films 427 (2003) 86-90.
[2] S. Loreti, D. della Sala, M. Garozzo, Morphological and structural effects of excimer laser treatment of amorphous silicon. Micron 31 (2000) 299-307.
[3] J. Bonse, S. Baudach, J. Krüger, W. Kautek, M. Lenzner, Femtosecond laser ablation of silicon-modification thresholds and Morphology. Appl. Phys. A 74, 19-25 (2002).
[4] M. Halbwax , T. Sarnet, Ph. Delaporte, M. Sentis, H. Etienne, F. Torregrosa, V. Vervisch, I. Perichaud, S. Martinuzzi, Micro and nano-structuration of silicon by femtosecond laser: Application to
silicon photovoltaic cells fabrication. Thin Solid Films 516 (2008) 6791-6795.
A16: Poster Session: Fabrication of Silicon Layers and Structures
Session Chairs
Baojie Yan
Sachit Grover
Hitoshi Sai
Thursday PM, April 04, 2013
Marriott Marquis, Yerba Buena Level, Salons 7-8-9
9:00 AM - A16.02
Ultra-low Resistance of Phosphorus Doped Si Films Activated by Blue Laser Diode Annealing (BLDA)
Takashi Noguchi 1 Takuma Nishinohara 1 Katsuya Shirai 1 Tatsuya Okada 1
1University of the Ryukyus Okinawa ken Japan
Show AbstractThe research on high performance TFTs on glass substrate is extensively studied aiming to highly functional System on Panel (SoP) including flexible sheet of light and robust with low cost. For the channel materials, not only Si film but also organic or oxide semiconductor is extensively studied. However, for such materials except poly-crystallized Si film, issues of reliability of device, obtaining sufficient carrier mobility and stable process for driving have not been solved yet. For the source and drain electrodes in TFTs, photo-diode sensors and solar cells on glass or flexible sheet, lower sheet resistance of effective activation is wanted in order to get a higher cell performance. Semiconductor Blue Laser Diode Annealing (BLDA) has been proposed as a candidate of a new Low Temperature Poly-Si (LTPS) process in place of Excimer Laser Annealing (ELA) as the surface of Si films after BLDA is much smoother than after ELA and crystallizing the Si film on flexible glass as well as plastic sheet is feasible
The crystallization and activated annealing effect of Si films using BLDA are studied for the application of advanced TFTs, diode sensor and future photo-voltaic applications. After BLDA of CW scanning mode at 500 mm/s. for phosphorus ion doped Si films (2e15 cm-2) as well as for undoped films of 50 nm thickness on glass substrate, drastic crystallization occurred with keeping the surface smooth. By irradiating the laser power between 5 W and 8 W, the grain size was successively controlled from micro-grains, to large grains as well as to anisotropic long crystal grains. Correspondingly, resistivity decreased depending on the increase in the grain structure. However, high carrier concentration value of 5e15 cm-2 does not changed for all grain structure under the laser power range between 4 and 8 W. For the annealing condition of high power at 8 W, fairly low value as low as 84 Omega;/sq. was obtained. The low sheet resistance can be attained by only single laser scan. In the case of BLDA below 5 W, in spite of the small grain size due to low power irradiation, activation ratio is estimated to be fairly high close to 100% although carrier mobility depends on the grain size as a result of Hall effect measurement.
. For heavily phosphorus incorporated Si films during Plasma Enhanced Chemical Vapor Deposition (PE CVD) diluted in SiH4, extremely low sheet resistance as low as 40 Omega;/sq. was obtained after BLDA at the power over 6 W. By measuring the extremely low resistance films in wider temperature range, activation energy shows negative, i.e. the crystallized doped Si films are degenerated state. The drastic activation results were obtained for the sputtered films on flexible thin glass.
The BLDA for heavily doped Si films is expected to be applied to the activation of p+, n+ electrodes in high performance TFTs, in photo-diode sensors and in photo-voltaic solar cells as a new LTPS process on glass panel and flexible sheets
9:00 AM - A16.03
Crystalline Fraction: An Important Parameter of Study in pm-Si:H Thin Films
Leon Hamui 1 B. M. Monroy 1 K. H. Kim 2 P. Roca I Cabarrocas 2 G. Santana 1
1UNAM Mexico D.F. Mexico2Ecole Polytechnique Palaiseau France
Show AbstractThis work presents a study performed on pm-Si:H thin films, deposited by plasma enhanced chemical vapor deposition (PECVD). The films were grown using a gas mixture of argon (Ar), hydrogen (H2) and silane (SiH4). Polymorphous silicon is a nanostructured thin film consisting of a small fraction of nanocrystalline silicon clusters embedded in an amorphous matrix. Different crystalline fractions (from 24% to 30%) were achieved in these films as-deposited by regulating the selected growth parameters. Crystalline fraction has an important influence on the conductivity and optical properties of polymorphous silicon thin films. RAMAN measurements confirmed the existence of nanocrystallites embedded in the amorphous matrix with averages sizes of 2 nm. The films properties were correlated using Raman spectroscopy, hydrogen exodiffusion experiments determining the hydrogen bonding and FTIR. Different crystalline fractions were compared to determine the best stability on these films and therefore their performance for a solar cell device. Their stability is discussed in terms of the hydrogen bonding structures and diffusion within the films. These results clearly demonstrate the motion of hydrogen in pm-si:H films due to heating. Dark conductivity measurements as a function of the crystalline fraction were correlated so as the optical constants of these films. It has been observed that these intrinsic films present dark conductivity values of 10-2 S/cm. Optical gaps values of these films were achieved of 1.7 eV that could determine their possible applications. The effective absorption coefficient is observed to lie between the amorphous silicon values and the crystalline silicon values in dependence of the crystalline fraction of the films. The nanometer inclusions size and their density (crystalline fraction) are responsible for the improved properties in this kind of materials, which make them potential candidates for more efficient silicon thin film solar cells compared to the ones we have in this moment.
9:00 AM - A16.04
Influence of Nanoscale Surface Roughness on Chemical/Electrical Passivation of c-Si(100) by ALD Al2O3
Jae-Won Song 1 Jin-Young Jung 1 Han-Don Um 2 Yoon-Ho Nam 2 Min-Joon Park 2 Sun-Mi Shin 2 Jung-Ho Lee 1
1Hanyang University Ansan Republic of Korea2Hanyang University Ansan Republic of Korea
Show AbstractSi nanostructured solar cells can efficiently increase light absorption throughout the whole spectral range above the energy gap of Si; however, a high surface-to-volume ratio caused by nanostructures leads to a high recombination rate which suppresses a conversion efficiency of solar cells. Atomic-layer-deposition (ALD) of Al2O3 thin films is recently known as an efficient passivation technique for these solar cells because the ALD-treated surfaces are synergistically improved by passivating interface charges (electrical) as well as dangling bonds (chemical).
Here, we demonstrate that these chemical and field-induced Al2O3 passivations are detrimentally affected by nanoscale roughness on surface (NRS) which stems from metal-assisted etching (MAE). Given chemical degradation, increased NRS caused to increase the interface defect density (Dit) at the Al2O3/Si interface because a total surface area increases while exposing higher-index crystal planes such as (110) and (111), not a polished (100). High Dit (1~2x1013 ev-1cm-2) caused by NRS also increased the total amount of positive charges at the interfacial SiOx layer formed in between Al2O3 and Si, which electrically hindered the passivation effect of Al2O3. To restore the passivation effects by Al2O3, we found that the forming gas (H2:N2) annealing (FGA) was effective because the negative fixed charges of Al2O3 increased up to 40~70% compared to conventional N2 annealing. Hydrogen diffusion during FGA could decrease not only Dit but also the amount of positive charges at the SiOx/Si interface, which resulted in the 20~40 cm/s decrease in a surface recombination velocity (SRV).
9:00 AM - A16.05
Highly Efficient Doping Free Amorphous Silicon Solar Cells Using Sputtered Vanadium Pentoxide
Sung-Gyu Park 1 Hyung-Hwan Jung 1 Min-Seung Choi 1 Dong-Ho Kim 1 Jung-Dae Kwon 1 Kee-Seok Nam 1 Yongsoo Jeong 1
1Korea Institute of Materials Science Changwon Republic of Korea
Show AbstractVanadium pentoxide (V2O5) has been significantly studied recently due to its novel potentials as cathodes of rechargeable batteries, low-resistance contacts in organic light-emitting diodes, and hole-selective layers in organic photovoltaics, mainly due to its excellent electronic properties. The conventional amorphous silicon (a-Si) solar cell is a p-i-n junction. However, safety issue using toxic gases such as diborane for p-type doped a-Si and phosphine for n-type doped a-Si, and high cost using cluster-type plasma-enhanced chemical vapor deposition remain significant challenges. In this paper, we report on the new structures of a-Si thin film solar cells using sputtered V2O5 as an alternative to p-type doped layer and thermally evaporated LiF and Al as back electrode. Due to large work function (6.85 eV) and wide band gap (2.8 eV), V2O5 can act as window materials in a-Si solar cell structures. The function of the LiF layer was suggested to reduce work function of Al electrode through dipole formation. Since the internal electric field formation in the a-Si absorber layer is determined by the work-function difference between V2O5 layer and a LiF/Al electrode, the photogenerated electron-hole pairs in the absorber layer are separated by the internal electric field.
Photovoltaic device performance was investigated in terms of film thickness and oxidation states of V2O5-x sputtered from V2O5 target with 99.95 % purity. The photocurrent density-voltage and external quantum efficiency (EQE) was measured at AM 1.5G 1 sun illumination (100 mW/cm2). At optimum condition, the solar cell having V2O5-x/i-a-Si/LiF/Al exhibited power conversion efficiency (PCE) of 7.04 %, open-circuit voltage (Voc) of 0.80 V, short-circuit photocurrent density (Jsc) of 14.17 mA/cm2, and fill factor (FF) of 0.62, while the solar cell with conventional p-a-Si/i-a-Si/n-a-Si configuration showed PCE of 7.09 %, Voc of 0.79 V, Jsc of 12.38 mA/cm2, and FF of 0.73. Moreover, the solar cell having V2O5-x/i-a-Si/n-a-Si exhibited better photovoltaic performance: PCE of 7.58 %, Voc of 0.81 V, Jsc of 15.01 mA/cm2, and FF of 0.62. Compared with the conventional p-i-n structures, EQE values for the solar cell with V2O5-x layer are significantly improved in the short wavelength range (400-600 nm), which is mainly due to wide band gap of V2O5-x. An X-ray photoelectron spectroscopy was used to investigate the chemical composition of the V2O5-x thin films. The oxidation states of sputtered V2O5-x with only Ar flow consist of 47% V5+ and 53% V4+. V5+ contents increase to 73%, when samll amount of O2 (flow rate of 0.5 sccm) was added to Ar atmosphere (flow rate of 49.5 sccm).
This paper provides a new cell structure for high efficiency thin-film photovoltaics as an alternative to the conventional p-i-n configuration. Since sputtered V2O5-x layer is exposed to air before i-a-Si deposition, no vacuum break system is needed in order to improve device performance.
9:00 AM - A16.07
Effects of Hydrogen on the Optical and Electrical Properties of W Doped ZnO
Yanfeng Wang 1 Xiaodan Zhang 1 Qian Huang 1 Yang Liu 1 He Zhang 1 Changchun Wei 1 Ying Zhao 1
1Institute of Photo Electronics Thin Film Devices and Technique of Nankai University Tianjin China
Show AbstractTransparent conducting oxide (TCO) thin films are widely used as the front electrode in thin film silicon solar cells. Among several popular TCOs, the zinc oxide (ZnO) films have already attracted much interest due to its raw material abundance, low temperature deposition and chemical stability against plasma process. At present, however, the widely used aluminium doped ZnO (AZO) thin film suffers low transmission in the near infrared (NIR) region due to a high concentration free carrier absorption promising low resistivity, which seriously reduce the NIR spectrum response of some solar cells such as microcrystalline silicon (mu;c-Si:H) and amorphous silicon germanium (a-SiGe:H) solar cells etc. The W doped ZnO (WZO) could be expected to own a higher mobility than AZO because it has been demonstrated that in indium oxide (In2O3), tungsten doping could offer a higher mobility than other elements at the same carrier concentration in the past work. At the same time, in our work, hydrogen was introduced into sputtering as reactive gas in order to improve the WZO thin films. Both the WZO and the H incorpoarted WZO (HWZO) thin films were prepared on glass by pulsed DC magnetron sputtering using a ZnO ceramic target mixed with 1.5 wt.% tungsten oxide (WO3). We found that HWZO are still polycrystalline like WZO with the hexagonal wurtzite structure. However, HWZO has an increased crystallinity and larger grain sizes than WZO. As a result, the optical and electrical properties of HWZO films were significantly improved by the incorporation of hydrogen, which reduced resistivity from ~1.1×10-3 #8486; cm to ~8.3×10-4 #8486; cm and enhanced the average transmission in the range of 400-1100 nm from ~82% to ~84%. In this way, we offer a feasible method to fabricate an improved ZnO front electrode - HWZO for thin film silicon solar cells.
9:00 AM - A16.08
Growth of Si Films from SiCl4/H2 Precursor and Their Optoelectronic Properties
Ping-Yen Hsieh 1 Chi-Yuan Lee 1 Nyan-Hwa Tai 1
1National Tsing Hua University Hsinchu Taiwan
Show AbstractAn efficient synthetic method based on the microwave plasma enhanced chemical vapor deposition (MWPECVD) route using H2-diluted silicon tetrachloride (SiCl4) mixture as the precursor for the growth of hydrogenated microcrystalline Si (mu;c-Si:H) films is reported. The influences of H2 flow rate and microwave power on the microstructure and optoelectronic properties of the mu;c-Si:H films were investigated.
The film with crystallinic columnar grain structure and crystalline fraction over 90% with (220) preferred orientation were fabricated under a high deposition rate over 2 nm/s. Raman spectra and high resolution transmission electron microscopy image revealed that crystalline Si can be directly grown on a glass substrate even at very early growth stage. Both high plasma density and Cl radicals are benefit in developing the crystalline structure during film growth under a relatively low substrate temperature of around 200°C. Furthermore, the in-situ monitoring of gas phase chemistry using optical emission spectroscopy (OES) were carried out. Based on the OES results, we found that the ratios of I[Si]/I[SiCl2] and I[Hα]/I[SiCl2] reflect the deposition rate and the degree of crystallinity, respectively, significantly implying that decomposition of SiCl4 and etching due to the presence of H and Cl radicals contributed directly to the film deposition. Due to its high crystallinity, the mu;c-Si:H film exhibits a band gap of 1.05~1.35 eV and a conductivity of 10^0~10^-3 S/cm with photosensitivity of 10^0~10^1. Much more interesting is that the high-quality mu;c-Si:H films can be deposited on flexible polyimide (PI) substrate, which are promising for the applications in flexible Si film-based optoelectronic devices such as solar cells, thin film transistors, and switching devices etc.
9:00 AM - A16.09
Manufacturing Microstructures for Applications in MEMS Using Hydrogenated Amorphous SiGe
Margarita Galindo 1 Carlos Zuniga Islas 2 1 Rodolfo Palomino Merino 1 Francisco Lopez Huerta 3 1
1BUAP Puebla Mexico2Instituto Nacional de Astrofamp;#237;sica, amp;#211;ptica y Electramp;#243;nica Puebla Mexico3ICentro de Investigaciamp;#243;n en Micro y Nanotecnologamp;#237;a, Universidad Veracruzana Puebla Mexico
Show AbstractWe present a process to manufacture SiGe devices for Micro Electro Mechanical Systems (MEMS), using both boron-doped silicon and silicon-germanium amorphous films (a-SiB:H and a-Si0.5Ge0.5B:H) prepared by plasma enhanced chemical vapor deposition (PECVD) using a low temperature of 300 °C, at 110 kHz and pressure of 0.6 Torr. These MEMS a-SiB:H and a-Si0.5Ge0.5B:H with an structural single layer of 1 µm of thickness are fabricated using surface micromachining technology with wet and dry etching. Diamond, rings, and Vernier beams structures for MEMS process were included. Additionally, we found optical gaps of 1.7 eV and 1.06 eV for the a-SiB:H and a-Si0.5Ge0.5B:H films, respectively. Boron concentration for the a-Si0.5Ge0.5B:H film was varied then a decreasing in resistance from 6.84 MOmega; to 0.2M Omega; were measured. Improved mechanical stability and lateral definition for a-Si0.5Ge0.5B:H microstructures were observed and compressive stress of -85.62 MPa was determined. Excellent characteristics in the integration and manufacturing of microstructures with amorphous materials for post process CMOS of MEMS were showed.
9:00 AM - A16.10
VHF-PECVD (80-140 MHz) High Rate Deposition of Silicon Thin Films for Flexible Substrate Coating
Matthias Albert 1 Barbara Leszczynska 1 Siegfried Menzel 3 Ulf Stephan 2 Juergen Kuske 2 Juergen Eckert 3 Johann Bartha 1
1Technische Universitamp;#228;t Dresden Dresden Germany2Forschungs- und Applikationslabor Plasmatechnik GmbH Dresden Dresden Germany3IFW Dresden, Institute for Complex Materials Dresden Germany
Show AbstractSince the early nineties a new highly productive silicon-based thin film deposition technique was developed at Dresden University of Technology and Forschungs- und Applikationslabor Plasmatechnik GmbH Dresden to fabricate flexible solar cells ([1],[2]). It enables the dynamic and uniform deposition of amorphous and microcrystalline silicon layers with high deposition rates using a RF power supply. The most important features of this deposition system are both the linear plasma sources (500mm x100mm) operating at very high excitation frequency in the range 80 - 140 MHz and the moving substrate. The advantage of such an arrangement is the uniform deposition even on large area substrates. The high excitation frequency leads to a significant increase in electron density compared to the more commonly used 13,56 MHz and, therefore, to an enhancement of silane dissociation within the bulk plasma. Thus, this deposition system is an ideal candidate also for roll-to-roll production on flexible substrates such as foils in particular in solar industry.
In our presentation we will report on the dynamic deposition of amorphous silicon thin film solar cells on PET foils with the focus on their mechanical stability. An initial efficiency of 5.92 % at a substrate temperature of 140°C has been achieved. The solar cells were deposited in the p i n superstrate configuration where the illumination takes place from backside through the PET-substrate material. The samples were stressed by compressive and tensile bending and their mechanical behavior and electrical parameters like the solar cell efficiency were monitored. Additionally optical inspections were carried out to make damaging like delamination effects or cracks visible.
References
[1] Strobel, C., et al., Solar Energy Materials & Solar Cells 93, 1598 (2009)
[2] Albert, M., et al., Soc. Vac. Coaters (SVC), Techn. Conf. Proc., Chicago, 2011
9:00 AM - A16.11
Maskless Implants of 20 keV Ga+ in Thin Crystalline Silicon on Insulator
Emanuele Rimini 1 Giuseppe D'Arrigo 1 Rachela Gabriella Milazzo 1 2 Antonio Massimiliano Mio 1 Corrado Spinella 1 Lloyd Peto 3 Achim Nadzeyka 3 Sven Bauerdick 3
1IMM-CNR Catania Italy2Universitamp;#224; di Catania Catania Italy3Raith GmbH Dortmund Germany
Show AbstractNano-size ion beams have been recently considered as a viable tool for nano-lithography, selected area modification [1] and local doping of semiconductor regions without any mask. This mask-less procedure avoids any over layer with a consistent reduction of stress and of contaminants [2]. In addition it allows a direct evaluation of the lateral straggling without any influence of the mask line edge roughness.
Among the different devices those fabricated on silicon-on-insulator (SOI) present several advantages (faster speed, power consumption, better isolation, etc.), offering a field where the mask less implantation might be of relevance. The SOI film thickness is a tunable parameter for device shrinking. The international semiconductor road map indicates that fully depleted SOI single gate planar devices require modification in the doping process to optimize device drive current, threshold voltage stability, contacts and series resistance.
For this aim, it is mandatory a detailed investigation of the ion-solid interaction with nano-size beam for what concerns the ion and damage distribution in depth and laterally, the subsequent thermal annealing to anneal out the damage and the carrier activation of the dopant.
In this study, a nanominus;size ion beam apparatus has been used as maskless lithography to implant 20 keV Ga+ ions into a 26 nm thick silicon crystalline film on insulator. The ion beam, with about 5 nm standard deviation, delivered few hundred ions during a single shot. Circular areas with nominal diameter of 20 or 50 nm were irradiated to a fluence of 5×1014/cm2. Transmission electron microscopy evidenced that the damaged regions are characterized by an irregular contour with many disordered filaments. Damage extends across the layer thickness and fast Fourier transform analysis indicates that implantation causes the amorphization of a region which extends beyond the nominal diameter. In situ annealing experiments demonstrated that the disordered filamentary regions disappear in the 250-450°C temperature range and the interfaces with the surrounding crystalline regions sharpen. A temperature as high as 600 °C is required to fully re-crystallizes the amorphous core of the implanted spots. Reordering occurs by multiminus;orientation lateral solidminus;phase epitaxial growth and the breaking of (111) and (101) interfaces, due to the formation of twins, triggers a fast crystallization kinetics. Rapid thermal annealing (890°C-10 sec) completely crystallizes the amorphous regions, twins are absent and small cluster of defects remains instead. Preliminary scanning capacitance measurements indicate that the implanted atoms, after crystallization, are electrically active.
[1] Winston et al., Nano Lett. 11, 4343-4347 (2011).
[2] Rudawski et al. Materials Science and Engineering R 61, 40-58 (2008).
A17: Poster Session: Materials and Devices Characterization and Simulation
Session Chairs
Baojie Yan
Sachit Grover
Hitoshi Sai
Thursday PM, April 04, 2013
Marriott Marquis, Yerba Buena Level, Salons 7-8-9
9:00 AM - A17.01
Correlation of Material Structure and Solar Cell Device Performance in Hydrogenated Nanocrystalline Silicon Solar Cells
Kathrin J. Schmidt 1 Yiheng Lin 2 Mario Beaudoin 3 Guangrui Xia 2 Stephen Karrer O'Leary 1 Guozhen Yue 4 Baojie Yan 4
1The University of British Columbia Kelowna Canada2The University of British Columbia Vancouver Canada3The University of British Columbia Vancouver Canada4United Solar Ovonic LLC Troy USA
Show AbstractHydrogenated nanocrystalline silicon (nc-Si:H) solar cells, as the low bandgap component cell in multi-junction thin film silicon solar cells, have gained a great deal of attention owing to their improved long wavelength response and lower light induced degradation when contrasted with the case of amorphous silicon (a-Si:H) solar cells. Record cell and module efficiencies have been attained using a-Si:H/nc-Si:H/nc-Si:H triple-junction solar cells. However, except for the conventional optimization process, one has to pay special attention on the material structure, the impurities, and the effect of the substrates. It has been observed that nc-Si:H solar cells are more sensitive to impurities than a-Si:H solar cells. N-type impurities cause a long wavelength quantum efficiency (QE) loss and P-type impurities cause a short wavelength QE loss [1]. In addition, increases in the substrate temperature enhance the QE loss and decrease the corresponding fill-factor [2].
Normally, it is assumed that when the deposition conditions are the same, the device performance changes mainly result from degraded electronic properties, such as higher defect densities and low carrier mobilities. However, because of the mixture-phase structure in nc-Si:H materials, one may not be able to rule out structural changes caused by impurities and substrate texture, even if the deposition conditions are the same. In this paper, we perform a systematic structural analysis of nc-Si:H solar cells using X-ray diffraction and Raman spectroscopy. First, we observe that the nc-Si:H solar cells with a high O impurity have a larger crystallite size but lower crystalline volume fraction than the compensated nc-Si:H solar cell, while the B contaminated nc-Si:H cell shows the opposite effect. Second, we find that increasing the substrate texture leads to an increase in the crystalline volume fraction and a reduced crystallite size. Third, combining all of the results, we observed that the crystallite size has an inverse correlation with the crystalline volume fraction for the samples investigated. Although the results presented are somewhat different from the common knowledge, that both the crystalline volume fraction and crystallite size should increase together when the deposition conditions are changed, they imply that the efficiency changes in nc-Si:H solar cells are not only from the electronic properties but also from the different structural properties, even if the deposition conditions are the same.
[1]. G. Yue, B. Yan, L. Sivec, Y. Zhou, J. Yang, and S. Guha, Solar Energy Materials and Solar Cells 104, 109 (2012).
[2]. B. Yan, G. Yue, L. Sivec, J. Owens, J. Yang, and S. Guha, Solar Energy Materials and Solar Cells 104, 13 (2012).
9:00 AM - A17.02
Piezoresistance and Hole Mobility in Silicon under Compressive Mechanical Stresses of 3 GPa
Alistair Rowe 1 Jason Milne 1 Steve Arscott 2
1Ecole Polytechnique, CNRS Palaiseau France2Universitamp;#233; de Lille, CNRS, Avenue Poincaramp;#233;, Citamp;#233; Scientifique, 59652 Villeneuve d'Ascq France
Show AbstractIn silicon the piezoresistance (i.e. the change in electrical resistance with an applied mechanical stress) is principally the result of a change in the transport effective mass of the charge carriers. This phenomenon is currently used in strained-silicon technologies to reduce the effective mass of holes in p-channel devices, thereby increasing their operating speeds and transconductance gains.
To date, the experimental study of piezoresistance in silicon under externally applied stresses up to at most 600 MPa has been reported (indeed these studies date back to the 1960s) whereas process induced stresses of around 1 GPa are routinely achieved. In n-type material, the charge transfer model of Herring and Vogt agrees well with published data and is widely accepted as the correct description of the piezoresistance. In p-type material however, the degeneracy or near-degeneracy of the heavy, light and spin-orbit split-off bands renders calculation of the piezoresistance difficult. Several methods have been used to calculate the piezoresistance of p-type silicon up into the several GPa range and two diametrically opposed conclusions can be found in the literature: i) like the n-type case, the reduction in the hole effective mass saturates at around 1 GPa of compressive stress, meaning that no further improvement in device performance is possible, even with processed induced stresses higher than those accessible today, or ii) no saturation is predicted, in which case higher process induced stresses should yield even higher performance p-channel devices.
Here we report on a new experimental technique, based on a modified mechanical press, that permits the measurement of the longitudinal piezoresistance of n-type and p-type crystalline silicon along the <100>, <110> and <111> crystal directions under compressive, uniaxial stresses up to 3 GPa. This is an unprecedently high stress under which the changes in electron and hole effective masses in bulk silicon can be measured and is well above the process-induced stress levels used in modern strained-silicon technology. While the conductance of n-type silicon eventually saturates at 45 % of its zero-stress value in accordance with the charge transfer model, in p-type material it increases above the predicted limit without any significant saturation, even at 3 GPa. Corresponding reductions in the hole effective mass up to a factor of 6 are observed [1]. In addition to revealing details of the silicon band structure, the lack of saturation has important consequences for strained-silicon technologies, suggesting that further investment aimed at producing even higher process-induced stresses may yield dividends.
[1] J.S. Milne et al., Piezoresistance in Silicon at Uniaxial Compressive Stresses up to 3 GPa
Phys. Rev. Lett. 108, 256801 (2012)
9:00 AM - A17.03
Carrier Lifetime Measurements by Photoconductance at Low Temperature on Passivated Crystalline Silicon Wafers
Guillaume Courtois 1 2 Bastien Bruneau 2 Igor P. Sobkowicz 1 2 Antoine Salomon 1 Pere Roca i Cabarrocas 2
1Total EN La Damp;#233;fense France2CNRS - Ecole Polytechnique Palaiseau France
Show AbstractSince the mid-1990s, photoconductance has proven a powerful contactless technique for assessing excess minority carrier lifetimes in silicon wafers at room temperature. Nevertheless, electronic properties are expected to vary with temperature. Whereas recent studies have highlighted an increase in lifetime above RT, very few experimental works have reported so far on alterations at low temperature.
We focus here on high quality (n)-type monocrystalline wafers passivated by hydrogenated amorphous silicon (a-Si:H) deposited by low temperature, namely 200°C, PECVD. A thin intrinsic a-Si:H layer was always inserted between the wafer and the doped a-Si:H layers, which were 15nm thick. We implemented the PCD technique to low temperature measurements by immersing the passivated wafers into liquid nitrogen contained in a beaker placed on a Sinton lifetime tester. Prior to be converted into lifetime values, raw photoconductance measurements were corrected for the height shift induced by the beaker. One issue lied in obtaining the carrier mobilities at 77K, which are not much documented in literature. From dark conductance measurements performed on the lifetime tester without flash, we extracted an electron mobility of 1.1x10^4 cm2/V/s at 77K - compared to 1.4x10^3 cm2/V/s at RT, the doping density being independently calculated in order to account for the freezing effect of dopants. This way, we could obtain excess minority carrier lifetime curves with respect to the carrier density.
Starting from identical polished (n)-type FZ wafers whose nominal resistivity was of 3 Ohm.cm, different structures were compared: symmetrical passivation ensured by either (n) or (p)-doped a-Si:H layers, as well as heterojunction structures made of front (p)-type layer and back (n)-type surface field. The main feature we observed was a significant drop in lifetime at low temperature. More precisely, in the case of symmetrical passivation by (n)-doped a-Si:H, for which the highest effective lifetimes were reached at RT, namely up to 7 ms at 2x10^15 cm-3, the lifetime turned out to be 4 times lower at 77K. As to samples bringing into play at least one (p)-type layer, whose lifetimes commonly peak at 2 ms at RT, the decrease was less pronounced, the lifetime being twice lower at 77K than at RT. Moreover, in addition to the general decrease, the shape of the lifetime dependence upon the excess minority carrier density proved to be altered, conveying mitigation in field-effect passivation. We were also able to experimentally verify the expected rise in the implied Voc, which, on symmetrically passivated wafers for instance, went up from 0.72V at RT to 1.04V at 77K under one sun equivalent.
Independent assessment of carrier mobility by Hall effect measurements at 77K shall corroborate the value obtained with the lifetime tester. In addition, modulated photoluminescence investigations will be performed in a cryostat with the aim of having access to lifetimes on the 77K to RT range.
9:00 AM - A17.04
Spectroscopic Study of the Chemical Bonding Structure in Amorphous Silicon Thin Films
N. Ross 1 K. Shrestha 2 O. Chyan 1 C. L. Littler 2 V. C. Lopes 2 A. J. Syllaios 2
1University of North Texas Denton USA2University of North Texas Denton USA
Show AbstractIn this study, we employ Multiple Internal Reflection Infrared Spectroscopy (MIR-IR) to characterize the chemical bonding structure of boron doped a-Si:H. This technique has been shown to provide over a hundred fold increase of sensitivity and resolution when compared with conventional FTIR.[1,2] Our MIR-IR analyses reveal an interesting counter-balance relationship between the boron-doping and hydrogen-dilution growth parameters in PECVD-grown a-Si:H. Specifically, an increase in the hydrogen dilution ratio (H2/SiH4) was found to cause the increase in the Si-H bonding and a decrease in the B-H and Si-H2 bonding, as evidenced by an increase in the Si-H absorption peak and decreases in the B-H and Si-H2 absorption peaks. In addition, although a higher boron concentration was seen to increase the B-H and Si-H2 bonding, it also resulted in decrease of the more stable Si-H bonding configuration. These new insights will be correlated with the results from electrical transport measurements, Raman and XPS spectroscopy to provide a better understanding of electrical transport and noise in a-Si:H thin films used for microbolometer ambient temperature infrared detection. 1. Chyan, O.; Chen, J.J.; Xu, F.; Wu, J., Anal. Chem., 1997, 69, 2434. 2. Rimal, S.; Ross, N.; Pillai, K. S.M.; Singh, K.J.; Chyan, O., ECS Transactions, 2011, 41, 315-322.
This work was done under the ARO grant W911NF-10-1-0410, William W. Clark Program Manager.
9:00 AM - A17.05
Comprehensive Characterization and Understanding on Micro-porous Low-k Materials Using PALS, EP and XRR Techniques
Dan Yang 1 Robert Opila 1 Gengwei Jiang 2 Venkateswara Pallem 2 Gidley David 3 Nupur Bhargava 4
1University of Delaware Newark USA2Delaware Research amp; Technology Center, Air Liquide America Newark USA3University of Michigan Ann Arbor USA4University of Delaware Newark USA
Show AbstractAs transistor density on integrated circuits doubles every 18 months as driven by Moore&’s Law, propagation delays from interconnections at back-end-of-line(BEOL) have begun to dominate the overall delay. Low dielectric constant (k) materials, e.g. organosilicate glass (SiCOH), have been introduced to replace silicon oxide and decrease RC delay. In order to further decrease k, porosity is being introduced by deposition of hybrid films composed of a backbone skeleton and a labile phase which will be removed to create pores.
However, while low k is realized thanks to porosity incorporation, not only mechanical properties degrade, but pore interconnection resulting from high porosity also lead to serious problems in subsequent manufacturing processes, e.g. copper diffusion and photoresist poisoning. Therefore, pore architecture characterization and understanding have become essential for high porosity low-k materials. However, due to the complexity of nano-scale pore architecture, each pore characterization technique has its advantages and limitations. In order to acquire complete understanding of porous low-k material structure, three different techniques are used; Ellipsometric porosimetry (EP), which provides information on open meso-pores accessible to organic solvents but may underestimate porosity without probing closed pores and pores smaller than the probing organic molecule; Positron Annihilation Lifetime Spectroscopy (PALS), which probes the amount of free surface and is able to detect micropores but with some limitation on analyzing necking structure and therefore quasi-closed pores; X-ray Reflectivity gives absolute overall porosity in terms of film density but few details on pore size distribution. In this work, diethoxymethylsilane (DEMS) and will be used as precursor with porogen for PECVD deposition of SiCOH porous low-k films. All three techniques will be used to characterize porous low-k films of various porosity and pore size distribution, results will be compared to each other and packed for a comprehensive understanding of pore structure. This study will provide valuable guidance for future porosity characterization, data comprehension, porosity understanding and further structural optimization of porous low-k materials.
9:00 AM - A17.07
Characterization of Radiation-induced Stress Behavior and Microstructural Changes in Thin-film, Highly Textured, Polycrystalline 3C-SiC
Christopher Hardiman 1 Matthew Cabral 1 Steven Shannon 1 William Weber 2 3 Yanwen Zhang 2 3
1North Carolina State University Raleigh USA2University of Tennessee Knoxville USA3Oak Ridge National Laboratory Oak Ridge USA
Show AbstractSilicon carbide&’s high radiation tolerance has led to potential widespread use in the nuclear industry with applications ranging from microelectronic sensors to structural layer of nuclear fuel for next generation reactors. In order to further understand the potential of this material in high radiation environments the behavior of thin film stresses due to irradiation was investigated.
We have developed a methodology by which thin film, highly textured, nanocrystalline 3C-SiC is grown on (100) silicon substrates using a dual precursor LPCVD process. By varying deposition pressure a wide range of film stresses from highly tensile to highly compressive have been developed. The stress measured post growth is a measure of the total stress, including both extrinsic stress which is due to the mismatch in thermal expansion coefficients of SiC/Si (approximately 8%) and the intrinsic stress due to characteristics of the 3C-SiC lattice structure. This was achieved by measuring the curvature of the wafer using profilometry. In order to characterize the effect of radiation damage on the stress behavior of the thin film SiC, arrays of microcantilevers were fabricated to allow for measurements on the same dimensional scale as the thin film and correlated with extensive microstructural analysis using high-resolution TEM and XRD. The fabrication of these MEMS devices enabled direct observation of the stress behavior by analyzing the cantilever beam deflection pre and post irradiation. The irradiation was conducted using an ion beam accelerator with 2-3 MeV Si ions at various fluences in order to best simulate the effect of high neutron irradiation. It has been shown that this material exhibits an excellent tolerance to radiation damage. The radiation resistance in this nano-engineered material has been attributed to the high-density of stacking faults (SF) within the individual grains that are responsible for point defect recombination. In order to study this further, we have devised a way to control the stacking fault density during LPCVD growth in order to quantify the impact of stacking fault density on radiation damage tolerance. The tolerance to radiation damage of this nano-engineered material warrants future investigation for the promise in various nuclear and refractory applications.
9:00 AM - A17.08
Emission Spectra Study of Plasma Enhanced Chemical Vapor Deposition of Intrinsic, n+, and p+ Amorphous Silicon Thin Films
I-Syuan Lee 1 Yue Kuo 1
1Texas Aamp;M University CollegeStation USA
Show AbstractPECVD a-Si:H thin films are widely used in TFTs, p-i-n diodes, solar cells, etc. [1,2,3]. The deposition parameters are critical to film&’s characteristics. For example, in the n+ thin film deposition, the film&’s conductivity, deposition rate, and morphology are affected by the dopant gas flow rate in the feed stream [4]. The optical emission spectroscopy (OES) has been employed as a diagnosis or characterization tool for the PECVD deposition process. The SiH* (414nm), H2 (463nm), Hα (656nm), and Hβ (486nm) are important elements in the SiH4/H2 plasma. The Hα/SiH* intensity ratio can be related with the film&’s crystallinity [5]. In addition, the Hβ/Hα ratio represents the electron temperature (Te) [6]. The high Te favors the mu;c-Si:H formation, but too high Te will lead to instability of the microstructure [7]. In this paper, authors studied the PECVD intrinsic, n+, and p+ thin film deposition processes using the OES to monitor the plasma phase chemistry. The deposition rate is mainly proportional to the SiH* intensity. The atomic hydrogen can etch weak Si-Si bonds, which leads to the decrease of the deposition rate. The deposition rate increases with the increase of power because of the increase of the SiH* intensity. The high power results in the large electron density and high Te, which accelerates the dissociation of SiH4 [8]. Since the Hβ/Hα ratio does not change much with the power, the change of the OES intensity is due to the change of electron density. For the doped film, the film&’s resistivity is affected by SiH*, H2, Hα, Hβ concentrations. The resistivity increases with the decrease of the SiH* intensity especially at the very low SiH* concentration range. Hydrogen atoms passivate Si dangling bonds and increase the carrier lifetime. However, too much hydrogen could lead to the formation of SiH2, SiH3, and SiH [9,10]. The excessive hydrogen incorporated in the film results in the less dense a-Si:H network and the large void volume as well as the high resistivity. The abrupt change of the film&’s resistivity is not affected by the electron temperature, i.e., the Hβ/Hα ratio. It is probably related to the change of electron density.
This research is supported by NSF CMMI 0968862 project.
[1] J. N. Bullock, et al., J. Appl. Phys., Vol. 69, p. 1041, 1991. [2] D. E. Carlson and C. R. Wronsky, Appl. Phys. Lett., Vol. 29, p. 602, 1976. [3] J. Nijs, et al., Appl. Surf. Sci., Vol. 36, p. 23, 1989. [4] Y. Kuo, Appl. Phys. Lett., Vol. 71, p. 19, 1997. [5] H. Jia, et al., J. Non-Cryst. Solids, Vol. 352, p. 896, 2006. [6] K. Saito, et al., Phys. Status Solidi A, Vol. 207, No. 3, p. 535, 2010. [7] S. K. Ram, et al., Phys. Status Solidi C, Vol. 7, No. 3-4, p. 553, 2010. [8] G. Bruno, et al., Plasma Deposition of Amorphous Silicon-based Materials, Academic Press, 1995. [9] R. A. Street, Hydrogenated Amorphous Silicon, Cambridge University Press, Cambridge, 1992. [10] M. H. Brodsky, et al., Phys. Rev. B, Vol. 16, p. 3556, 1997.
9:00 AM - A17.09
A New Approach on the Optical Absorption of Amorphous Semiconductors: A Simple Derivation of the Urbach Rule
Jorge Andres Guerra 1 2 Karem Tucto 1 Liz Montanez 1 Meyer Alberto Merino 1 Francisco De Zela 1 Albrecht Winnacker 2 Roland Weingaertner 1 2
1Pontificia Universidad Catamp;#243;lica del Peramp;#250; Lima Peru2University of Erlangen-Nuernberg Erlangen Germany
Show AbstractA simple derivation of the sub-bandgap exponential band tails observed in the optical absorption of amorphous semiconductors is presented. We use the perturbation theory at first order and introduce the Fermi distribution to deal with an ensemble of electrons in order to calculate the average electronic transition rate for the optical absorption. Asymptotic analysis leads to the Urbach rule formula. Furthermore the obtained equation can be used to describe the transition region between the Urbach region and the Tauc region and delivers a meaning to the Urbach focus.
We test our theoretical results with experimental absorption coefficients of amorphous SiC:H, AlN and SiN, annealed at different temperatures. The validity of the Urbach focus concept is evaluated.
9:00 AM - A17.10
Ultrafast Optical Measurements of High Frequency Ultrasound Attenuation in Hydrogenated Amorphous and Nanocrystalline Silicon Films
Brian Daly 1 Joseph Andrade 1 Bryan Rachmilowitz 1 Theodore Norris 2 Baojie Yan 3 Jeff Yang 3 Subhendu Guha 3
1Vassar College Poughkeepsie USA2University of Michigan Ann Arbor USA3Uni-Solar Ovonic LLC Troy USA
Show AbstractThe attenuation of high frequency sound waves in amorphous solids is not yet well understood. This is not surprising considering the uncertainty that remains in the study of thermal conductivity of amorphous solids, a topic that is directly related. We report measurements of the attenuation of high frequency ultrasound in hydrogenated amorphous and nanocrystalline silicon thin films (a-Si:H and nc-Si:H) using the method of picosecond ultrasonics. The films were grown using a very high frequency glow discharge method onto stainless steel substrates under conditions similar to those used in fabricating solar cells. In our experiment, optical pulses from a Ti:sapphire oscillator are absorbed by a thin Al transducer grown on the Si:H layer. The absorption of the pulses thermoelastically produces longitudinal strain pulses with a bandwidth of a few 100&’s of GHz that are then launched into the Si:H layer. These ultrasonic strain pulses travel back and forth in the Si:H layer, and the attenuation that is intrinsic to the Si:H can be determined by comparing the amplitude of the reflectivity changes caused by the first and second returning strain pulses. One advantage of using the steel substrate is that the acoustic mismatch between the steel and the Si:H is significant enough so that even for relatively thick samples (several microns) the second returning signal is still detectable. By varying the thickness of the samples and repeating the measurements, we can determine the loss at the Si:H/steel interface and account for this in the calculation of the intrinsic attenuation of a-Si:H or nc-Si:H.
Our preliminary results on a series of a-Si:H samples indicate a very low attenuation for an amorphous solid for acoustic phonons in the range of 50 - 100 GHz: a value of 340 cm-1 at 50 GHz and a value of 780 cm-1 at 100 GHz. There were no previous measurements in this frequency range on amorphous silicon, but a comparison with measurements on crystalline Si shows that the attenuation in the amorphous phase differs from that of the crystalline phase by less than an order of magnitude. We have compared our results to those of two published computational models that use a randomized network of 1000 silicon atoms, realistic interatomic potentials, and a relaxation-time approximation calculation. In one model, the internal strain of the amorphous network was included and in the other it was not. Curiously, our measured attenuation falls squarely in between these two calculations.
9:00 AM - A17.11
Stress Analysis of Free-standing Silicon Oxide Films Using Optical Interference
Imen Rezadad 1 Javaneh Boroumand Azad 1 Evan Smith 1 Pedro Figueiredo 1 Robert E. Peale 1
1University of Central Florida Orlando USA
Show AbstractWe report a method for stress measurement and analysis in silicon oxide thin films using optical interference. Effects of different deposition methods and conditions have been studied by fabricating submicron-thick 100-micron-square slabs of oxide supported above a gold-coated surface by arms at one end. Optical interference occurs between reflections from the surface and the oxide slab, giving rise to light and dark fringes that may be imaged with a microscope. Analysis of interference pattern at different wavelengths using Newton&’s ring formula gives the radius of curvature and a measure of intrinsic stress in the slab. We also used this method to analyze extrinsic stress induced by differential thermal expansion for metal-coated films. This method could be very helpful in stress optimization of silicon oxide or other thin films to achieve specific mechanical characteristics in MEMS or nanoelectromechanical device fabrication.
9:00 AM - A17.12
Effect of RF or VHF Plasma on Nanocrystalline Silicon Thin Film Structure: Insight from OES and Langmuir Probe Measurements
Lala Zhu 1 2 Ujjwal Das 1 Robert Birkmire 1 2 Steven Hegedus 1
1University of Delaware Newark USA2University of Delaware Newark USA
Show AbstractIn this work, the properties of both 13.56 MHz (RF) and 40.68 MHz (VHF) plasmas used for CVD deposition of nanocrystalline silicon (nc-Si) films are characterized using Optical Emission Spectroscopy (OES) and Langmuir Probe and are related to the structural properties of the nc-Si films. The structural properties of the Si films were characterized by Raman scattering and X-ray diffraction (XRD) spectroscopy and were found to strongly depend on H2 dilution (R=H2/SiH4) and plasma frequency. At a constant pressure of 3 Torr and power density of 0.2 W/cm2, the RF plasma deposited films are amorphous at R=35 at a growth rate of 3.1 A/s, and are nanocrystalline at R=70 with a Raman crystalline volume fraction, Xc, of 68% at a growth rate of 1.7 A/s. The grain size, estimated from XRD, of the nc-Si films deposited at 3 Torr is less than 10 nm, and increases to 24 nm when the films are deposited at 8 Torr. For films deposited at 3 Torr using VHF, nanocrystalline structures are obtained at a lower H2 dilution of R=10 at a growth rate of 4.6 A/s. The films have an Xc of 75% and grain size of 30nm with preferential (220) orientation. Both the grain size and orientation of nc-Si films were found to be independent of H2 dilution using the VHF plasma. The OES measurement indicate a higher SiH* and Hα/SiH* ratio in VHF plasma compared to RF plasma, which can be correlated to the higher growth rate and Xc. Based on Langmuir Probe measurements, the electron density was calculated using Electron Energy Distribution Function (EEDF) analysis and increases from 1.3x10^15/m3 for the RF plasma to 9.8x10^15/m3 for the VHF plasma, while the electron temperature decreases from 9.5 eV to 7.7 eV. The number of high- energy electrons, E>10 eV, decreases from 46% in RF growth to 22% in VHF growth. For both RF and VHF plasma, the plasma potential and electron temperature are reduced by increasing the pressure which reduces ion bombardment at the growing film surface. In the paper, the structural and plasma properties will be discussed and compared for films deposited using both RF and VHF plasmas where the pressure, power and gas flow were systematically variation.
9:00 AM - A17.13
3-D Modeling of Triple Junction Solar Cells on 2-D Gratings with Optimized Intermediate and Back Reflectors
Olindo Isabella 1 Mostafa El-Shinawy 1 Serge Solntsev 1 Miro Zeman 1
1Delft University of Technology Delft Netherlands
Show AbstractLight management is indispensable for thin-film silicon PV technology. Application of multi-junction concept together with light trapping allows for realization of high efficient solar cell devices. We present the optimization of triple junction structures in a pin configuration based on i) thin design for high stability with targeted total photo-current density (JPH-TOT) > 27.9 mA/cm2 and ii) thick design for high efficiency with targeted JPH-TOT > 30 mA/cm2.
Our simulated solar cells use glass-based 2-D periodic textures coated with a thin-film of In2O3:H (IOH) [1] as substrates. Three p-i-n junctions with a-Si:H, a-SiGe:H, and nc-Si:H absorber layers, respectively, were stacked on these substrates. The rear side was completed with zinc oxide (ZnO) / silver reflector-electrode. Silicon oxide films were used at the same time as n-layer for top and middle component cells and as intermediate reflectors (IRs). An optimization procedure was carried out to obtain the specified JPH-TOT in the current matching conditions and to estimate the conversion efficiency. 3-D finite element method software package (HFSS) [2] was used for the optical simulations. Calculated spectral absorption profiles were used as input for electrical simulations carried out by the ASA software.
In optical simulations, we tuned the thickness of intermediate and back reflectors, the thickness of front IOH and top p-layer, the pyramid shape, the band gap of a-SiGe:H absorber layer, and the thickness (d) of absorber layers. 3-D modeling enabled us to visualize the spatial distribution of electric field inside the device at different wavelengths and to study the contribution of textured back contact to scattering. We found two current-matched structures fulfilling the specified targets, thin design exhibiting JPH-TOT = 28.3 mA/cm2 (da-Si:H = 130 nm, da-SiGe:H = 105 nm, dnc-Si:H = 1100 nm) and thick design achieving JPH-TOT = 30.8 mA/cm2 (da-Si:H = 175 nm, da-SiGe:H = 175 nm, dnc-Si:H = 2800 nm).
To evaluate external parameters from electrical simulations, we tested thin and thick triple junction cells in both current-matched and current-mismatched conditions. The latter was achieved by using not-optimized thicknesses for IRs and back ZnO. The fill factor (FF) was found to be higher for the thin and mismatched structures, while the open circuit voltage slightly increased only in case of thin structures. An interplay between short-circuit current density and FF occurred in case of thick structures, for which the current-mismatched cell exhibited a slightly higher efficiency. Current-matched thin (thick) solar cells resulted in 16.3% (17.1%) potential initial efficiency.
[1] T. Koida, H. Fujiwara, M. Kondo, 2008, Applied Physics Express 1, 041501, doi: 10.1143/APEX.1.041501.
[2] O. Isabella, S. Solntsev, D. Caratelli, M. Zeman, 2012, Progress Photovoltaics: Research and Applications 2012; doi: 10.1002/pip.1257.
9:00 AM - A17.14
Designing Efficient Silicon Thin-film Solar Cells Using Transformation Optics
Yongmin Liu 1 2 Xiang Zhang 3 4
1Northeastern University Boston USA2Northeastern University Boston USA3University of California Berkeley USA4Lawrence Berkeley National Laboratory Berkeley USA
Show AbstractTransformation optics offers a general and powerful methodology to realize the desired optical path and functionality by spatially varying the optical material properties. Tremendous progress has been achieved in transformation optics, including invisibility cloaks, photon black holes, illusion optics, etc. Here, we present the design of efficient silicon thin-film photovoltaic structures using transformation optics. Previously, metallic grating structures have been proposed for plasmonic photovoltaics, which can enhance the absorption of silicon thin film by exciting localized surface plasmons and/or propagating photonic modes in the silicon layer. Meanwhile, in comparison with conventional metal-oxide materials (such as ITO) or single-wall carbon nanotubes, metallic gratings can function as top transparent electrodes to extract photocarriers. There is a tradeoff between the electric conductivity and optical transmission for the metallic gratings. Based on transformation optics, we can optically “cloak” the metallic gratings, while maintaining the good electric conductivity by keeping lateral dimension of the grating as large as possible. We present a simple plasmonic photovoltaic design that can significantly enhance the absorption of the thin semiconductor layer by funneling more light through gratings via the transformation optics approach. This result promises practical applications of transformation optics for solar energy harvesting.
9:00 AM - A17.15
Self-complementary Plasmonic Structures for High Efficiency Broadband Absorber in the Visible Range
Tianyi Sun 1 Yang Wang 2 Zhifeng Ren 1 Krzysztof Kempa 1
1Boston College Chestnut Hill USA2South China Normal University Guangzhou China
Show AbstractIn recent years, the field of plasmonics has experienced a remarkable progress since the extraordinary optical transmission (EOT) was discovered. One of the most interesting problems in the field is to achieve high absorption in a certain frequency range, especially in the visible range. However, due to the resonant nature, most structures using surface plasmon polariton (SPP) only absorb certain engineered wavelengths, and may not be useful as a broadband absorber. Here we demonstrate, by simulation, that a planar 3-layer structure on a metal substrate can highly absorb electromagnetic radiation in the entire visible range, which can become a potential platform for high-efficiency broadband absorber. Such a structure consists of an ultrathin semiconducting layer topped with a solid nanoscopically perforated metallic film and then a dielectric interference layer. It is shown that the perforated metallic film and the ultrathin absorber form an effective metamaterial film, which negatively refracts light in this broad frequency range. Our quantitative simulation confirms that the absorption bandwidth is maximized at the self-complementary pattern of the percolation threshold. If amorphous silicon (a-Si) is selected as the ultrathin semiconducting material, the absorbance of the structure with a checkerboard-patterned perforated metallic film is about 90 % in the visible range (from 400 nm to 700 nm), where 80 % goes into the a-Si layer and the other 10 % being absorbed by other layers. Further simulation shows that for a single p-i-n a-Si junction, the energy conversion efficiency of an optimized structure can exceed 12 %.
A18: Poster Session: Nanostructured Silicon and Related Novel Materials
Session Chairs
Baojie Yan
Sachit Grover
Hitoshi Sai
Thursday PM, April 04, 2013
Marriott Marquis, Yerba Buena Level, Salons 7-8-9
9:00 AM - A18.01
Synthesis and Shape Evolution of Silicon Nanocubes
Zhenyu Yang 1 Alexander R. Dobbie 1 Jonathan G. C. Veinot 1
1University of Alberta Edmonton Canada
Show AbstractWe report the synthesis of alkyl-functionalized silicon nanocubes with edge lengths of 8minus;15 nm using a straightforward two-stage high temperature annealing method. Controlled high-temperature annealing of hydrogen silsesquioxane renders the formation of small spherical silicon nanocrystals (~3 nm). After prolonged annealing, crystal surfaces can thermodynamically self-optimize to form multiple faceted structures (e.g. cubic, truncated trigonal and hexagonal). The size and morphology can be tailored by adjusting annealing time and temperature, following Ostwald ripening mechanism which arises from the increased atom diffusion.
9:00 AM - A18.03
The Investigation of Optoelectrical Properties of Co-doped c-Si for Intermediate Band Solar Cell Application
Zhou Yurong 1 LIu Fengzhen 1 Zhu Meifang 1
1University of China Academy of Sciences Beijing China
Show AbstractIntermediate band (IB) solar cell has been proposed as a kind of new concept photovoltaic devices. The current way to form IB in Si is heavily doping with deep-level impurities. An impurity intermediate band could form when the impurity concentration is above the Mott limit (5.9×10^19 cm^-3).
In this paper, Co was selected as the deep energy impurity to be doped in Si. First-principles calculations were carried out, which indicate that an intermediate band would appear in the silicon band gap for heavily Co-doped Si samples with the Co concentration of 2.3×1020cm-3. Ion implantation and a novel combination technique of sputtering and hot-wire chemical vapor deposition (S-HWCVD) were used to realize the heavily doping of Co in Si. Pulse laser melting was applied to recover the crystal lattice after the Co doping. SIMS measurement indicates that the Co concentrations surpass the theoretical Mott limit in the surface layers with the thicknesses of 60 and 80nm for the implantation dose of 5×10^15 and 2×10^16 cm^-2, respectively. For Co-doped Si films prepared using S-HWCVD, uniform distributions of the Co concentration along the film growth direction with the concentration above 5×1020cm-3 were achieved.
The temperature dependence of the resistances indicates an insulator-to-metal transition in Co-doped Si samples in the doped range of 3×10^19 - 6×10^20 cm^-3. Based on a simplified two-layer model, the temperature dependence of the sheet resistance is analyzed and is well explained by the formation of an intermediate band formed in the gap of implanted Si layer. The fitting result indicates that the intermediate band locates at about 0.47 eV below the conduction band edge of Si. The sub-band gap absorption enhances significantly with the increases of Co concentration in samples. The I-V curves of the heavily Co-implanted samples present a rectifying behavior, moreover, both open-circuit voltage and short-circuit current increase with the increasing of the doping concentration. The heavily Co-doped Si is a kind of potential material for intermediate band solar cells.
9:00 AM - A18.04
Photothermal Laser Processing of Thin Silicon Nanoparticle Films
Dennis Behrenberg 1 Steffen Franzka 1 Nils Petermann 1 Hartmut Wiggers 1 Nils Hartmann 1
1University of Duisburg Essen Essen Germany
Show AbstractPhotothermal laser processing of thin films of H-terminated silicon nanoparticles (Si NPs) is investigated. Ethanolic dispersions of Si NPs with an average diameter of 45 nm are spin-coated on silicon substrates yielding films with thicknesses le; 500 nm. Small-area laser processing is carried out using a microfocused scanning cw-laser setup operating at a wavelength of 532 nm and a 1/e laser spot size of 1.4 µm. In conjunction with microscopic techniques, this provides a highly reproducible and convenient approach in order to study the dependence of the resulting film morphology and composition on the experimental parameters. Processing in air results in strongly oxidized granular structures with sizes between 100 and 200 nm. The formation of these structures is dominated by surface oxidation. In particular, changing the processing parameters (i.e. laser power, writing speed, and/or the background air pressure) has little effect on the morphology. Only in vacuum at pressures < 1 mbar, oxygen adsorption, and hence oxide formation, is largely suppressed. Under these conditions, irradiation at low laser powers results in mesoporous surface layers, whereas compact silicon films are formed at high laser powers. In agreement with these results, comparative experiments with films of H-terminated and surface-oxidized Si NPs reveal a strong impact of the surface oxide layer on the film morphology. Mechanistic aspects and implications for photothermal processing techniques, e. g. targeting photovoltaic and thermoelectric applications, are discussed.
9:00 AM - A18.05
Light Absorption Management in Silicon Nanostructures
Baomin Wang 1 Paul W Leu 1
1University of Pittsburgh Pittsburgh USA
Show AbstractRecently, silicon nanowires and tapered silicon nanowires or nanocones have been suggested as promising nanomaterials for a variety of optoelectronic devices. In this poster, we demonstrate how light absorption in silicon nanostructures can be tuned for narrow band applications such as photo detectors or sensors and broadband applications such as solar cells. In particular, we demonstrate that vertical silicon nanowires exhibit tunable and selective resonant absorption and then by tapering these nanowires, the absorption spectrum can be broadened for better photovoltaic conversion efficiencies.
In vertical nanowires, incident light only excites hybrid TM-dominant HE_{1m} leaky modes due to symmetry matching requirements. The transverse resonances associated with these hybrid modes result in strong absorption enhancements that may be adjusted by changing the nanowire diameter. In particular, the fundamental HE_{11} transverse resonance may be tuned across a wide range of wavelengths and is separated from that of the HE_{12} mode by a large spectral gap, which is advantageous for wavelength-selectivity. This is useful for optoelectronic applications such as photo detectors. By tapering the nanowires, we break the symmetry of the nanowires and can obtain more broadband absorption. The optical absorption and ultimate efficiencies of different geometries are calculated. Tapered silicon nanowires were found to exhibit enhanced efficiencies of about 20% compared with vertical nanowires of the same length. This novel structure can be used to fabricate the next generation photovoltaics with superior performance.
A19: Poster Session: Novel Silicon-based Devices
Session Chairs
Baojie Yan
Sachit Grover
Hitoshi Sai
Thursday PM, April 04, 2013
Marriott Marquis, Yerba Buena Level, Salons 7-8-9
9:00 AM - A19.01
SiC Monolithically Integrated Wavelength Selector with 4 Channels
Manuela Vieira 1 2 3 Manuel Augusto Vieira 1 3 Vitor Silva 1 3 Paula Louro 1 3 Manuel Barata 1 3
1ISEL Lisbon Portugal2FCT-UNL Lisbon Portugal3UNINOVA Lisbon Portugal
Show AbstractReconfigurable multi-rate next generation optical networks are currently investigated to handle the ever increasing growth of the Internet traffic. Reconfigurable wavelength selectors that allow for operation on a large number of wavelength channels, with dynamic response, are essential sub-systems for implementing reconfigurable WDM networks and optical signal processing. In this paper we present a monolithically integrated wavelength selector based on a multilayer SiC/Si integrated optical active filters that requires optical switches to select wavelengths. Wavelength-selection functionality is demonstrated using integrated SiC gates.
The wavelength selector filter is realized by using double pin/pin a-SiC:H photodetector with front and back biased optical gating elements. Red, green, blue and violet pulsed communication channels are transmitted together, each one with a specific bit sequence. The combined optical signal is analyzed by reading out the generated photocurrent, under different background wavelengths applied either from the device front or back side. The front and back backgrounds act as channel selectors that select one or more channels by splitting portions of the input multi-channel optical signals across the front and back photodiodes. The transfer characteristics effects due to changes in steady state light, irradiation side and frequency are presented. The relationship between the optical inputs and the corresponding digital output levels is established to perform the optoelectronic conversion.
Results show that the wavelength selector under appropriate optical bias, acts as a reconfigurable active filter that allows the development of optical switching and the implementation of optoelectronic logic functions. The output waveform presents a nonlinear magnitude-dependent response to the wavelengths of the input channels. Under controlled wavelength backgrounds the light-to-dark sensitivity can be enhanced in a specific wavelength range and quenched in the others, tuning a specific band. Depending on the wavelength of the external background the device acts either as a short- or a long- pass filter or as a band-stop filter. A binary weighted RGBV code that takes into account the specific weights assigned to each bit position is presented and establishes the optoelectronic functions. The device selects, through the violet background, one of the sixteen possible input logic signals and sends it to the output. The output is a four-bit [S3 S2 S1 S0] binary RGBV number that identifies one of sixteen possible inputs.
An optoelectronic capacitive model supports the experimental results.
9:00 AM - A19.02
A Novel Fully Self Aligned Method for Formation of Asymmetry Lightly Doped Drain Structure on Poly-si TFTs Using a High Accuracy Mask Free Photolithography
Se Wan Son 1 Min Sun Kim 2 Chang Woo Byun 1 Yong Woo Lee 1 Seung Jae Yun 1 Seung Ki Joo 1
1Seoul National University Seoul Republic of Korea2Korea Electronic Technology Institute Seoul Republic of Korea
Show AbstractIn this work, we devised and experimentally demonstrated a novel fully self aligned method for formation of Lightly Doped Drain (LDD) structure on Poly-Si Thin Film Transistors (TFTs) using gate insulator as a self aligned doping mask. The newly developed method named Tilted Back surface Exposure (TBE) is a kind of mask free photolithography technique. In a Back surface Exposure process the photo resist coated on the front side of substrate is exposed to UV light passing through the glass substrate and transparent patterns, except for the areas shaded by gate metal patterns. In the case of the Tilted Back Surface Exposure process, partial shades are made along the one side of gate metal pattern due to the angle between substrate and UV light source and Photo resist patterns which will be used as the LDD mask in the gate insulator etching process are made by the partial shade. The unique feature of the TBE process is that the formation of asymmetry lightly doped domain can be realized without any additional Mask step or implantation step. In the previous work, it was found that Asymmetry LDD structure could more effectively suppress the off-state leakage current than the symmetry LDD structure without degrading the performance of the TFTs. It has been found that asymmetry patterns could be realized using TBE process with submicron accuracy by adjusting the angle between the substrate and light source. The asymmetry LDD TFTs fabricated using TBE process shows almost the same electrical properties as the asymmetry LDD TFTs using a separate LDD Mask. From the results it has been demonstrated that the TBE process is very effective method for formation of asymmetry LDD structure.
9:00 AM - A19.03
Design of an Optical WDM Transmission Link Using Plastic Optical Fibers
Paula Louro 1 2 Pedro Soares 1 Hugo Ferraz 1 Pedro Pinho 1 Manuela Vieira 1 2 3
1ISEL Lisboa Portugal2CTS Monte Caparica Portugal3FCT-UNL Monte Caparica Portugal
Show AbstractIn this paper we present the design of an optical transmission system using plastic optical fibers (POF). The system operates in the visible range where these types of fibers exhibit less attenuation. The optical transmitted signals are generated by modulated visible LEDs and at the reception end an integrated photodetector/WDM device is used for detection and demultiplexing the transmitted signals. These type of transmission systems are used for short range communication links, e. g., automotive vehicles, domestic and office LANS, medicine instruments, etc..
Preliminary studies used a computer simulation tool dedicated to the analysis of optical circuits. A simple optical communication system operating in the visible range was properly parameterized using the available optical modules of the simulation tool.
The performance of the optical transmission link was analyzed by eye diagrams and BER prediction. Multiple commercial POFs of graded index core were tested using different visible wavelengths (650 nm: red, 526 nm: green, 470 nm: blue) supplied by light emitting diodes (LED) and laser diodes (LD). The length of the fiber was varied from 0.01 Km up to 1 Km as the purpose of the link is for short range transmission. The module for signal detection used a standard pin photodiode designed for operation in the visible range.
Results show that at 650 nm acceptable (BER < 10-9) transmission data rates (100 Mbps) were observed for short length POF (100 m) using LEDs. With LD as optical source the transmission rate goes up to 35 Gbps.
The tested optical system was assembled using high efficiency LEDs of the same wavelength, a commercial POF of 0.01 km length and a pin-pin photodetector based on a-SiC:H/a-SI:H. This detector behaves as an optical filter with controlled wavelength sensitivity.
Different optical signals, obtained by adequate modulation of LED optical sources, were coupled into the POF. The multiplexed optical signal at the fiber termination was directed onto the active area of the photodetector. The generated photocurrent was measured under reverse bias with and without optical bias. A simple recognition algorithm was used to extract the input optical signals from the electrical multiplexed signal. Results show the feasibility for using such transducer as an integrated photodetector and WDM device in a POF transmission link.
9:00 AM - A19.04
A Low-cost Schottky Junction Solar Cell Using a Thin (50-mu;m) Crystalline Si Film Stripped from the Wafer by Electrodeposition
Han-Don Um 1 Kwang-Tae Park 1 Jin-Young Jung 2 Keya Zhou 2 Sang-Won Jee 2 Young-Im Kwon 3 Sang-Hwa Yoon 3 Bongyoung Yoo 3 Jung-Ho Lee 2
1Hanyang University Ansan Republic of Korea2Hanyang University Ansan Republic of Korea3Hanyang University Ansan Republic of Korea
Show AbstractIn recent years, research of the solar community focuses on reducing a cost while maintaining or increasing a conversion efficiency of solar cells. There is also great interest in developing thin crystalline silicon (c-Si) solar cells employing film thicknesses (1-50 mu;m). This has been usually performed via stress induced lift-off method (SLIM-cut), epi-Si growth & layer transfer, hydrogen implantation-assisted lift-off method. A main hurdle for this research is a high-temperature (>800 °C) treatment required for exfoliating a thin c-Si layer from the wafer, which normally degrades a morphology of a thin film while generating dislocations, stacking faults, and untailored diffusion of impurities. In addition, conventional thermal diffusion for junction formation is difficult to apply because a high-temperature step after exfoliation easily causes severe bowing of a thin-layer. Here, we propose a novel electrodeposition-assisted process (EAP) for exfoliating a thin c-Si layer from the wafer, in which the internal tensile stresses are specifically tailored during electrodeposition; moreover, we also adopt a Schottky junction structure to avoid high temperature steps after exfoliation.
A 525-mu;m-thick, n-type Si(100) wafer was used as a starting material. A ~50-nm-thin Ti/Ni layer was thermally evaporated on the Si substrate for promoting adhesion between a substrate and an electrodeposited layer. Ni and Ni-P alloys were electrodeposited from chloride baths containing 0.6 M NiCl2, 0.5 M H3BO3 and 0-0.01M H3PO3 at a constant current density. We specifically engineered tensile stresses during EAP at room temperature. The optimal tensile stress can be chosen by controlling H3PO3 concentration and current density, which also determines a thickness of a thin c-Si layer stripped from a bulk wafer. A stressed metal layer can be removed by metal-etching solution for producing a stress-free Si film. To make a Schottky junction solar cell, a thin (10 nm) gold film was thermally evaporated onto a stress-free, n-doped Si layer. A thin (~ 2 nm) Al2O3 layer deposited at low temperature by atomic layer deposition (ALD) was inserted in between a gold film and Si because it was effective to boost up the conversion efficiency. As a result, an open circuit voltage of 451mV, a short circuit current of 26.72 mA/cm2, and a cell conversion efficiency of 7.98% were obtained using a stress-free, 50-mu;m-thick, n-doped c-Si film.
9:00 AM - A19.05
Development of Silicon Layer Transfer Technique Using Mid-air Structure for Thin Film Transistor Fabrication
Kohei Sakaike 1 Yoshitaka Kobayashi 1 Shogo Nakamura 1 Shohei Hayashi 1 Muneki Akazawa 1 Seiji Morisaki 1 Seiichiro Higashi 1
1Hiroshima University Higashihiroshima Japan
Show AbstractFor the realization of high-functionality flexible electronics, various channel materials for thin-film transistors (TFTs) fabrication such as oxide and organic semiconductors have been investigated intensively. On the other hand, silicon(Si) thin-film based TFTs are more attractive in terms of high mobility, high reliability, and capability to integrate CMOS circuits. However, it is not easy to form high-crystallinity Si films and fabricate devices on flexible substrates under limited thermal budget. We have proposed and succeeded in a novel layer transfer and simultaneous crystallization technique of large area (6x6 mm^2) amorphous Si (a-Si) films (thickness : 50-1000nm) to glass and polyethylene terephthalate substrates using mid-air structure [1]. In this work, this transferred Si films were applied to the fabrication of polycrystalline Si TFTs. In the experiment, 100-nm-thick a-Si films were deposited on quartz substrate by plasma enhanced chemical vapor deposition (PECVD) at 265 degrees C. Dehydrogenation was carried out at a substrate temperature of 450 degrees C in N2 ambient for 1 hour. Holes were made on a-Si films by photolithography and chemical dry etching (CDE). The planar dimension of the holes was 2 um x 4 um. The holes were formed at regular intervals of 9 um. The starting and counter quartz substrates were in close contact and face-to-face, and the a-Si films were irradiated by an 812 nm light from an SDL at an output power of 45 W from the backside of the starting substrate. The substrate was linearly moved by a motion stage at a scanning speed of 10 mm/s. The source and drain were formed by ion implantation of phosphorus at a dosage of 5x10^15 cm^-2. The SDL irradiation was performed to activate the phosphorus atoms in the source and drain regions. Then the channel, source and drain isolation etching were performed. A 200-nm-thick gate SiO2 film was deposited at 300 degrees C by remote plasma CVD. After opening contact holes, the gate, source and drain electrodes were formed by Al evaporation and wet etching. The TFT dimensions are L=15 um and W=30 um. The TFTs showed a high on/off ratio more than 10^5, mobility of 30 cm^2/Vs and a sub-threshold swing (S factor) of 2.06 V/dec, respectively. By performing the heat treatment at 260 degrees C with 1.0x10^6 Pa H2O vapor for 6 hour, a mobility and S factor were improved in 47 cm^2/Vs and 0.63 V/dec, respectively. This result suggests that the improvement in transferred Si TFTs performances are due to the reduction of the defect densities in the transferred Si films and SiOx /Si interface. In addition, when TFTs were fabricated using transferred Si films with higher crystallinity(~100%), the TFTs showed a high mobility of 268 cm^2/Vs and a low threshold voltage of 2.0V, respectively. These results indicate that the present layer transfer technique is very promising for a thin film device fabrication on flexible substrates. [1] K.Sakaike,: et al., ECS Tranzaction, 50 (2012) 43-48.
9:00 AM - A19.06
Optoelectronic Logic Functions Using Optical Bias Controlled SiC Multilayer Devices
Manuel Augusto Vieira 1 3 Manuela Vieira 1 2 3 Vitor Silva 1 3 Paula Louro 1 3 Alessandro Fantoni 1 3 Manuel Barata 1 3
1ISEL Lisbon Portugal2FCT-UNL Lisbon Portugal3UNINOVA Lisbon Portugal
Show AbstractOptical communication in the visible spectrum usually interfaces with an optoelectric device for further signal processing. Multilayered Si/C structures based on amorphous silicon technology, under suitable electric and optical bias conditions, are reconfigurable to perform WDM optoelectronic logic functions. They have a nonlinear magnitude-dependent response to each incident light wave. This nonlinearity can be used to boost signal after decoder or before encoder transmitted information.
The purpose of this paper is the design of simple combinational optoelectronic circuit based on SiC technology, able to act simultaneously as a 4-bit binary encoder or a binary decoder in a 4-to-16 line configurations. The 4-bit binary encoder takes all the data inputs, one by one, and converts them to a single encoded output. The binary decoder decodes a binary input pattern to a decimal output code.
To analyze the encoder/decoder device under information-modulated wave (color channels) and uniform irradiation (background) four monochromatic pulsed lights (input channels): red (R: 650nm), green (G: 526 nm), blue (B: 470 nm) and violet (V: 400 nm) shine on the device. Steady state red, green, blue and violet optical bias was superimposed separately from the front and the back sides, and the generated photocurrent measured at -8V. Results show that those devices, under appropriate optical bias, act as reconfigurable active filters that allow optical switching and optoelectronic logic functions development. Under front violet irradiation the magnitude of the red and green channels are amplified and the blue and violet reduced. Violet back irradiation cuts the red channel, slightly influences the magnitude of the green and blue ones and strongly amplifies de violet channel. This nonlinearity provides the possibility for selective removal of useless wavelengths. Particular attention is given to the amplification coefficient weights, which allow taking into account the wavelength background effects when a band needs to be filtered from a wider range of mixed signals, or when optical active filter gates are used to select and filter input signals to specific output ports in WDM communication systems.
A relationship between the optical inputs and the corresponding digital output levels is established. The device selects, through the violet background, one of the sixteen possible input logic signals and sends it to the output. The output is a four-bit [S3 S2 S1 S0] binary [R G B V] number that identify o ne of sixteen (24) possible inputs. A binary color weighted code that takes into account the specific weights assigned to each bit position establish the optoelectronic functions. A truth table of an encoder that performs 16-to-1 multiplexer (MUX) function is presented. An optoelectronic model gives insight on the physics of the device.
9:00 AM - A19.07
Micromachined Acoustic Tweezers for Particles Smaller than 10 Microns in Diameter
Arash Vafanejad 1 Youngki Choe 1 Eun Sok Kim 1 Kirk K Shung 1
1University of Southern California Los Angeles USA
Show AbstractThis paper describes a micro-fabricated acoustic tweezers consisting of multi-foci Fresnel zone plates built on 10 µm thick ZnO film that can capture particles, smaller than 10 microns in diameter. The multi-foci Fresnel zone plates are designed to produce acoustic Bessel beam containing a negative axial radiation force capable of trapping one or more microparticles. The fabricated acoustic tweezers successfully captured microspheres ranging in diameter from 5 to 6 µm at 400, 800 and 1,200 µm from the tweezers (depending on the designs of the Fresnel zone plates) without any mechanical contact between the transducer and microspheres.
The acoustic tweezers is built on a thin diaphragm of low-stress silicon nitride film, released through KOH etching of silicon. After releasing the diaphragms, a 0.2 µm Aluminum layer is deposited for the bottom electrode. Since the tweezers is designed to operate at 300MHz, the thickness of piezoelectric ZnO film is chosen to be 10 µm. After ZnO deposition, 0.2 µm thick Aluminum is deposited and patterned to form the Fresnel zone plates. The fabricated devices are characterized with a network analyzer, and shown to have the fundamental resonant frequency of about 300MHz with a quality factor (Q) of 100 in air. The Q is relatively low due to the relatively low quality of the thick ZnO film that also wrinkles the diaphragm.
For low energy trapping of microparticles, a pulsed 300MHz sinusoidal signal (with pulse width of 1 µsec and sinusoidal amplitude of 160 Vpeak-to-peak) is applied to the acoustic tweezers with 10 - 20 kHz pulse repetition frequency. With the liquid reservoir (filled with DI water and microspheres), the tweezers is fixed to a manual XYZ moving stage, so that the tweezers can be moved around while it is holding a microsphere. The movement of the microspheres is observed and recorded with a CCD camera attached to a microscope and connected to a computer. As the actuated tweezers produces acoustic waves that stir the water and microspheres, the microspheres circle around the tweezers. Once a microsphere of 5 µm in diameter hits the location where the Bessel beam is generated, the microsphere is firmly trapped to the spot and held there. If we move the tweezers through moving the XYZ stage, the trapped microsphere follows the movement of the tweezers, confirming the firm trapping of the microsphere. Microspheres larger than 5 µm in diameter (up to 70 µm) also have been tried, but the acoustic tweezers does not capture any of those, since the tweezers is designed to capture particles smaller than 5 µm in diameter. Our previous acoustic tweezers based on PZT sheet is the one capable of capturing particles larger than 10 µm in diameter. Thus, with the micromachined ZnO-film-based acoustic tweezers, we now have acoustic tweezers technology that allows tweezing of particles of various sizes ranging from a few microns to a few hundred microns in diameter, in 3D space, with little temperature rise.
9:00 AM - A19.08
Flexible a-Si Thin Film Transistor Using Laser Lift-off Technique
Jung-hwan Son 1 Tae-joon Song 2 Soon Sung Yoo 2 Keon Jae Lee 1
1KAIST Daejeon Republic of Korea2LG Display Paju-si Republic of Korea
Show AbstractFlexible electronic devices which are lightweight, thin and bendable have attracted increasing attention in recent years. In particular, flexible display has been attracted in the field of flexible electronics. To realize flexible display, flexible thin film transistor (TFT) should be fabricated on plastic substrate to drive each pixel in display panel. .
Recently, various TFTs such as a-Si TFT, low temperature poly-Si (LTPS) TFT and oxide TFT were fabricated directly on the plastic films by reducing maximum fabrication temperature to below 200C. The most TFTs formed directly on plastic substrates, however, have insufficient performance compared to that of present TFTs on rigid substrates. In addition, many fabrication processes, including photo-lithography and thin film growth, are extremely restricted due to thermal expansion of plastics. Although the best solution of these problems is to transfer TFTs fabricated on rigid substrate to plastic substrates, there has been no proper process to realize these solution.
Laser lift off (LLO) technique has been researched to separate epitaxial grown GaN thin films from sapphire substrates for high quality GaN wafer. Recently, the applications of LLO technique have been extended to various fields such as flexible electronics because of its merit that high quality thin film can be transferred to another substrate by delaminate sacrificial layer.
Herein, we describe TFTs fabricated on plastic substrate by LLO technology. The fabricated TFTs on Si3N4 (buffer layer) / a-Si (sacrificial layer) / glass substrate were successfully transferred to flexible substrates by optimizing LLO process using suitable passivation material and handling tools. The demonstrated flexible a-TFTs have stable mechanical properties and maintained its electric performance on glass substrate during severe bending state. The obtained results may open up new possibilities of realizing not only flexible display but also fully flexible electronics systems.
9:00 AM - A19.09
Stacked MIS/PINIP a Si:H Based Structures for X-Ray Image Detection Trough the LSP Technique
Miguel Fernandes 1 2 Yuri Vygranenko 1 2 Manuela Vieira 1 2
1ISEL Lisbon Portugal2UNINOVA Caparica Portugal
Show AbstractCurrently, a substantial effort is still devoted to the development of the technology to replace the old style film based x-ray imaging. Different approaches are being followed by considering direct or indirect conversion, with the later technique dominating in terms of performance. The typical, indirect conversion, x-ray panel detector uses a large area array of amorphous silicon based optical sensors as active elements and a phosphor for x-ray conversion. Several structures can be considered for the active element, namely schottky or PIN photodiodes and MIS structures.
While most of the work is being directed towards the development of the PIN structure, the MIS type photosensor has also some interesting characteristics that make him a serious candidate to be used as the active element in large area x-ray panels. The major advantage of the MIS structure over PIN is fact that this device has the same layer sequence as the a Si:H TFT switch and therefore, they can be fabricated simultaneously resulting in an effective reduction in the lithography mask count. Through the use of the Laser Scanned Photodiode (LSP) technique and a switching PINIP structure the electrical switching performed by the TFT can be replaced by the optical scanning, thus resulting in a simpler device.
Parameters of major importance in the selection of the active device are the spectral response, whose maximum should coincide with the peak emission of the phosphor, and dark current.
In this work we present the spectral quantum efficiencies and dark current characteristics of stacked MIS\PINIP structures. A method and a test setup were developed to measure the transient dark current and spectral response characteristics of the sensor. Using this novel method the structures have been characterized under different electrical and optical biasing conditions. The test results along with a device model are presented and discussed. The observed changes in the spectral response characteristics with variations of the biasing pulse amplitude are easily understood by analyzing the charge carrier transport in the active layer under different wavelengths of the incident light.
A9: Crystalline Si Based Novel Solar Cells and Device Architectures
Session Chairs
Thursday AM, April 04, 2013
Moscone West, Level 2, Room 2000
9:30 AM - *A9.01
Bulk Minority-carrier Lifetime Enhancements in Thin Kerfless Silicon
David Berney Needleman 1 Sergio Castellanos 1 David P. Fenning 1 Jasmin Hofstetter 1 Maulid M. Kivambe 1 Ashley E. Morishige 1 Douglas M. Powell 1 Sin Cheng Siah 1 Tonio Buonassisi 1
1MIT Cambridge USA
Show AbstractFor photovoltaic (PV) devices to reach costs commensurate with subsidy-free grid-scale adoption, power conversion efficiencies ge;20% are needed with low silicon usage (grams Si/W). Thin, kerfless silicon wafers present a path to low silicon usage, but prior commercial attempts to achieve high efficiencies have failed in part due to high densities of lifetime-limiting bulk defects. Power conversion efficiencies exceeding 20% generally require bulk minority carrier lifetimes in the range of 100 to 1000 µs, depending on device architecture.
Processed bulk lifetimes within these targets have been achieved, leveraging these foundational materials research advances:
(1) Defect detection: A suite of methods has been developed to characterize defects and their electrical impacts over seven orders of magnitude in length scale, enabling correlation of nanoscale defects with macroscopic bulk minority-carrier lifetime limitations, as well as hierarchical identification of key performance-limiting defects.
(2) Accurate bulk lifetime measurements: Estimation of true performance potential requires the development of lifetime characterization methods that decouple bulk-limited lifetime from recombination at either surface. This goal has been achieved via transient lifetime characterization techniques with nanosecond response times.
(3) Defect engineering: Defect-engineering technologies were developed that reduce the densities of performance-limiting defects during growth and cell processing. A key feature of industrially impactful results is the ability to predict defect behavior via kinetic and thermodynamic simulations.
10:00 AM - A9.02
Ultra-thin, Flexible Black Si Solar Cell Exceeding 12% Efficiency
Sangmoo Jeong 1 Yi Cui 2
1Stanford University Stanford USA2Stanford University Stanford USA
Show AbstractWe successfully demonstrated a power conversion efficiency of 12.9% from a nanostructured 10 µm-thick Si solar cell, which is the highest efficiency ever reported from ultra-thin Si solar cells. We achieved this performance by improving the solar cell&’s external-quantum-efficiency (EQE) at short wavelengths by more than 60%, overcoming a fundamental problem in nanostructured solar cells. Nanostructures, which can enhance the light absorption significantly, have a huge potential not only to improve the performance, but also to reduce the cost of thin Si solar cells. However, current nanostructured Si solar cells are not efficient in collecting the charge carriers generated by short-wavelength light, normally yielding less than 50% EQE at 400 nm wavelength light. This low EQE results from the fact that the enhanced light absorption achieved by nanostructures leads to the generation of charge carriers in a highly-doped emitter layer at the front of the solar cell, and many of these charge carriers are lost to Auger recombination. In order to solve this problem, we designed a highly-doped emitter layer at the back of the solar cell rather than the front, which is a so-called all-back-contact design. By applying the all-back-contact design to a nanostructured Si solar cell, we achieved higher than 85% EQE over a spectrum of wavelengths from 400 - 900 nm in a 10 µm-thick device. Furthermore, this ultra-thin, highly-efficient Si solar cell can be fabricated with a simple, inexpensive method. In order to make the all-back-contact design for an ultra-thin solar cell, the pitch between two contacts should be less than 80 µm for efficient carrier extraction. We devised a simple, alignment-free method and successfully demonstrated the all-back-contact design with a pitch of 80 µm. Our simply fabricated, highly efficient Si solar cell with a thickness of 10 µm is completely flexible; it can therefore be transferred to any flexible and inexpensive substrate, reducing module cost even further. Detailed studies of the fabrication process and device performance will be presented.
10:15 AM - A9.03
Improvement of Seed Layer Smoothness for Epitaxial Growth on Porous Silicon
Roberto Martini 1 2 Hariharsudan Sivaramakrishnan Radhakrishnan 1 2 Valerie Depauw 2 Kris Van Nieuwenhuysen 2 Ivan Gordon 2 Mario Gonzalez 2 Jef Poortmans 2 1
1KU Leuven Leuven Belgium2IMEC Leuven Belgium
Show AbstractOne of the challenges in today&’s photovoltaic industry and research is to thin down silicon solar cells from 180µm to 40-50µm. The driving force that leads in this direction is two-fold: on one hand, the cost of bare silicon still accounts for most of the cost of a solar module and, on the other hand, simulations show that the maximum efficiency can be only reached for such thin substrates.
Two main issues arise when the substrates are thinned; firstly, no reliable method to produce such substrates without material losses exists and, secondly, the fragility of thin silicon substrates makes them difficult to handle and process.
One of the most promising methods to solve both issues at the same time is the layer transfer technique based on a weak porous silicon (PS) layer. Within this technique a silicon substrate is electrochemically etched to create a thin PS layer which is afterward annealed to close the top surface. The top surface is then employed as a seed layer for the epitaxial growth of a 40µm-thick silicon layer which can then be detached and processed as a solar cell on low-cost substrates or stand-alone, while the parent wafer is reused [1].
High efficiency silicon solar cells produced with this technique rely on high quality epitaxial growth. Donolato [2] linked the decrease of dislocation density to the increase of minority-carrier lifetime while Alberi et al. [3] showed how the open circuit voltage in epitaxial silicon solar cell increases by decreasing the dislocation density. Recently, Haase et al. [4] presented an analysis of the efficiency of back-contacted solar cells with thicknesses from 290 to 45µm and they reported a drop in efficiency due to a low carrier lifetime in the epitaxially grown base. Since crystalline defects inside epitaxial layers are known to increase with the seed layer roughness, an analysis of the seed layer smoothness prior the epitaxial growth is of foremost importance to enhance the efficiency of epitaxial solar cells.
This work reports on the reduction of the roughness of the PS top surface to improve the quality of the epitaxial layer grown on it. Different samples are prepared by changing the parameters involved in the PS formation, i.e. current density, doping level of the substrate, etching time and electrolyte composition, and they are all annealed for 10 minutes at 1100°C to close the top surface. Two clear trends have already been evidenced by means of SEM inspections and high resolution profilometry: smoother seed layers are obtained by decreasing the doping level of the substrate and by reducing the etching time. The study of all the etching parameters will help the optimization of the PS structure in order to increase the quality of the epitaxial foil and to reduce, in this way, bulk recombination due to crystalline defects.
[1]Brendel,Jpn.J.Appl.Phys.,2001,40,4431
[2]Donolato,J.Appl.Phys.,1998,84,2656
[3]Alberi et al.,Appl.Phys.Lett.,2012,101,123510
[4]Haase et al.,27th EUPVSEC,2012
10:30 AM - A9.04
Waferscale Photovoltaic Application of a Radial p-n Junction Silicon Nanohole Array Integrated in Thin (~50 mu;m) Crystalline Silicon
Yoon-Ho Nam 1 Han-Don Um 1 Keya Zhou 2 Jae-Won Song 2 Min-Joon Park 1 Sun-Mi Shin 1 Jung-Ho Lee 2
1Hanyang University Ansan-si Republic of Korea2Hanyang University Ansan-si Republic of Korea
Show AbstractRecently, there is considerable interest to utilize thin crystalline silicon (c-Si) for further reducing the photovoltaic module cost. However, along with poor light absorptance, the collection efficiency of photogenerated carriers was reported to decrease for thinner c-Si. Here, we demonstrate the waferscale photovoltaic application of cost-efficient Si nanoholes (NHs) on the surface of thin c-Si wafers. Si NHs are a promising platform for thin crystalline Si solar cells because strong light absorptance by the light trapping and antireflectance can be provided with efficient carrier collection via radial p-n junctions utilizing NH sidewalls. To form periodic SiNH arrays, a heavily n-doped (0.01-0.02 #8486;cm) 4” Si wafer was etched using metal-induced electroless etching combined with polystyrene (PS) nanosphere lithography. The periodicity and diameter of SiNH arrays were 1 mu;m and 500 nm, respectively. A p-type shallow radial junction was conformally formed according to a surface topology of the SiNH arrays by using boron dopant diffusion from a BBr3 source. For surface passivation of a p-type emitter, an aluminum oxide film was deposited using atomic layer deposition. Then, a ~200-nm-thick Ti/Au layer was thermally evaporated on a wafer backside for serving as a back-reflector as well as a contact electrode. Top-contacts were made to the front-side using Ag layer. Light absorptance of SiNH arrays was optically simulated using COMSOL multiphysics as a function of diameter and length. Our SiNH arrays as an effective medium were observed to reduce the difference of optical impedances between Si and air. In addition, periodic NH arrays were found to behave as an optical grating structure for excitation of guided resonances. These features allow for enhancing the light absorption. Thus, the short-circuit current density (Jsc) and a power conversion efficiency (PCE) were improved compared to a planar cell without NHs. Moreover, the interesting thing we note was that the internal quantum efficiency (IQE) was observed to improve in a thin (~50 mu;m) NH sample compared to a SiNH solar cell using a thick (200 mu;m) wafer, especially in NIR region. Our optical simulation suggested the optimal design of SiNH structures in order to maximize the NIR absorptance as a function of a wafer thickness. For thinner c-Si substrates, radial junctions which are beneficial for carrier collection are estimated to play a more crucial role because the long-wavelength-light reflected by a metal back-reflector can be absorbed in junction regions more than in bulk.
10:45 AM - A9.05
Large-area 3-Dimensional Periodic Crystalline Si Nano-architectures for Thin Film Solar Cells: Electronic Properties and Optical Design
Tobias Sontheimer 1 Veit Preidel 1 Daniel Lockau 1 3 Matthias Fehr 1 Eveline Rudigier-Voigt 2 Matthias Bockmeyer 2 Lars Korte 1 Alexander Schnegg 1 Christiane Becker 1 Bernd Rech 1
1Helmholtz Zentrum Berlin fuer Materialien und Energie Berlin Germany2SCHOTT AG Mainz Germany3Konrad-Zuse-Zentrum fuer Informationstechnik Berlin Berlin Germany
Show AbstractThe development of highly efficient crystalline Si thin film solar cells necessitates the design of effective light harvesting architectures that are composed of Si structures with excellent electronic quality and perfectly passivated interfaces.
By combining nanoimprint lithography with the emerging high-rate deposition technique electron-beam evaporation of Si, we developed a low-cost and easily scalable fabrication process for periodic arrays of Si crystals on large areas of 50 cm2 in a solid phase crystallization and subsequent selective etch process. This paper addresses the design of such tailored 3-dimensional Si architectures on a periodic nanoimprinted sol-gel pattern, by complementing an optical analysis with an investigation of the performance-limiting bulk and interface related defect concentrations.
The structural design is revealed to be versatile, being controlled simply by the size, period and feature shape of the used imprint stamp for structures in the nm to µm range [1]. However, the growth mechanism of the evaporated Si on the structured surface determines the material quality of the bulk Si. In addition, the large surface area of the Si structures comprises a significant number of recombination-active defects, which can be passivated by depositing a thin conformal layer of hydrogenated amorphous intrinsic Si. By carrying out electron spin resonance measurements on structures with various surface-to-volume ratios (A/V) between 1.5 and 5.5 µm-1 with and without passivated interfaces, we could respectively investigate bulk defects and interface defects. The passivation process leads to an effective saturation of 8x1012 defects per cm2 at the Si/air interface of the investigated architectures. Passivated Si structures with identical growth characteristics but different (A/V) were consequently found to contain equivalent defect concentrations per volume. The bulk defect concentration depends on the sol-gel structure, which provides the template for the distinct growth features of the Si. While planar films exhibit a bulk defect concentration of 2x1016 cm-3, truncated cone structures and cylindrical structures can reach a defect concentration of up to 1x1017 cm-3. The electronic quality of these structures is correlated with a detailed analysis of their optical properties. Optical absorption measurements and Finite Element Method simulations demonstrate a substantial improvement of the absorption in the long wavelength region of truncated cone structures in comparison to planar Si, exhibiting a light path enhancement factor of 44 at 1000 nm [2]. On the basis of the achieved results, we will present a fabrication strategy for 3D Si architectures with high electronic quality and excellent optical broad-band absorption.
[1] T. Sontheimer et al., Phys. Stat. Sol. RRL 5, 376 (2011)
[2] D. Lockau et al. Optics Express (2012) accepted
A10: Nanostructured Silicon and Related Novel Materials I
Session Chairs
Thursday AM, April 04, 2013
Moscone West, Level 2, Room 2000
11:30 AM - *A10.01
Plasma-produced Silicon Nanocrystals and Their Excitonic, Electronic, and Plasmonic Properties
Uwe R. Kortshagen 1
1University of Minnesota Minneapolis USA
Show AbstractNonthermal plasmas have unique attributes for the synthesis of nanocrystals of silicon and other covalently bonded semiconductors. As the electrons in nonthermal plasmas can reach temperatures as high as 50,000 K, they are capable of dissociating molecular precursors such as silane and silicon tetrachloride. Precursor fragments tend to cluster and form nanoparticles. The unique combination of energetic surface reactions, strongly heating the nanoparticles, and slow cooling at low gas pressures yields nanoparticle temperatures that easily exceed the gas temperature by hundreds of Kelvin, leading to efficient nanoparticle crystallization. The uniformly negative charge carried by the nanoparticles in the plasma causes a monodisperse size distribution and reduces or eliminates diffusion losses. Moreover, the residence time of nanocrystals in the plasma offers exquisite control over the particle size.
Many applications require a careful control of the nanocrystal surface properties. In the case of silicon, organic ligands that are covalently bond to surface sites can terminate defects, enable high efficiency luminescence, and impart solubility in organic solvents. However, the covalent silicon carbon bond can also be a detriment, as it makes virtually impossible the removal of the ligands at low temperatures, which would be desirable for efficient charge carrier transport in nanocrystal films. Fortunately, plasmas enable significant control over the silicon nanocrystals&’ surface chemistry. Different from hydrogen terminated surfaces, chlorinated surfaces exhibit strong dipole-dipole interactions with some polar solvents and enable solvating individual nanocrystals without any organic ligands. These ligand-free colloids can form the basis for solution-based, room temperature processed, highly uniform silicon nanocrystal films with strongly reduced barriers to charge carrier transport.
Plasma synthesis also allows for the doping of silicon nanocrystals with substitutional donors and acceptors. Adding phosphine to the plasma synthesis produces highly P-doped silicon nanocrystals. At appropriate dopant concentrations, these nanocrystals exhibit localized surface plasmon resonances that are tunable in the near infrared range of the spectrum.
The work reported here was funded in parts by the National Science Foundation under MRSEC grant DMR-0819885, the DOE Center for Advanced Solar Photophysics, and AOR under MURI grant W911NF-12-1-0407.
12:00 PM - A10.02
Quantitative Analysis of Size Distribution and Surface Chemistry of Silicon Nanocrystals by Using Raman Spectroscopy
Ilker Dogan 1 Ryan Gresback 2 Tomohiro Nozaki 2 Mauritius C. M. van de Sanden 1 3
1Eindhoven University of Technology Eindhoven Netherlands2Tokyo Institute of Technology Tokyo Japan3Dutch Institute for Fundamental Energy Research Nieuwegein Netherlands
Show AbstractThe interest in silicon nanocrystals (Si-NCs) has considerably increased since the observation of carrier multiplication and separation between adjacent Si-NCs. This mechanism can potentially enable a more efficient solar spectrum con-version. For realization of efficient photon management by using Si-NCs, particle size control and surface engineering are the critical requirements. Therefore, accurate analysis of the size distribution is essential for optimization of the process parameters to reach an ultimate control on nanocrystal size. The analysis technique to be used is expected to provide quantitative data of size and morphology related features in a fast and non-destructive manner. X-ray diffrac-tion (XRD), transmission electron microscopy (TEM) and photoluminescence spectroscopy (PL) are widely used for the analysis of the size distribution. However, these techniques are time consuming and only provide qualitative data of the size distribution especially when a multimodal Si-NC size distribution exists. Thus, these techniques are far from being considered as ideal tools for effective size analysis. Our aim in this work is to demonstrate that, Si-NCs with multimodal size distributions can be quantitatively analysed in a fast and non-destructive way by using Raman spectroscopy (RS). Free standing densely packed Si-NCs are synthesized in Ar/SiH4 and Ar/SiCl4 gas mixtures by using a remote expanding thermal plasma and a ultra-high frequency non-thermal plasma. Synthesized Si-NCs have a multimodal size distribution with peak sizes of 5 nm and 70 nm, respectively. Experimental Raman spectra are deconvoluted for separate analysis of the sub-distributions by using the size dependent phonon confinement model. Comparison of the extracted size distributions with the distributions obtained from TEM and PL show that RS provides highly reliable, quantitative information of size distribution and crystalline volume fraction. Analysis of the surface chemistry of Si-NCs is performed by depositing a monolayer of Si-NCs on a 10 nm thick Ag. Using a 514 nm Raman laser results an enhancement of the Raman signal by two orders of magnitude. This enhancement reveals the presence of Si-Hx, Si-Ox and Si-Clx bonds on Si-NC surface. In addition, disappearance of Si-Hx signal with oxidation is observed. With this observation, we show that, surface enhanced Raman spectroscopy can be used for probing the surface chemistry of Si-NCs. These results propose that Raman spectroscopy has the potential to become a standard diagnostic tool for the size and surface chemistry analysis of Si-NCs.
12:15 PM - A10.03
In Situ Monitoring of the Synthesis and Surface Passivation of Si Nanoparticles
Rohan P Chaukulkar 1 Stephen L Weeks 1 Koen de Peuter 2 Mauritius C. M van de Sanden 2 3 Paul Stradins 4 Sumit Agarwal 1
1Colorado School of Mines Golden USA2Eindhoven University of Technology Eindhoven Netherlands3Dutch Institute for Fundamental Research Nieuwegein Netherlands4National Renewable Energy Laboratory Golden USA
Show AbstractThere has been an increased interest in group IV nanoparticles (NPs) for a variety of applications including photovoltaics, lithium ion batteries, and bio-imaging. The properties of these quantum-confined NPs are governed by their size as well as the surface passivating layer. Si NPs, 3-7 nm in size, are synthesized in a capacitively-coupled radio-frequency SiH4/Ar plasma at pressures ranging from 5-8 Torr. The NPs are transported by flow into a surface analysis chamber, which is equipped with in situ attenuated total reflection Fourier transform infrared (ATR-FTIR) and photoluminescence (PL) spectroscopy setups. The H-terminated surface of the as-synthesized Si nanoparticles is highly reactive, and requires surface passivation to prevent oxidation. We have developed a gas-phase hydrosilylation technique to passivate the surface of the NPs in situ with alkyl and alkenyl ligands using a mixture of 1-alkenes (styrene) and 1-alkynes (C2H2, phenylacetylene) at a temperature of 160 °C. Using this technique, we have achieved surface coverages that approach the thermodynamic limit of ~60% for alkenyl monolayers on bulk H:Si(111) surfaces. We have also developed an in-flight surface passivation technique, wherein C2H2 is injected into the afterglow region of the plasma to coat the NPs with graphitic carbon to obtain core-shell nanostructures. The thickness of the graphitic coating is ~1-5 nm. The in situ PL spectroscopy setup, which uses a 365 nm laser, allows us to correlate the PL from the Si NPs with the various surface functionalization processes. These plasma synthesis and passivation methods have also been extended to other group IV materials such as Ge and Sn. In each case, the surface composition and the optical band gap of the particles are monitored in situ using ATR-FTIR and PL spectroscopy, respectively. In addition, the NPs are extensively characterized using ex situ x-ray diffraction, Raman spectroscopy, and transmission electron microscopy.
12:30 PM - A10.04
Surface Modification of Nano-textured Black Silicon for Photovoltaic Applications
Michael Algasinger 1 Svetoslav Koynov 1 Julie Paye 1 Florian Werner 2 Max Bernt 1 Martin S. Brandt 1 Martin Stutzmann 1
1Technische Universitamp;#228;t Mamp;#252;nchen Garching Germany2Institute for Solar Energy Research Hamelin (ISFH) Emmerthal Germany
Show AbstractNano-textured silicon, also referred to as black silicon (b-Si), is a material with an optically graded boundary, which shows reflectivity as low as 1 - 5 % in the whole range of Si absorption [1, 2]. Nano-texturing of thin silicon wafers and films also results in an additional enhancement of the optical absorption due to extremely efficient light trapping [3]. The unique optical properties of b-Si, as well as the opportunity to produce nano-textures on different silicon substrates (single- or multicrystalline wafers, a-Si:H and µc-Si:H films and others) are of significant interest for photovoltaic applications [2-5].
Solar cells with promisingly high efficiencies, fabricated with b-Si as an anti-reflection surface, have already been demonstrated [4, 5]. However, a low internal quantum efficiency in the blue region of the solar spectrum limits the overall performance of such nano-textured solar cells. This poor blue response is considered to originate from additional surface recombination due to an enlarged surface area and additional defects induced by the nano-texturing process, as well as Auger recombination in the heavily doped, nano-structured emitter layer.
In this work, the morphology and the electronic properties of nano-textured silicon, obtained by a metal-catalyzed wet etching process and its improvement by an additional chemical treatment are examined with regard to solar cell applications. Photoluminescence and optical measurements exhibit a nanoporous silicon (np-Si) phase in the as-prepared nanostructure. It is found that an additional wet-chemical treatment removes the np-Si fraction and significantly alters the surface of the nanostructure. Cross-sectional scanning electron microscopy images reveal a drastic reduction of the surface area, to values of only 3 - 6 times of that of a planar surface. Electron spin resonance measurements were performed to investigate the type and quantity of defects induced by the nano-texturing process. First results on the passivation of surface defects via atomic layer deposition of Al2O3 are presented. Photoconductance decay measurements of passivated b-Si structures, which received the additional postetching-treatment, show a significant increase in effective carrier lifetimes.
[1] R. B. Stephens, G. D. Cody, Thin Solid Films 45, 19 (1977).
[2] S. Koynov, M. S. Brandt, M. Stutzmann, Appl. Phys. Lett. 88, 203107 (2006).
[3] S. Koynov, M. S. Brandt, M. Stutzmann, J. Appl. Phys. 110, 043537 (2011).
[4] S. Koynov, M. S. Brandt, M. Stutzmann, Phys. Status Solidi RRL 1, R53 (2007).
[5] J. Oh, H.-C. Yuan, H. M. Branz, Nat Nano, advance online publication (2012).
12:45 PM - A10.05
Tunable Optical Gap of Tailored Nanocrystalline Silicon and Quantum Confinement Softening
Mark T. Lusk 1 Luigi Bagolini 1 2 Alessandro Mattoni 2 Nasrin Nourbakhsh 3 Robert Lochner 1 Reuben Collins 1 Jeremy Fields 1 P. Craig Taylor 1
1Colorado School of Mines Golden USA2Cittadella Universitaria Monserrato Italy3Isfahan University of Technology Isfahan Islamic Republic of Iran
Show AbstractThe encapsulation of crystalline silicon quantum dots within an amorphous silicon matrix makes it possible to tune electro-optical character via dot size, termination and hydrogen content of the matrix. We quantify this computationally to show that it is possible to adjust the optical gap within the range of 1.1 to 1.6 eV. Hydrogenation is shown to affect valence band states more strongly, and post-hydrogenated valence band states are localized within the nanocrystal provided that the composite system has a gap less than that of bulk amorphous silicon. The analysis also quantifies the degree to which dot quantum confinement is softened by encapsulation within a matrix of similar energy levels.
We construct composite paracrystalline systems using a modified Wooten-Winer-Weaire algorithm and then employ Density Functional theory to estimate the electronic structure. This information is used, in turn, to identify defects responsible for spatially localized band edge states. Silicon atoms are then removed and the dangling bonds passivated with hydrogen in order to eliminate these defects. The treatment is carried out for a range of nanocrystal sizes and hydrogenation levels in order to quantify the relationship between crystal size, hydrogen content and the effective optical gap. These results are compared with those obtained on the same geometries using Tight Binding Theory. The DFT gaps are also compared with the HOMO/LUMO gaps of free-standing quantum dots in order to quantify the matrix-induced softening of quantum confinement.
This work was supported by the U.S. Department of Energy SunShot Initiative Award #DE-EE0005326. We also acknowledge the Golden Energy Computing Organization at the Colorado School of Mines for the use of resources acquired with financial assistance from the National Science Foundation and the National Renewable Energy Laboratories.