Symposium Organizers
Tingkai Li Hunan Gongchuang Photovoltaic Science & Technology Co., Ltd.
Michael Mastro U.S. Naval Research Laboratory
Armin Dadgar Otto-von-Guericke-Universitaet Magdeburg
Hongxing Jiang Texas Tech University
Jihyun Kim Korea University
O1: III-Nitride LEDs
Session Chairs
Tuesday PM, November 29, 2011
Room 304 (Hynes)
9:00 AM - O1.1
Absence of Electron Accumulation at the InN(11-20) Cleavage Surfaces.
Holger Eisele 1 , Sarah Schaafhausen 2 , Andrea Lenz 1 , Aizhan Sabitova 2 , Lena Ivanova 1 , Mario Daehne 1 , Y. Hong 3 , Shangjr Gwo 3 , Philipp Ebert 2
1 Institut für Festkörperphysik, Technische Universität Berlin, Berlin Germany, 2 Peter Grünberg Institut, Forschungszentrum Jülich, Jülich Germany, 3 Department of Physics, National Tsing Hua University, Hsinchu Taiwan
Show AbstractInN in principle opens up the possibility of using only one ternary III-V semiconductor alloy (InGaN) in optoelectronic devices to cover the whole visible spectral range. Despite this, key material properties of InN are still under debate. The intrinsic energetic position of the Fermi level is unclear, i.e., whether the Fermi level is located within the fundamental band gap or shifted slightly into the conduction band. The latter case induces electron accumulation at the surfaces of the crystal. This electron accumulation is typically observed at InN surfaces upon air contact, raising the question whether it is an intrinsic material property or not?In order to probe bulk properties by STM and not only contamination or surface effects, a clean and stoichiometric, cross-sectional surface is necessary. This can be achieved by cleaving InN along non-polar planes. To analyze the origin of the different electronic states in detail, we investigated the clean non-polar (11-20) cleavage surface using cross-sectional scanning tunneling microscopy (XSTM) and spectroscopy (XSTS). Using combined XSTM and XSTS we were able to locate an InN layer grown on top of a Si(111) substrate. XSTS spectroscopy on InN(11-20) cleavage surface yield normalized conductivity spectra, where three contributions to the tunneling current can be observed: (i) the contribution from the conduction band density of states for biases above the conduction band minimum at +0.3 V, (ii) a defect induced current, dominating the spectra between biases of 0 and -0.4 V, and (iii) a valence band related tunneling current rising at a bias of about -0.4 V and dominating the spectrum for biases below. The defect induced current arises from semi-filled defect states being present at the surface steps, and probably also from other (point) defects at the surface. Within the bulk band gap of Eg = 0.7 eV no intrinsic surface states could be observed. Furthermore, the Fermi level pinning at about 0.3 eV below the conduction band minimum indicates the absence of an electron accumulation layer. The results illustrate that electron accumulation at InN surfaces is not a universal property on InN. For clean stoichiometric cleavage surfaces no electron accumulation is observed. Thus, electron accumulation results primarily from the details of the surface structure and is not an intrinsic property of the material InN.
9:15 AM - O1.2
Visible-Color-Tunable Light-Emitting Diodes.
Young Joon Hong 2 3 1 , Chul-Ho Lee 2 3 , Gyu-Chul Yi 3 , Aram Yoon 4 , Miyoung Kim 4 , Han-Kyu Seong 5 , Hun Jae Chung 5 , Cheolsoo Sone 5 , Yong Jo Park 5
2 Mateirals Science and Engineering, Pohang University of Science and Technology, Pohang Korea (the Republic of), 3 Physics and Astronomy, Seoul National University, Seoul Korea (the Republic of), 1 Research Center for Integrated Quantum Electronics, Hokkaido University, Sapporo Japan, 4 Materials Science and Engineering, Seoul National University, Seoul Korea (the Republic of), 5 , Samsung LED Co. Ltd., Suwon Korea (the Republic of)
Show AbstractWe report on monolithically integrated full-color, tunable light-emitting diodes (LEDs) with electroluminescent color that changes continuously from red to blue by adjusting the external electric bias. High-efficiency full-color light sources are required for mobile device displays with high brightness and low power consumption. For full-color display applications, inorganic compound semiconductors have many advantages over organic materials, including their high carrier mobility and radiative recombination rate as well as their long-term stability and reliability. However, conventional inorganic thin-film LEDs emit only a single color that is determined by quantum-well layer thicknesses and compositions. To overcome this obstacle, we utilized multi-facetted GaN nanorod arrays with InGaN/GaN multiple quantum wells (MQWs) anisotropically formed on the nanorod tips and sidewalls. For various electroluminescence (EL) colors, current injection paths were controlled through a continuous p-GaN overlayer in the nanorod-embedded thin-film p−n junction structure by the applied current. The mechanism of the color tunability in the nanorod-embedded LED was explored by cross-sectional transmission electron microscopy, presenting the spatially graded distribution of the thickness and composition of 3-dimensional InGaN/GaN MQWs that can produce full-color ELs. By altering the applied voltage, the electric current was forced to travel through layers of different thickness and composition, thus changing the color of EL. Monolithically integrated red, green, and blue LEDs on a single substrate, operating at a fixed drive current, are also demonstrated for inorganic full-color LED display applications.
9:30 AM - O1.3
First Demonstration of InGaN/GaN Based Blue Light Emitting Diodes Grown on 8-Inch Diameter Si (111) Substrates.
Jun-Youn Kim 1 , Hyun-Gi Hong 1 , Yeonhee Kim 1 , Suhee Chae 1 , Youngjo Tak 1 , Jae Kyun Kim 1 , Jae Won Lee 1 , Hyoji Choi 1 , Junghun Park 1 , Bokki Min 1 , Bokki Min 1 , Youngsoo Park 1 , U-In Chung 1
1 , Samsung electronic company, Yongin Korea (the Republic of)
Show AbstractWe have grown LED structures on top of a robust n-type GaN template on 8-inch diameter silicon substrates achieving both a low dislocation density and a thick crack-free thickness even at a sufficient Si doping condition. The n-type GaN template consisted of AlN layer, which acts as a nucleation layer and barrier layer of Ga-Si eutectic reaction. The transition layer which consists of AlGaN layers and the unique epitaxial structure which consists of the dislocation reduction layer and stress compensation layers were then grown to control the stress and reduce the dislocation, simultaneously. After that, over 3 μm-thick Si doped GaN layer with 4.5×1018 cm-3 doping concentration has been grown successfully without any cracking. The crystalline quality of n-type GaN templates on Si substrates was evaluated by high resolution x-ray diffraction (HR-XRD) rocking curve. The full width at half maximum (FWHM) of a symmetric (0002) and an asymmetric (10-12) ω-scan were 280 arcsec and 380 arcsec, respectively. On top of n-GaN layer, 20 pairs of InGaN(2nm)/GaN(2nm) layers for the effective current spreading and stress releasing, a five-period multi-quantum-well (MQW) active region consisting of 3 nm-thick InGaN wells and 4.5 nm-thick GaN barriers, AlGaN layer for blocking electron overflow and p-GaN cap layers were grown. The emission wavelengths were 436 +- 4 nm from the mapping of LED wafer by Photoluminescence (PL). The convex wafer bow during MQWs growth steps results in shorter emission wavelength around a hotter wafer edge. The internal quantum efficiency (IQE) of the InGaN/GaN MQWs grown on Si wafers was measured by temperature- and power-dependent PL method. We used a 405 nm-wavelength laser as an excitation source for in-well pumped PL measurement. The IQE of our samples, which was evaluated from the ratio of PL efficiency obtained at 10 K and 300 K, was over 65%. After the deposition of Ag based p-metal on the front side of LED structure, it was transferred from the mother Si substrate to a new Si substrate as a submount by eutectic wafer-to-wafer bonding. Then, the mother Si substrate was removed by lapping and successive etching. Comparing with the costly laser-lift-off (LLO) process which is typically applied to manufacture vertical LEDs (V-LEDs) on sapphire, this process can provide easier and more reliable result to fabricate the high-power V-LED chips on Si. Next, the transferred LED structure was etched until the Si-doped GaN was exposed. An n-contact was formed on the exposed N-face n-GaN and each device was isolated from its neighbors by reactive ion etching. Finally, in order to increase light extraction efficiency, the top of surface was etched for 20 min with KOH solution kept 50 °C. Optical output power measurements of various sized V-LED chips have been performed in the integrating sphere at different injection currents under un-encapsulated condition. World first results of InGaN/GaN LED on 8-inch Si substrates will be presented.
9:45 AM - O1.4
High-Performance Semipolar (20-2-1) InGaN/GaN Light-Emitting Diodes.
Yuji Zhao 1 , Shinichi Tanaka 2 , Chih-Chien Pan 2 , Chia-Yen Huang 2 , Kenji Fujito 3 , Daniel Feezell 2 , James Speck 2 , Steven DenBaars 1 2 , Shuji Nakamura 1 2
1 ECE, University of California, Santa Barbara, Santa Barbara, California, United States, 2 Materials, University of California, Santa Barbara, Santa Barbara, California, United States, 3 Optoelectronics Laboratory, Mitsubishi Chemical Corporation, Ushiku, Ibaraki, Japan
Show AbstractSemipolar and nonpolar orientations of group III-Nitrides have attracted considerable attention for realizing high-efficiency light-emitting diodes (LEDs) and laser diodes (LDs). Several advantages over commercially available c-plane structures have been reported, including reduced polarization-induced electric fields in the quantum wells (QWs), increased indium uptake, and polarized light emission. The former characteristics are promising for achieving high-performance green emitters, while the latter characteristic contributes to anisotropic optical gain in LDs fabricated on these planes. The relative magnitude of the intensity parallel to and perpendicular to the c-axis is described by the polarization ratio and high values are preferred for improved LD performance. In this work, we report high optical polarization ratios for LED devices on the semipolar (20-2-1) plane, which is inclined at 15° and 30° toward the [000-1] direction from the m-plane and (20-21) plane, respectively. Using integrated electroluminescence measurements, the polarization ratio was 0.67 at 519 nm and 20 mA for (20-2-1) devices with an active area of 0.1 mm2. Comparable devices of a similar wavelength on (20-21) showed a polarization ratio of 0.34. To further examine the performance of LEDs on the (20-2-1) plane, a series of samples with 15 pair InGaN/GaN superlattice structures were grown on (20-2-1), (20-21) and m-plane substrates in a “co-loaded” experiment and characterized by XRD analysis. The indium composition of devices on the (20-2-1) plane (6.5 %) was nearly twice that of those on the (20-21) plane (3.3 %), and also higher than those on the m-plane (2.7 %). This is advantageous for improved crystal quality for long-wavelength structures on the (20-2-1) plane. A high-performance blue-violet LED operating with low droop up to 200 A/2 was also fabricated on the (20-2-1) plane. At a forward current of 20 mA, the LED showed a peak external quantum efficiency of 52% and an output power of 30.6 mW, which are comparable to the best values ever reported for semipolar and nonpolar LEDs. At higher current densities, the LED also showed outstanding performance, with droop ratios of 0.7% at 35 A/cm2, 4.3% at 50 A/cm2, 8.5% at 100 A/cm2, and 14.3% at 200 A/cm2. To the author’s knowledge, such a low droop has not been reported at current densities as high as 200 A/cm2. In summary, LEDs fabricated on the (20-2-1) plane have demonstrated higher optical polarization ratio, higher indium composition than LEDs fabricated on the (20-21) plane. We have also fabricated a high-power blue-violet semipolar (20-2-1) LED operating up to 200 A/cm2 with an EQE above 50% and remarkably low droop. These results suggest that the (20-2-1) orientation may provide benefits for high-performance LEDs in blue and green spectral region.
10:00 AM - O1.5
Incorporation of Colloidal Metallic Nanocrystals into InGaN/GaN MQWs: Bringing Together Top-down and Bottom-up Approaches in Order to Enhance Light Emission.
Sergio Pereira 1 , M. Martins 1 , T. Trindade 1 , A. Llopis 2 , Arup Neogi 2 , A. Krokhin 2 , Ian Watson 3
1 Physics and Chemistry/CICECO, University of Aveiro, Aveiro Portugal, 2 Physics, University of North Texas, Denton, Texas, United States, 3 Institute of Photonics, University of Strathclyde, Glasgow United Kingdom
Show AbstractDuring the last few years, worldwide research has focused on various approaches to produce nanostructured semiconductors. The different approaches used to fabricate such nanostructures usually emerge from distinct scientific backgrounds, for example physicists mainly favour top-down approaches while chemists prefer bottom-up methods. This dichotomy of approach results in a technology gap between the different methods used to produce nanostructured materials, namely physical deposition, such as metal-organic vapor-phase epitaxy (MOVPE), and wet chemical synthesis. By way of example, tuneable photonic structures may be produced by using either nanofabrication or wet chemistry. However combinations of such approaches, as complementary realms of activity, might contribute to achieve novel functional nanomaterials and also give new scientific insights of a more fundamental kind; bridging the gap will open the way to the engineering of material systems that can offer radically new properties in particular through the exploitation of cross-coupling effects. In order to reach such synergetic integration we take advantage of specific surface features that arise in technologically relevant semiconductors for optoelectronics, namely group-III nitride and oxide semiconductors. In this contribution we report on the effects of incorporation of colloidal Au nanocrystals (NCs) at the surface of light emitting InGaN/GaN Multiple Quantum Well (MQW) heterostructures on its optical properties. For this purpose we exploit spontaneously formed Inverted hexagonal pits (IHPs) at the surface of InGaN/GaN MQWs to create well-defined assemblies of gold NCs on an optically and electrically active substrate [S. Pereira et al, Advanced Materials 20 (5), 1038 (2008) ]. Such incorporation of metallic NCs into InGaN/GaN IHPs results into a remarkable enhancemet of light emission which reaches about 60% enhancement on the photoluminescence emission and whose origin is not due to (but can be combined with) plasmonic coupling. We will briefly discuss the electrostatic mechanism behind this effect, which provides a new and exciting perspective for improving the efficiency of broad-band light emitters and controlling carrier concentration on the nanoscale.
10:15 AM - **O1.6
Reducing the Cost of Ownership: MOCVD Advances for GaN LED’s and AsP CPV Technologies.
Eric Armour 1
1 Turbodisc Division, Veeco Instruments, Somerset, New Jersey, United States
Show AbstractAs compound semiconductors make inroads into common electronic devices, it remains important to continue to lower the cost of the primary MOCVD epitaxial deposition, which creates the foundation for the devices. Both GaN-based LED and AsP-based CPV markets have been focused on simultaneous cost-reduction, cycle time reductions, and device efficiency improvements, which can be achieved utilizing high growth rates and higher operating pressures. To achieve these goals, it has become increasingly important to understand the underlying growth mechanisms that drive the chemistry within the MOCVD process.In this presentation, I will discuss our experiments on understanding the optical, electrical and physical material properties associated with high growth rate GaN and GaAs, along with high pressure InGaN growth regimes. In all cases, there are tradeoffs that need to be made to achieve good crystal quality with abrupt interfaces, smooth surface morphology, and good minority carrier properties. While exceptional device performance has been achieved for both LED’s and CPV cells, it is primarily cost that is limiting full-scale adoption of compound semiconductors into these potentially enormous markets.
11:15 AM - O1.7
A Defect-Based Mechanism for Efficiency Droop in Nitride Light Emitting Diodes.
N. Modine 1 , A. Armstrong 1 , M. Crawford 1 , W. Chow 1
1 , Sandia National Laboratories, Albuquerque, New Mexico, United States
Show AbstractEfficiency droop is a serious concern in InGaN/GaN light emitting diodes (LEDs) in which the radiative efficiency decreases as the current through the device increases. Droop is widely believed to be associated with a non-radiative recombination mechanism that increases with carrier concentration faster than the approximately quadratic dependence of radiative recombination. In modeling nitride LEDs, defect-induced recombination is often assumed to depend linearly on the carrier concentration. However, this is not generally true. Many defects in semiconductors have multiple charge states and therefore multiple defect levels. Any given defect can only be in one of its charge states at a given time, and changes in charge state are associated with the capture or emission of carriers. As the carrier concentration increases, the predominant charge state of the defect can shift, opening up new defect levels for recombination. We will show that such multilevel defects can induce recombination that has a highly non-linear dependence on carrier concentration. Furthermore, using a microscopic InGaN/GaN LED model, we will show that a multilevel defect with plausible properties (concentration, defect levels, and capture cross-sections) can reproduce the essential features of the experimentally observed droop phenomenon for InGaN/GaN LEDs in the absence of Auger recombination.This work was supported by Sandia’s Solid-State Lighting Science Energy Frontier Research Center, sponsored by the Department of Energy Office of Basic Energy Science. Sandia National Laboratories is a multi-program laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Company, for the United States Department of Energy's National Nuclear Security Administration under Contract DE-AC04-94AL85000.
11:30 AM - O1.8
Optimization of the Optical and Electrical Properties of GaN Vertical Light Emitting Diode with Current Block Layer.
Na Lu 1 , Zhiqiang Liu 3 2 , Enqing Guo 2 , Liancheng Wang 2 , Andrew Melton 3 , Ian Ferguson 3
1 Engineering Technology , University of North Carolina at Charlotte, Charlotte , North Carolina, United States, 3 , Chinese Academy of Science , Beijing China, 2 Electrical and Computing Engineering , University of North Carolina at Charlotte , Charlotte , North Carolina, United States
Show AbstractThe light emitted from the MQW area under the Metal electrode in light emitting diode (LED) cannot escape to free space. To save this part of energy, a structure called current block layer (CBL) is used in LEDs. Current cannot pass through CBL, so there is no light emitted in this area, and more currents will flow to other area to produce light. In this study, current block layer is used in GaN vertical light emitting diodes, and a new method to fabricate schoottly CBL was illustrated. Optical and electrical tests of the LEDs were carried out. The results show that vertical LEDs with CBL and LEDs without CBL not only have different light output power at the same operation currents, but also show different efficiency droop curve. Key words: current block layer; efficiency droop; vertical LED;
11:45 AM - O1.9
Fabrication of Large-Area Graphene-Based Transparent Conductive Electrode for UV LEDs.
Byung-Jae Kim 1 , Chongmin Lee 1 , Younghun Jung 1 , Jihyun Kim 1
1 Deprtment of Chemical and Biological Engineering, Korea University, Seoul Korea (the Republic of)
Show AbstractGraphene has been recently highlighted for the applications in optoelectronics, sensors and nanoelectronics due to its superior properties, which include excellent thermal and electric conductivity and high transmittance from UV to NIR. Indium tin oxide (ITO) has been widely used as the transparent conductive electrode in LEDs. However, ITO has some issues such as high cost, instability in base or acid and poor transmittance in UV region. Therefore, graphene can be an attractive alternative to ITO for the application of transparent conductive electrode in UV LEDs due to its excellent optical and mechanical properties. The transmittance of graphene in UV region is much better than that of ITO. Graphene has been generally obtained by various methods such as the mechanical exfoliation of graphite, high temperature vacuum annealing of SiC, the reduction of graphene oxide and CVD method. Among them, graphene grown by CVD method was used in our experiments. Large-area graphene was transferred to on top of p-GaN. Then, UV LEDs chip with few-layer graphene-based transparent conductive electrode was fabricated by general photolithography processes. The thickness of graphene films was obtained by the micro-Raman spectroscopy, and we used 4L graphene films. The transmittance of 4L graphene layer and ITO in UV region (~372nm) was approximately 88% and 70%, respectively. In the current-voltage characteristics, the currents at the constant voltage (~10V) of UV LEDs with/without graphene-based transparent conductive electrode were 5mA and 2.3mA, respectively, due to the high electrical conductivity of graphene. The current spreading effects of graphene-based transparent conductive electrode were successfully observed by the optical images. In case of UV LEDs without graphene films, EL at a peak of 372nm was only observed at near the metal contact. However, in case of UV LEDs with graphene films, UV light at a peak of 372nm was emitted at the whole p-GaN region due to the current spreading effects of graphene films. Also, we observed the degradation of FLG under high current conditions. We will present the fabrication processes and the results in detail.
12:00 PM - O1.10
On the Reverse Leakage Current in GaInN Light-Emitting Diodes.
Qifeng Shan 1 , David Meyaard 2 , Qi Dai 1 , Jaehee Cho 2 , E. Fred Schubert 1 2 , Joong Kon Son 3 , Cheolsoo Sone 3
1 Physics, Applied Physics and Astronomy, Rensselaer Polytechnic Institute, Troy, New York, United States, 2 Electrical, Computer, and System Engineering, Rensselaer Polytechnic Institute, Troy, New York, United States, 3 R&D Institute, Samsung LED, Suwon Korea (the Republic of)
Show AbstractThe reverse leakage current (IR) of light-emitting diodes (LEDs) affects the LED reliability, electrostatic discharge resilience, and sub-threshold power consumption under bias. The reverse leakage current of a GaInN LED is analyzed by temperature dependent current-voltage (I–V) measurements. Additional room temperature capacitance-voltage (C–V) measurements are performed to gain information on the depletion width and doping concentration of the pn–junction. The C–V profile shows two main, sharp peaks, which are very consistent with the active region quantum well structure. We measure IR for different biases versus temperature with ranging from 80 K to 450 K and show the results in an Arrhenius plot. It is found that IR strongly depends on temperature in the high-temperature range (above room temperature), while it is weakly dependent on temperature in the low-temperature range (below 250 K). The strong temperature dependency in the high-temperature range is explained by a thermally-assisted multi-step tunneling model. At low temperature, transport presumably occurs via hopping conduction, i.e., the conduction through a defect band within the bandgap. An activation energy (Ea) of about 135 meV is extracted from the Arrhenius plot in the high-temperature range for a diode bias of –5 V. The activation energy is attributed to the thermal activation of electrons from deep levels to the conduction band or the corresponding process in the valence band. The thermal activation energy is a function of the reverse bias. Poole-Frenkel emission is used to explain the bias dependence of the thermal activation energy.
12:15 PM - O1.11
Growth and Optimization of InGaN/InGaN Multiple Quantum Wells by Metal Organic Vapour Phase Epitaxy.
Hu Liang 1 , Kai Cheng 1 , Liyang Zhang 2 1 , Maarten Leys 1 , Bram Sijmus 1 , Caroline L’abbe 1 , Johan Dekoster 1 , Gustaaf Borghs 1 2
1 , IMEC, Leuven Belgium, 2 Physics and Astronomy, K.U. Leuven, Leuven Belgium
Show AbstractIn recent years, ultra high power and high brightness III-nitride multiple quantum wells (MQWs) light emitting diodes (LEDs) are highly desired by the fast growing market in solid-state lighting and LED backlight. However, strong spontaneous and piezoelectric polarization, inside the InGaN/GaN MQWs, induce electric fields resulting in the Quantum-Confined Stark Effect (QCSE), which decreases the electron-hole wave-function overlap significantly, and, thus, results in the reduction of the internal quantum efficiency (IQE).In our previous work, an InGaN barrier was used to replace the traditional GaN barrier in order to partially compensate the piezoelectric field. Compared to the InxGa1-xN/GaN MQWs, these InxGa1-xN/ InyGa1-yN MQWs show stronger light emission and a higher IQE. In this work, we further investigate and optimize MQWs with InGaN barriers that are grown on 4 inch sapphire substrates by Metal Organic Vapour Phase Epitaxy (MOVPE). Different barrier/well structures grown with different ramping techniques are introduced and compared. The MQW structures are studied by high resolution XRD and cross-sectional Transmission Electron Microscopy (TEM). Moreover, temperature dependent and power dependent photoluminescence (PL) measurement are used to reveal the IQE improvement. Indium pre-deposition before MQW growth has also been introduced and studied. By tapping mode Atomic Force Microscopy (AFM) one clearly sees that V-shape defects are minimized in the sample with indium pre-deposition. The IQE improvement shown by the PL measurements is evaluated and discussed.
12:30 PM - O1.12
Characteristics of N-Polar III-Nitride Light Emitting Diodes.
Fatih Akyol 1 , Digbijoy N. Nath 1 , Limei Yang 2 , Pil Sung Park 1 , S. Krishnamoorthy 1 , Michael Mills 2 , Siddharth Rajan 1
1 Electrical and Computer Engineering, Ohio State Univeristy, Columbus, Ohio, United States, 2 Materials Science Engineering, Ohio State University, Columbus, Ohio, United States
Show AbstractWe report on simulations and experimental demonstration of N-polar Gallium Nitride light emitting diodes (LED) operating at 540 nm and higher wavelengths. Our simulations indicate that the N-polar orientation could be favorable for LED performance, especially as for target wavelengths of green and longer since polarization plays more important role for those emitters. In addition, we have exploited the higher In incorporation on the N-polar face of GaN to achieve MBE-grown N-polar LEDs with emission up to 600 nm. To investigate the effect of these polarization fields on LED performance, we have carried out ATLAS Silvaco simulations to compare Ga-polar and N-polar p-GaN/InGaN/n-GaN blue LEDs. The relative direction of the polarization fields in these devices is opposite, and we find that this has a dramatic effect on the energy band diagram, as well as the electrical and optical performance of the devices. Silvaco simulations of single QW LEDs showed that the polarization field in N-polar orientation suppresses carrier overflow whereas, Ga-polar device suffers from high electron overflow as a result of poor carrier confinement. We find the simulated band diagram and current voltage plot of the diodes that the turn-on voltage of the N-polar LED is significantly lower which is a result of the enhanced carrier injection utilizing the polarization charges. We will also report our results on single QW N-polar InGaN LEDs operating at wavelengths up to 600 nm grown by plasma assisted molecular beam epitaxy (PAMBE). Our electroluminescence data, indicates less severe efficiency droop than in the case of Ga-polar LED, with the normalized external quantum efficiency (EQE) staying relatively flat even at high driving current density values.
12:45 PM - O1.13
White Emission from InGaN MQWs on c-Planes and Nano Pyramids Hybrid Structure and Color Temperture Control of Hybrid White LED.
Joosung Kim 1 , Moon-Seung Yang 1 , Taek Kim 1 , Youngsoo Park 1 , U-in Chung 1
1 Photo-Electronic Device Group, Samsung Advanced Institute of Technology, Yongin-si, Gyeonggi-do, Korea (the Republic of)
Show AbstractToday, white LED is made of a blue LED covered by phosphor. However, the efficiency of this device is low due to the unavoidable Stoke shift energy loss. To avoid this Stoke shift loss, phosphor free white LED by vertically stacking blue and yellow MQW has been reported. However, the efficiency of InGaN MQW rapidly drops as In content increases due to the large piezoelectric field and the poor crystal quality. In this work, we report a white emission from InGaN MQWs on a micro and nano size hybrid patterned GaN.We have developed 570nm yellow InGaN/GaN MQWs on nano-scale hexagonal pyramid structure. This pyramidal nanostructure is expected to solve the above mentioned problems because of elastic strain relaxation and semipolar facets on the pyramid. The nano-scale hexagonal pyramid structures are grown by SAG . Selective area growth is an effective way to reduce the piezo-electric field by providing semipolar plane on high quality c-plane substrate. In addition, nano scale structure is expected to reduce strain.A 100 nm thick SiNx film is deposited on a GaN on sapphire template. 200 nm diameter holes are patterned by nano imprint lithography and dry etch. Firstly n-doped GaN is selectively grown on the exposed GaN template and 5 periods InGaN/GaN MQW are grown on the top of the structures. We have achieved the highest internal quantum efficiency (IQE) of 45 % with 570 nm. At 10 K the blue shift of 3meV in nanofacets is smaller than that of 29meV in In plane because of suppression of piezoelectric field by elastic strain relaxation. We apply the highly efficient yellow InGaN MQW grown on nano pyramid structure in addition to the conventional blue MQW on micro-scaled c-plane to make white emission. Between the squre patterns, 20um each side, nano-holes are arranged. GaN growth on the nano holes forms hexagonal pyramids while growth on the micro holes grows two dimensionally. InGaN/GaN MQW were grown on the top of the structures, simultaneously.The emission spectrum from the the hybrid structure shows two peaks, blue (461 nm) and yellow (568 nm). Micro PL revels that the blue peak is from the MQWs on the micro planar structure while the yellow peak is from the MQWs on the nano-pyramid array. The longer wavelength from the MQWs on the nano-pyramid array is attributed to In incorporation efficiency on different crystal plane and the In diffusion from the dielectric mask to the facets of the pyramids.Chip size is 350umx350um with lateral structure and forward voltage at 20 mA is 3.7 V. Chromatic coordinates from the spectra of hybrid structure are x=0.301, y=0.330. This corresponds to white light with a correlated color temperature of 7100 K.The emission wavelengths from the {11-22} facets become longer proportional to the spacing between the pyramids. Utilizing this wavelength control freedom, the color temperature and color rendering index of the white emission can be tuned by area ratio between the plane and spacing between nano-pyramids.
O2: Optoelectronics
Session Chairs
Tuesday PM, November 29, 2011
Room 304 (Hynes)
2:30 PM - O2.1
The Research and Development for Collecting, Emitting, and Manipulating Energy
Tingkai Li 1
1 , Hunan Gongchuang Photovoltaic Science & Technology Co., Ltd., Hengyang China
Show AbstractR. E. Smalley (1985 Nobel Laureate for discovery of C60) provided humanity’s top ten important issues for next 50 years in 2005, which are energy, water, food, environment, poverty, terrorism & war, disease, education, democracy, and population. In the past five years, the people has realized that with exhaustion of fossil fuel energy, global warming, population growth, and etc, the energy challenge & revolution is the most important issue. In order to solve this problem, many governments in the world have been developing the very important projects dealing with collecting, emitting, and manipulating energy. This paper reviewed the recent progress of this project, especially focus on thin film solar cells, LEDs and smart power and grid, which including III-V materials on Si or ceramic substrates for high efficiency thin film solar cell and high power, high brightness and high efficiency LEDs, as well as smart control of mixture of electric, thermal and other energies.
2:45 PM - **O2.2
Integrated Optoelectronic Devices on Silicon.
John Bowers 1 , Di Liang 1
1 Electrical and Computer Engineering, University of California - Santa Barbara, Santa Barbara, California, United States
Show AbstractSilicon (Si) has been the dominating material platform of microelectronics over half century. Continuous technological advances in circuit design and manufacturing enable complementary metal-oxide semiconductor (CMOS) chips with increasingly high integration complexity to be fabricated in an unprecedently scale and economical manner. Conventional Si-based planar lightwave circuits (PLCs) has benefited from advanced CMOS technology but only demonstrate passive functionalities in most circumstances due to poor light emission efficiency and major electro-optic effects (e.g., Pockels effect, the Kerr effect and the Franz–Keldysh effect) in Si. Recently, a new hybrid III-V-on-Si integration platform has been developed, aiming to bridge the gap between Si and III-V direct-bandgap materials for active Si photonic integrated circuit applications. Since then high-performance lasers, amplifiers, photodetectors and modulators, etc. have been demonstrated. Here we review the most recent progress on hybrid Si lasers and high-speed hybrid Si modulators. The former include compact hybrid microring lasers with continuous-wave (cw) threshold less than 4 mA and over 3 mW output power, and 16-channel hybrid distributed feedback (DFB) lasers integrated with hybrid electro-absorption modulators (EAMs), and 4-channel hybrid Si AWG lasers with channel space of 360 GHz. Recently fabricated traveling-wave EAMs and Mach-Zehnder interferometer (MZI) modulators on this platform support 50 Gbps and 40 Gbps data transmission with over 10 dB extinction ratio, respectively. We then review a compact and simple optical polarizer based on a straight waveguide which exhibits extinction ratio up to 25 dB over a 100 nm wavelength range. Along with previously demonstrated hybrid Si photodetectors, we discuss the roadmap to demonstrate a robust transceiver on this hybrid Si platform for optical interconnect application in near future.
3:15 PM - O2.3
Study on the Self-Organization Mechanism of InGaN Quantum Dot in GaN Nanowires.
Kai Cui 1 , Martin Couillard 2 , Gianluigi Botton 2 , Zetian Mi 1
1 Electrical and Computer Engineering, McGill University, Montreal, Quebec, Canada, 2 The Canadian Centre for Electron Microscopy, McMaster University, Hamilton, Ontario, Canada
Show AbstractThere has been an increasing interest in InGaN/GaN nanowire heterostructures, due to their potential applications in light emitting diodes (LEDs), lasers and solar cells. Previous studies have been largely focused on ternary InGaN wires and InGaN/GaN wells/disks grown on GaN nanowires for large area device applications. However, these structures suffer from the loss of carriers through the non-radiative recombination on the surface states of nanowires. To solve this problem, we have recently developed InGaN/GaN dot-in-a-wire nanoscale heterostructures, which can provide strong 3-dimensional carrier confinement. We have also performed a detailed study of the self-organization mechanism of InGaN quantum dot (QD) on the finite growth front surface provided by the GaN nanowires. The InGaN QD in a GaN nanowire heterostructure was grown on Si (111) substrates by plasma-assisted molecular beam epitaxy. The structural properties, including the shape and composition of the InGaN QD in GaN nanowires were characterized by high angle annular dark field imaging, electron energy loss spectrometry and energy dispersive X-ray spectrometry. The analysis results reveal that the self-organization process follows the Volmer-Weber (VW) growth mode, i.e. InGaN nano-islands directly form on GaN nanowires without a wetting layer. In order to investigate the origin of this novel growth mode, which is different from the Stranski-Krastanow (SK) mode normally observed for the planar InGaN/GaN QD heterostructures, finite element simulations were carried out to calculate the sum of strain, surface and interface energies in the InGaN/GaN dot-in-a-wire system. It is found that the lowest energy of the system is achieved under VW growth mode of the InGaN QD, which is in good agreement with the experimental results. The different QD growth modes in the planar and nanowire structure are attributed to the finite area and free surface strain relaxation provided by the GaN nanowire. Also the equilibrium size for the InGaN QD was further studied as a function of the deposition thickness. Both the experiment and simulation results show that the equilibrium size of the QD increases with the thickness of InGaN. In summary, the self-organization of InGaN QD in a GaN nanowire heterostructure follows the VW growth mode. This finding paves the way for developing novel dot-in-a-wire structures with controllable dimension and composition for high efficiency LEDs, lasers and solar cells.
3:30 PM - O2.4
Controlled Tuning of Whispering Gallery Modes of GaN/InGaN Microdisk Cavities.
Igor Aharonovich 1 , Nan Niu 1 , Fabian Rol 1 , Alexander Woolf 1 , Kasey Russell 1 , Haitham El-Ella 2 , Menno Kappers 2 , Rachel Oliver 2 , Evelyn Hu 1
1 School of Engineering and Applied Sciences, Harvard University, Cambridge, Massachusetts, United States, 2 Department of Materials Science and Metallurgy, University of Cambridge, Cambridge United Kingdom
Show AbstractOptical microcavities are important components in studying solid state cavity quantum electrodynamics, developing low threshold light emitting devices and ultra-sensitive sensors. III-nitride materials are particularly interesting in this respect due their high potential in realization of ultraviolet and visible micro- and nano-scale light sources in room temperature. Nevertheless, an outstanding challenge in engineering III-V nitride optical cavities is the tuning of the cavity modes with the emission lines of the active emitters. Such a tuning is essential to demonstrate efficient coupling interaction between the cavity mode and the emitter.In this paper we demonstrate tuning of whispering gallery modes (WGMs) of InGaN/GaN microdisks with InGaN quantum dot active layers through a selective, in situ photo-enhanced process. Optical properties of the disks were first assessed using a 360 nm laser, incident on the sample through a long distance objective. The measured quality factor (Q) from the microdisk was ~ 3500.To tune the WGMs, the disks were immersed in a small cell enclosing deionized water. The tuning of the cavity modes was achieved by continuous irradiation of the disks using same excitation laser with powers ranging from ~10 μW to 1 mW. Our approach allows dynamic tuning, while the cavity is optically probed to assess the coupling. Tuning with nanometer resolution is available with low excitation powers, while higher power shifts the WGMs by ~ 7 nm.Our results indicate that the tuning occurs due to in-situ oxidation of the disk surface and subsequent oxide removal. COMSOL simulations are in accord with the experimental data, suggesting shrinking of the disk in the vertical dimension. The developed technique can be widely applied to tune other GaN based cavities, in particular, photonic crystal cavities.
4:15 PM - O2.5
Zinc Oxide Nanowires on p-GaN for Light Emission.
Caterina Soldano 1 , Camilla Baratto 1 , Elisabetta Comini 1 , Matteo Ferroni 1 , Guido Faglia 1 , Giorgio Sberveglieri 1 , Andre Somers 2 , Andreas Weimar 2
1 Dipartimento di Chimica e Fisica, Univeristà di Brescia, Brescia Italy, 2 , OSRAM Opto Semiconductors GmbH, Regensburg Germany
Show AbstractZinc oxide (ZnO) has recently gathered great interest for lighting applications due to its wide bandgap (3.37eV) and large room temperature exciton binding energy (60 meV). ZnO nanowires-based light emitting diodes (LEDs) have been recently proposed as promising candidates for the next generation of blue/near-UV light sources. However, p-type ZnO is not yet a stable material, hence different types of heterojunctions (n-ZnO/p-GaN) are proposed. Vertically aligned ZnO nanowires are grown on p-GaN thin film on sapphire substrate by Vapor-Liquid-Solid (VLS) and Vapor-Solid (VSS) methods. Morphological studies by Scanning Electron Microscope (SEM) as well as the characterization of the emission properties of these heterojunctions are here presented. Room temperature photoluminescence (PL) studies show a band edge emission at 380 nm and a broader emission in the visible region (wide band centered at 500 nm). LED devices are fabricated as follows: (i) deposition of poly(methyl-methacrylate) (PMMA) to isolate electrically each nanowire from its neighbor, (ii) plasma etching to selectively exposed the tip of nanowires, (iii) sputtering of electrical contacts. Photoluminescence, electroluminescence (EL) and preliminary electrical characterization are also presented for the as-fabricated LED structures. We acknowledge ORAMA project FP-NMP-2009-LARGE-3 NMP-2009-2.2-1, Grant Agreement 246334: “Oxide Materials for Electronics Applications”.
4:30 PM - O2.6
High-Performance GaN-Based Light-Emitting Diodes with ZnO Nanorods.
Seon HO Jang 1 , Sei-Min Kim 1 , Jong Sun Lee 1 , Hea-Ryong Lim 1 , Ja Soon Jang 1
1 Electronic Eng.Nanophotonics Lab., Yeungnam Univ. & LED-IT FusionTechnology Research Center(LIFTRC), Gyongsan Korea (the Republic of)
Show AbstractGaN-based light-emitting diodes (LEDs) have been extensively attracted because of their superior properties and rapid growth of LED lighting markets. In particular, high light-extraction and low turn-on voltage are of technological importance to enhance the external quantum efficiency from LEDs. Until now, various approaches such as roughening surface technologies patterned sapphire substrate, photonic band crystal, and the rough p-GaN surface. It was demonstrated that these methods are effective in improving optical intensity from LEDs. Very recently, ZnO-based nanostructures have been employed to fabricate high-extraction and high-efficiency GaN-based LEDs because of their superior material properties such as a direct band-gap of 3.37 eV, a large exciton binding energy (60 meV), and high thermal stability. In this work, we report on high light-extraction from GaN-based LEDs using ZnO nanorods(NR). The ZnO NR was grown on the ITO/p-GaN substrate by using a thermal chemical vapour deposition. The area of the chip is 0.5x0.5 mm2. Cr/Au schemes were employed to form both p- and n-electrode. In order to compare with the ZnO NR-embedded LED, normal ITO-based LEDs were also made. Optical and electrical characteristics of the ZnO NR-embedded LED were measured by a photodetector and a parameter analyzer, respectively. The operating voltage (@ 60 mA) of the normal LED was measured to be ~3.4 V, but that of the NR-LED was determined to be ~3.3 V, indicating that for the use of the NR in the LEDs, the voltage leads to the reduction by ~0.1 V. In addition, the electroluminescence (EL) intensities increased with forward bias voltage as compare to that of the normal LED. The series resistance of the normal LED was calculated ~3.60 Ω, but that of the NR-LED was determined to be ~3.01 Ω the series resistance leads to the reduction by ~0.59 Ω. The optical power data shows that the use of the NR in the LED produces an increase of light-extraction from LEDs compared to the normal LED. Based on overall properties of both LEDs, the use of the NR on the ITO is very effective in improving the optical and electrical properties of LEDs. More detailed characteristics and the fabrication steps will be discussed later.
4:45 PM - O2.7
ZnO/ZnSe Type II Core-Shell Nanowire Array Solar Cell.
Z. Wu 1 , J. Zheng 1 , X. Lin 1 , H. Zhan 1 , S. Li 1 , J. Kang 1 , Yong Zhang 2 3 , J. Bleuse 3 , R. Andre 3 , H. Mariette 3
1 Physics, Xiamen University, Xiamen China, 2 Electrical and Computer Engineering, UNC-Charlotte, Charlotte, North Carolina, United States, 3 NPSC, CEA-CNRS-UJF, Grenoble France
Show AbstractConversional wisdom would suggest to find a semiconductor with a bandgap in the range of 1.0 – 1.6 eV for a single junction solar cell, because the Shockley-Queisser detailed balance theory predicts that under one sun a semiconductor with its bandgap in this range can potentially achieve an energy conversion efficiency > 30%. However, it has been recently predicted that when two large bandgap semiconductors (e.g., ZnO and ZnSe or GaN and GaP), which on their own neither of them is an efficient light absorber, form a type II heterojunction, it can absorb a much broad spectrum of light due to the interfacial transition, as though the heterojunction has a much lower bandgap than any of the components. Therefore, an array of type II heterojunctions of two large bandgap materials, core-shell nanowires in particular, can potentially make an efficient solar cell.[1] One could view this approach as two large bandgap components mutually sensitizing each other. In this work, we report the first demonstration of this key effect in a solar cell based on a ZnO/ZnSe core/shell nanowire array, where the threshold of the photo-response is found to be around 1.6 eV, much below the bandgap of either component (3.3 and 2.7 eV, respectively). The device also has an open circuit voltage of 0.7 V, among the highest reported values for the nano-structured PV devices.[2] Other signatures of the type II transition have also been observed, including below bandgap absorption and photo-luminescence, and long PL decay time. [1] Y. Zhang et al., Nano Lett. 7, 1264 (2007); [2] Z. Wu et al., J. Mater. Chem. 21, 6020 (2011).
5:00 PM - O2.8
High Reliable AlCu-Based Ohmic Reflector for GaN-Based Light-Emitting Diodes.
Sei-Min Kim 1 2 , Seon-Ho Jang 1 , Sang-Mook Kim 2 , Jong-Hyeob Baek 2 , Ja-Soon Jang 1
1 , Yeoungnam University and LED-IT Fusion Technology Research Center, Kyeongsan-Si Korea (the Republic of), 2 , Korea Photonics Technology Institute, Gwangju Korea (the Republic of)
Show AbstractFlip-chip and vertical LED configurations have been identified as the most promising configuration to improve high-power LEDs over traditional top emitting LED configuration. Large output power can be achieved by reason of the photons are reflected at the electrode and then emitted through the transparent sapphire or n-type GaN. Al-based reflectors have been widely used for blue & UV flip-chip and vertical LEDs because of their high reflectivity. However, Al-based reflectors suffer from poor thermal stability and large leakage current originating from unwanted Al migration during high current device operation due to highly metallic reactivity of Al. In this work, we report on the thermally and high-electrically stable AlCu-based ohmic reflector. We will also discuss superior properties through flip-chip device configuration.A 60nm-thick Indium Tin Oxide (ITO) was deposited on the p-GaN by DC sputtering system and annealing at 650°C was performed for 1min in N2 ambient. Then Al0.97Cu0.03/Pt/Au schemes were employed by e-beam evaporation. In order to compare to Al based reflector, Al/Pt/Au schemes were also made on the ITO. In order to investigate the availability of LEDs, 950x 1050 μm2 flip-chip LEDs (FCLEDs) were fabricated using standard chip processing technology.The light-reflectance of Al- and AlCu-based ohmic reflector is 86 % and 87 % at 460 nm, showing relatively comparable. Turn-on voltages of both FCLEDs are relatively comparable. However, unlike the forward electrical properties, the reverse leakage current ( @-5 V) of the AlCu-based FCLED was remarkably lower than that of the Al-based FCLED by at least two orders of magnitude. This indicates that the AlCu-based reflector experiences little leakage current compared to the Al-based reflector. Under the high current operation region of ≥ 500 mA/cm2, the output power of the AlCu-based FCLEDs becomes significantly higher than that of Al-based FCLEDs although the photon emission characteristics of both LEDs at normal operation region of ≤ 300 mA/cm2 are relatively comparable. The stable current region of the AlCu-based FCLED is up to 1A, whilst that of the Al-based FCLED is around 800 mA, indicating that the AlCu-based reflector is more electrically stable than the Al-based reflector at high current device operation. Furthermore, the power drop of the Al-based FCLED becomes 70% after a stress time of 90 sec, while that of the AlCu-based FCLED becomes 70% after 180 sec. This study shows the AlCu-based reflector can serve as an excellent p-reflector with low reverse leakage current and high-stress stability for the realization of excellent device reliability GaN-based LEDs. More detailed analysis related with electroical-optical behaviors and reliability characteristics will be described by means of Auger electron spectroscopy (AES) depth profile data results later.
5:15 PM - O2.9
Fabrication of QDs-Polymer Films for Light Emitting Device as Highly Stable QDs by Metal Oxide Treatment on the Surface.
Yun Ku Jung 1 , Yuwon Lee 2 , Gwang-Hei Choi 2 , Jin-Kyu Lee 1
1 Chemistry, Seoul National University, Seoul Korea (the Republic of), 2 LG Components R&D Center, LG Innotek, Ansan Korea (the Republic of)
Show AbstractWhite light emitting devices are to combine blue LEDs with appropriate phosphors. Quantum dots (QDs) phosphors have many advantages, such as greatly reduced scattering, color tuning and improved color rendering index. Despite these advantages, QDs have not been used as phosphor for white LEDs due to some major shortcomings such as poor high temperature, humidity and long-term photo stability. Such as, these problems would be mitigated through the metal oxide formation on the QDs surface. This might suggest that the formation of metal oxide on the QDs surfaces in the presence of moisture not only increases the PL quantum yield at the plateau regimes but also enhances QDs stability against further etching. However, the QDs surface oxidation by oxidant has been led to wavelength shift and quenching because continuously diffusive oxidation has not been able to control.We have synthesis metal oxide treated QDs based on the thermal decomposition mechanism of single molecule precursor. Moreover, we have fabricated QDs-polymer film for light emitting device and examined durability test at high temperature and humidity.
5:30 PM - O2.10
Photonic Crystal Modulated IV-VI Semiconductor Mid-IR Light Emitter on Silicon.
Binbin Weng 1 , Lin Li 1 , Jijun Qiu 1 , Zhisheng Shi 1
1 Electrical and Computer Engineering, University of Oklahoma, Norman, Oklahoma, United States
Show AbstractWe demonstrate a two dimensional (2D) photonic crystal (PC) defect mode modulated mid-infrared light emitter via MBE grown IV-VI lead-salt semiconductor on silicon substrate. The photonic band gaps of the 2D PC structure were designed to be around 1960 and 2300 cm-1. Two photonic crystal defect mode coupled mid-infrared light emissions with ~ 10 cm-1 linewidth at the designed wavelengths were observed under pulsed optical pumping. The highest peak power is 3.99 W at 100 K with 12.8% corresponding quantum efficiency. The P-P curve shows threshold behavior of the defect mode emission at 260 K with the average pumping threshold power at about 70 μW.
Symposium Organizers
Tingkai Li Hunan Gongchuang Photovoltaic Science & Technology Co., Ltd.
Michael Mastro U.S. Naval Research Laboratory
Armin Dadgar Otto-von-Guericke-Universitaet Magdeburg
Hongxing Jiang Texas Tech University
Jihyun Kim Korea University
O3: Solar Harvesting
Session Chairs
Louis Grenet
Matt Sheldon
Wednesday AM, November 30, 2011
Room 304 (Hynes)
9:00 AM - **O3.1
Advances in Heterointegration of III-V/Si Solar Cells.
Steven Ringel 1 , T. Grassman 1 , J. Grandal 1 , A. Carlin 1 , C. Ratcliff 1 , L. Yang 2 , M. Mills 2
1 Department of Electrical and Computer Engineering, The Ohio State University, Columbus, Ohio, United States, 2 Department of Materials Science and Engineering, The Ohio State University, Columbus, Ohio, United States
Show AbstractSolar cells based on integrated III-V and Si materials have been of interest due to the prospect of leveraging the cost and manufacturing advantages of a Si wafer-based technology compared to conventional Ge and GaAs growth substrates. The Si substrate can also be used as an active component cell within III-V/Si multijunctions if appropriate metamorphic buffers on Si in conjunction with particular compositions of GaInP and GaAsP alloys are used such that nearly ideal 3-junction bandgap profiles of ~ 2.1/1.6/1.1 eV are possible. This design relaxes the constraint on traditional multijunction bandgap profiles imposed by lattice-matching to Ge substrates, opening paths to efficiencies well above current state of the art, while simultaneously addressing the expense of existing III-V PV technologies. The key materials issue for III-V on Si PV has always been mitigation of defects associated with III-V/IV interfaces in conjunction with controlled proliferation of dislocations within a lattice-mismatched solar cell design. Here, we show that metamorphic epitaxy of GaAsyP1-y on Si is enabling since its bandgap provides optical access to the Si cell/substrate while capturing an unusual range of direct bandgap Ga-rich GaInP and also GaAsP alloys. A multi-step growth temperature profile covering atypical growth conditions by molecular beam epitaxy, coupled with controlled GaP/Si nucleation chemistry, leads to high quality metamorphic GaAsyP1-y layers on Si spanning from GaP to GaAs. Total suppression of coalescence-driven defects over the entire alloy range on Si is demonstrated. Internally lattice-matched GaAsP and Ga-rich GaInP subcell materials and GaInP/GaAsP/Si structures are achieved with ideal bandgap combinations of ~ 2.1 eV/1.55 eV with the underlying 1.1 eV Si. Excellent optical properties throughout the entire bandgap range of 1.5 – 2.2 eV on Si are observed, correlating with low trap concentrations, low dislocation densities and heterovalent defect-free interfaces. Prototype GaAsP/Si and GaInP/Si devices will be discussed.
9:30 AM - O3.2
Effects of Marcasite and Oxygen Substitution on the Photovoltaic Performance of Pyrite FeS2 from First Principles.
Ruoshi Sun 1 , Maria K. Y. Chan 1 2 , ShinYoung Kang 1 , Gerbrand Ceder 1
1 Department of Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 2 Center for Naoscale Materials, Argonne National Laboratory, Argonne, Illinois, United States
Show AbstractPyrite is a promising photovoltaic (PV) material due to its suitable band gap (0.95 eV) [1], earth abundance, and exceptional optical absorptivity (~10^5 cm^{-1} for visible light [2]). In the first part of this talk [3], we examine the hypothesis that the polymorph marcasite undermines the PV performance of pyrite due to its lower gap (0.34 eV inferred from a resistivity measurement [4]). By developing a general model of epitaxial growth and using energies calculated from density-functional theory (DFT), we find that growth of marcasite on pyrite is thermodynamically favorable, but limited to a few layers. More importantly, (i) the band gap of marcasite obtained from various exchange-correlation functionals is comparable to that of pyrite and significantly larger than the experimentally reported gap of marcasite; (ii) no gap states are observed at the lowest energy pyrite-marcasite interface. In the second part [5], we study the effects of native and extrinsic oxygen point defects from first-principles defect computations. The important findings are (iii) pyrite is intrinsically stoichiometric due to the high formation energies of native defects; (iv) oxygen-on-sulfur substitution (O_S) explains the ubiquitous observation [6] of p-type conductivity of as-deposited pyrite thin films; (v) O_S forms a defect complex within pyrite that may act as a Shockley-Read-Hall recombination center; and (vi) device performance may be enhanced by reducing the oxygen partial pressure by a factor of 1000 with respect to current experimental standards. [1] A. Ennaoui et al., Sol. Energy Mater. Sol. Cells 29, 289 (1993). [2] I. Ferrer et al., Solid State Commun. 74, 913 (1990). [3] R. Sun et al., Phys. Rev. B 83, 235311 (2011). [4] M. Jagadeesh and M. Seehra, Phys. Lett. A 80, 59 (1980). [5] R. Sun et al., Phys. Rev. B 84, 035212 (2011). [6] J. Oertel et al., J. Cryst. Growth 198-199, 1205 (1999).
9:45 AM - O3.3
Defects in Cu(In,Ga)Se2 Chalcopyrite Semiconductors: A Comparative Study of Material Properties, Defect States and Photovoltaic Performance.
Qing Cao 1 , Oki Gunawan 1 , Matthew Copel 1 , Kathleen Reuter 1 , Jay Chey 1 , Vaughn Deline 2 , David Mitzi 1
1 , IBM T.J. Watson Research Center, Yorktown Heights, New York, United States, 2 , IBM Almaden Resesarch Center, San Jose, California, United States
Show AbstractUnderstanding defects in Cu(In,Ga)(Se,S)2 (CIGS), especially correlating changes in the film formation process with differences in material properties, photovoltaic (PV) device performance, and defect levels extracted from admittance spectroscopy, is a critical but challenging undertaking due to the complex nature of this polycrystalline compound semiconductor. Here we present a systematic comparative study wherein varying defect density levels in CIGS films were intentionally induced by growing CIGS grains using different selenium activity levels. Material characterization results by techniques including X-ray diffraction, scanning electron microscopy, transmission electron microscopy, secondary ion mass spectrometry, X-ray photoelectron spectroscopy, and medium energy ion scattering indicate that this process variation, although not significantly affecting CIGS grain structure, crystal orientation, or bulk composition, leads to enhanced formation of a defective chalcopyrite layer with high density of indium or gallium at copper antisite ((In, Ga)Cu) defects near the CIGS surface, for CIGS films grown with insufficient selenium supply. This defective layer or the film growth conditions associated with it is further linked with observed current-voltage characteristics, including rollover and crossover behavior, and a defect state at around 110 meV (generally denoted as the N1 defect) commonly observed in admittance spectroscopy. The impact of the (In, Ga)Cu defects on device PV performance is also established.
10:00 AM - **O3.4
Nanostructured III-V and Chalcogenide Solar Cells.
Yi Cui 1
1 , Stanford University, Stanford, California, United States
Show AbstractThis talk includes two parts. The first part is on the development of flexible and freestanding III-V nanostructured solar cells, in which only very thin absorber materials are needed for nearly complete photon absorption. The second part is on low-cost solution processed chalcogenide solar cells.
10:30 AM - O3.5
Transparent Graphene Electrode in CdTe Solar Cells.
Younghun Jung 1 , Seunju Chun 2 , Donghwan Kim 2 , Jihyun Kim 1
1 Department of Chemical and Biological Engineering, Korea University, Seoul Korea (the Republic of), 2 Department of Materials Science and Engineering, Korea University, Seoul Korea (the Republic of)
Show AbstractIndium tin oxide (ITO) film has been commonly used as the transparent electrode in the photovoltaic devices. However, there are many issues in ITO such as an increased cost of indium and a lack of stability at elevated temperatures. Graphene sheets, compared to ITO films, have excellent structural, optical and electrical properties. Especially, graphene shows higher transmittance from ultra-violet to infra-red region, which makes graphene as a potential candidate for the transparent electrodes in photovoltaic devices. CdTe is one of the most promising materials available as low-coat, high-efficiency thin film solar cell because of its ideal band gap, high absorption coefficient and good manufacturability. CdTe solar cells grown on a quartz glass/graphene/CdS were fabricated. Graphene sheets were grown by chemical vapor deposition. Graphene sheet was transferred on a quartz glass. In our experiments, we used three different number of graphene layers. By comparing the ratio of G-peak (1580cm-1) and 2D-peak (2680cm-1) in micro-Raman spectra, we could find the number of graphene layers. To quantify the optical properties, the optical transmittance of each graphene sheets (1, 2, 3 layers) was measured. CdS films were grown on the graphene films using chemical bath deposition and the sputtering methods. A lot of methods have been developed to deposit CdTe thin film such as metal-organic chemical vapor epitaxy, screen printing and close spaced sublimation (CSS) method. In this work, CdTe films were deposited on CdS films by CSS method, which has several advantages of a simple equipment set-up and high productivity. The details of the processes and results will be presented.
10:45 AM - O3.6
CZTS/Se for Thin Films Solar Cells.
Louis Grenet 1 , Sergio Bernardi 1 , Sebastien Noel 1 , Simon Perraud 1
1 , CEA, Grenoble France
Show AbstractThe development of abundant, cheap and non-toxic absorbers is an absolute necessity for photovoltaic technology to reach the terawatt scale. With a bandgap ranging from 1 to 1.5 eV and an absorption coefficient of 10^4 cm^-1, Cu2ZnSnS4/Se4 (CZTS/Se) compounds match all these criteria and thus are very promising candidates to be used as absorber in such a program. Different techniques have already been used to synthesize CZTS/Se. Sulfurization of metallic precursors [1], co-evaporation [2] and selenization of CZTS nano-particles based ink [3]. The efficiencies obtained by vacuum techniques for pure CZTS solar cells are 6.77% and 6.8% while the record of 9.7% is achieved using using a hydrazine based solution deposition approach with mixed sulfur/selenium anions.In this study, we present different ways to obtain CZTS/Se compounds, using sulfurization and/or selenization of sulfides and metallic precursors under nitrogen pressure. Classical stacks of ZnS/Cu/Sn are deposited by sputtering and e-beam evaporation. The first cells – CZTSSe/CdS/ZnO:Al on Mo coated glass – already gave us an efficiency of 4% without optimization of windows layer and TCO. The crystallization of CZTS/Se layers is controlled by X-Ray diffraction and Raman scattering and show no secondary phases while the stoechiometry of the compound is controlled by EDS measurement – deviation to stoechiometry is a key point thanks it is related to electrical properties.We are able to vary the S/Se ratio in our layers, thus we are focusing on the influence of this ratio on material properties – crystallization, evolution of bandgap, electrical properties of the compound and hypothetical presence of secondary phases – and at the same time on the efficiency of the complete cell.Simultaneously to this applied study for device fabrication, we are working on more fundamental aspects of these quaternary compounds. Using X-ray diffraction on single monocrystals in a TEM, we show that the crystalline phase obtained is not the typical kesterite one mentioned in the literature but is tilted of 45° with an orthorhombique structure. The difference between kesterite and this structure is related to the swerving to stoechiometric composition – the cations ratio is directly related to electrical and doping properties of CZTS/Se. We perform time-resolved photoluminescence in addition to this crystalline study to understand the influence of crystallization on optical properties of the material.Finally, we are currently working on changing the classical CdS window layer to ZnS layer on our cells to obtain cadmium free CZTS/Se-based solar cells.[1] : Applied Physics Express 1 (2008) 041201[2] : Applied Physics Letters 97, 143508 2010[3] : Adv. Mater. 2010, 22, E156–E159
11:30 AM - O3.7
Bulk Crystal Growth of Chalchopyrite Semiconductors of the Zn-Cd-Sn-P System Based on the Phase Diagram.
Takahiro Higashino 1 , Noriyuki Tanaka , Yoshitaro Nose 1 , Kazuaki Toyoura 1 , Tetsuya Uda 1
1 Materials Science and Engineering, Kyoto University, Kyoto Japan
Show AbstractIn order to spread solar cells widely, it is desirable that solar cell materials are made of low-cost and earth-abundant elements. In this light, we focus on the II-IV-V2 chalcopyrite semiconductor compound, ZnSnP2, which is a p-type semiconductor with a bandgap of 1.66 eV[1]. ZnSnP2 is reported to have high absorption coefficient (α > 105 cm-1)[2]. Therefore, ZnSnP2 is a promising material for solar absorber. However, its bandgap is expected to be decreased in terms of theoretical conversion efficiency. In this study, we thus consider to control the bandgap using a solid solution with CdSnP2, which has a bandgap of 1.17 eV[1]. The aim of this study is to establish the phase diagrams of the Zn-Sn-P and the Sn-ZnSnP2-CdSnP2 systems, and based on the diagram, make bulk crystals of (Zn,Cd)SnP2 for characterization. To make samples for experiments, metallic zinc, tin, cadmium and red phosphorus as raw materials were sealed in evacuated quartz ampule. The sealed ampules were heated at 800~1000 °C to melt raw materials for homogenization. The ampules for the equilibrium experiments were quenched into water after homogenization and then heat-treated at target temperatures and quenched into water. The ampules for bulk crystal growth were cooled slowly in a temperature gradient after homogenization. The samples were analyzed by X-ray diffraction for phase identification, scanning electron microscope with energy dispersive X-ray spectroscopy for microstructure observation and composition analysis of each phase, and inductively-coupled plasma spectrometry for determination of whole composition of samples.First, we established the phase diagram of the Zn-Sn-P system at 500-700 °C by equilibrium experiments. According to the Sn-ZnP2 pseudo-binary phase diagram, this system is peritectic type, and single phase of ZnSnP2 can be obtained by flux method using a solution with the composition more than 90 mol%Sn. Using the Sn-rich solution, we obtained ZnSnP2 bulk crystals by flux method. The bandgap was evaluated to be 1.5 ~ 1.6 eV by spectrophotometer. The density and the mobility of holes were 1016~1017 cm-3 and ~10 V cm2, respectively, by hall effect measurements.Next, we investigated the phase relationship in the Sn-ZnSnP2-CdSnP2 system at 400-600 °C. The results of experiments at 400 °C suggest that solid solution forms in the entire composition range at 400 °C. In contrast, Zn-rich and Cd-rich chalcopyrite phases are observed in the sample quenched from 600 °C, which suggests that these could be separated at 600 °C. To obtain bulk (Zn,Cd)SnP2 with wide composition range, crystal growth should be done at low temperatures around 400 °C. Based on these results, we are now trying bulk crystal growth of (Zn,Cd)SnP2 from Sn solution.[1]Ternary Chalcopyrite Semiconductors: Growth, Electronic properties and Applications, J.L. Shay and H. Wernick Pergamon press, New York, (1975).[2] H.Y. SHIN and PK. AJMERA, Mater. Lett. 5, 211 (1987).
11:45 AM - O3.8
Tailoring of CdS Nano Films Through CBD-Isochronal Synthesis For PV Applications.
Amanullah Fatehmulla 1 , Abdullah Aldhafiri 1 , Hamad Albrithen 1 2 , Omar Al-Dossari 1 , Adil Hassib 1 , Mohammad Hussein 1 2 , Jalinder Ambekar 3 , Dinesh Amalnerkar 3 , Mohamed Aslam 1
1 Physics and Astronomy, King Saud University, Riyadh-11451 Saudi Arabia, 2 King Abdullah Institute for Nanotechnology, King Saud University, Riyadh -11451 Saudi Arabia, 3 , Centre for Materials for Electronics Technology(C-MET), Pune- 411008 India
Show AbstractCadmium Sulphide (CdS) thin films have been prepared on ultrasonicated glass substrates using a specially designed chemical bath deposition technique via isochronal synthesis. The structural properties have been determined through XRD. The nanostructures have been identified in FE-SEM images. The chemical analysis has been carried out through EDAX. UV source as well as Dynamic Light Scattering technique was employed on the colloidal solution used for CBD-CdS films to determine the particle size. Spectral response, optical analysis and PL studies were carried out on these films. The growth conditions and the characterization results exhibit strong dependence on the pH of the precursor solution as well as the temperature of the bath. Cu doping has been employed on the tailored films to make them low resistive and efficient for window layer applications.
12:00 PM - O3.9
Strain Engineering of III-V Compound Semiconductors for an Optimized Triple Junction Solar Cell.
Marina Leite 1 , Emily Warmann 1 , Gregory Kimball 1 , Harry Atwater 1
1 , CALTECH, Pasadena, California, United States
Show AbstractCurrently, the limited number of single crystal substrates available in bulk form is one of the primary obstacles to the development of high quality semiconductor heterostructures at a wide variety of compositions and lattice parameters. The arbitrary control of substrate lattice parameter in epitaxial growth process can enable the development of innovative multijunction solar cells, with optimized band gaps. Detailed balance calculations show that an optimized triple-junction solar cell (1.98eV InAlAs/1.06eV InGaAsP/0.73eV InGaAs) can achieve an efficiency > 50% under 10-suns illumination, and has lattice spacing equal to 5.800Å. For that, a crystalline template based on III-V compound semiconductors needs to be used as a starting point for the epitaxial growth. Here we demonstrate the fabrication of this new single crystalline template: 50mm diameter dislocation-free fully relaxed single crystalline InxGa1-xAs layers with lattice parameter equal to the bulk value. The elastic strain of originally coherently-strained films (strain ranging from - 0.33% to + 1.17%) is relieved upon substrate removal and the crystal assumes its bulk lattice parameter, as confirmed by X-ray diffraction and transmission electron microscopy. The biaxial in-plane distortion affects the energy band gap of the alloy, as observed in optical measurements. Our demonstration of strain relief in large-area single crystalline layers relies on control of the relative rate of strain relaxation of the semiconductor and wax supporting with an extremely low shear modulus (5.8 x 10-7 GPa), enabling wafer-scale relief of the excess stress in the semiconductor film1. The mechanically supported templates can be used as a building block for epitaxial growth at a variety of lattice parameters, overcoming the limitations imposed by the existence of only a few bulk substrates. 1 M. S. Leite et al. Adv. Mat. In press.
12:15 PM - O3.10
PN Junction Formation at the Interface of CdS/CuInxGa(1-x)Se2-based Thin Film Solar Cell.
Soon Mi Park 1 , Yong Duck Chung 2 , Dea-Hyung Cho 2 , Jeha Kim 2 , Kyung Joong Kim 1 , Jeong Won Kim 1
1 , Korea Research Insititute of Standards and Science, Daejon Korea (the Republic of), 2 , Electronics and Telecommunications Research Institute, Daejon Korea (the Republic of)
Show AbstractCuInxGa(1-x)Se2 (CIGS)-based thin film solar cells are among promising compound semiconductor devices because people have already achieved high power conversion efficiency of upto 20 % at laboratory scale due to their high absorption property. Moreover, CIGS thin films can not be applied only to flexible devices, but also be produced at low cost. However, its physical understandings of p-n junction formation are far from satisfactory. There are three different models to describe the photovoltaic behavior, such as p-CIGS/n-CdS hetero-junction, p-CIGS/n-CIGS homo-junction, and p-CIGS/n-ordered defect compound layer hetero-junction. To clarify where the p-n junction in this solar cell really is, we measured electronic structures of CIGS films and CdS/CIGS hetero-junction using photoemission spectroscopic techniques such as Inverse Photoemission Spectroscopy (IPES), Ultraviolet Photoelectron Spectroscopy (UPS), and X-ray Photoelectron Spectroscopy (XPS). Two different sample preparation methods were applied and compared: one is various thicknesses of CdS films deposited on a CIGS film under vacuum and the other is ion-etched CdS/CIGS film interface.
12:30 PM - O3.11
Towards `Self-Healing' Solar Cells: Dynamic GaAs Passivation Using Encapsulated Sulfur-Functionalized Surfactants.
Matthew Sheldon 1 , Carissa Eisler 1 , Harry Atwater 1
1 Applied Physics, California Institute of Technology, Pasadena, California, United States
Show AbstractA primary challenge facing the large-scale deployment of solar power generation is a lack of durability in current high efficiency solar cell modules. Today’s most efficient commercial solar cells, such as GaAs or CdTe devices, utilize brittle semiconductor wafers or thin films that are immobilized on a rigid support structure for protection. Cracks or fractures caused during installation as well as damage incurred during the lifetime of the module can significantly lower cell efficiency. These efficiency losses result from surface state carrier recombination along unpassivated fracture edges. Although edge states are a significant site of carrier recombination even when a cell is undamaged, normally the total edge area is insignificant compared to the total passivated surface area of the device window layer. However, our modeling suggests that a high efficiency cell can lose as much as 4-5% absolute efficiency by formation of a network of cracks spaced 0.5mm apart.Here, we report a new strategy for making robust and durable, high efficiency GaAs solar cells. We incorporate a chemical solution of tri-octyl phosphine sulfide (TOP:S) with the solar cell that can dynamically passiviate any edge states as they form. Our approach utilizes the well-known chemical passivation of GaAs by sulfur-containing compounds in the 2- oxidation state and it is further informed by the ubiquitous role of TOP:S as a capping agent for semiconductor nanocrystals. Large enhancements of the surface photoluminescence yield of cleaved GaAs facets after treatment with TOP:S indicate the high electronic quality achievable with this strategy, comparable to the best previously reported chemical treatments. Device characterization of GaAs solar cells submerged under pure TOP:S, a clear viscous liquid, show no indication of edge-related losses in efficiency, primarily by enhancement of the short circuit current compared with untreated samples. This is corroborated by confocal scanning light beam induced current (LBIC) measurements of submerged cells, as well as characterization of surface recombination velocity near induced fractures. Ongoing studies show that GaAs cells stored under TOP:S continue to out-perform untreated cells, indicating TOP:S encapsulation as a promising route for improving lifetime reliability of high efficiency devices.
O4: Transistor Technology
Session Chairs
Wednesday PM, November 30, 2011
Room 304 (Hynes)
2:30 PM - **O4.1
Advanced Compound Semiconductor Materials Development.
Wayne Johnson 1
1 , Kopin Corporation, Taunton, Massachusetts, United States
Show AbstractAlthough advancements in process technology continue to emerge, materials development is at the forefront of many novel III-V device technologies. This presentation will highlight 2 promising areas of active materials development: GaAs-based BiHEMT and GaN-based HEMT.GaAs BiHEMT techno emerged in the latter part of the past decade as a means to monolithically integrate functionality of industry-standard GaAs HBT power amplifiers with high performance pHEMT RF switches. Material challenges of such a structure are significant, owing to the complexity and number of epilayers, and due to the impact of HBT thermal budget on the underlying pHEMT layers. Novel techniques of in-situ monitoring have been developed and deployed to enable MOCVD growth of such structures in a reproducible manner. It is highly desirable to reduce the overall BiHEMT topology by investigating and extending conventional doping limits available for n-type GaAs. For extending peak electron concentration in BiHEMT collector layers, novel dopant sources have been explored and show great promise. In addition, minimization of dopant diffusion processes must also be considered and optimized. Results from BiHEMT materials optimization in each of these areas will be presented and discussed.In the III-N material system, InAlN/GaN HEMTs offer an attractive alternative to conventional AlGaN/GaN HEMTs for high power, high frequency applications. The InAlN alloy can be synthesized lattice matched to GaN with heterostructures exhibiting sheet charge density roughly twice that of typical AlGaN/GaN. Despite these advantages, differences in fundamental properties of the InN and AlN binary components dictate remarkably different growth conditions and have limited progress in the growth of high quality InAlN alloys. The compromise in choice of ternary InAlN alloy growth conditions typically results in poor material quality, often manifested in rough or pitted surface morphology and compositional inhomogeneity. Furthermore, many early films have been characterized by significant amounts (several %) of unintentionally incorporated Ga, compositional variations, and indium segregation. We will present recent results including state-of-the-art mobility and high frequency performance from InAlN / GaN HEMT devices.
3:00 PM - **O4.2
The Effects of Device Dimension, Substrate Temperature, and Gate Metallization on the Reliability of AlGaN/GaN High Electron Mobility Transistors.
Fan Ren 1 , Stephen Pearton 1 , Lu Liu 1 , Tsung Sheng Kang 1 , Chein Fong Lo 1 , Erica Douglas 1 , Lin Zhou 2 , David Smith 2 , Soohwan Jang 3
1 , Unniversity of Florida, Gainesville, Florida, United States, 2 , Arizona State University, Tempe, Arizona, United States, 3 , Dankook University, Yongin Korea (the Democratic People's Republic of)
Show AbstractAlGaN/GaN high-electron mobility transistors (HEMTs) exhibit impressive attributes and outstanding performance values for applications in high voltage switching and RF power. In spite of the extraordinary material properties of the AlGaN/GaN heterostructure system, such as high mobility, high saturation velocity and good thermal conductivity, the main impediment of this technology is variability in device reliability. There has been significant interest in broadening these studies beyond the normal temperature-accelerated life tests. Although these latter tests show impressive mean-time-to-failures in excess of 107 hours calculated from extrapolation of high temperature data and activation energies for degradation between 1-2 eV, there is a general realization that attention must be paid to current- and field-driven failure mechanisms that may limit the device lifetime at normal operating temperatures. Several groups have recently identified an inverse piezoelectric effect mechanism that leads to irreversible damage to the gate edge above a threshold electric field. This degradation begins as small pits in the AlGaN barrier layer and eventually leads to crack formation at the edge of the gate on the drain side. Other degradation mechanism reported include hot electron-induced trap generation effects, Ohmic and gate metal degradation and charge trapping at the HEMT surface and in the buffer that falls under the general phenomenon of current collapse. In this talk, the effects of source field plate, gate metallization, substrate temperature, electrical field, gate length, and bias conditions on the AlGaN/GaN HEMT reliability will be presented.
3:30 PM - O4.3
Emission and Detection of Surface Acoustic Waves by AlGaN/GaN HEMTs.
Lei Shao 1 , Meng Zhang 2 , Animesh Banerjee 2 , Pallab Bhattacharya 2 , Kevin Pipe 1 2
1 Mechanical Engineering, University of Michigan, Ann Arbor, Michigan, United States, 2 Electrical Engineering and Computer Science, University of Michigan, Ann Arbor, Michigan, United States
Show AbstractAlGaN/GaN high electron mobility transistors (HEMTs) offer a number of advantages for high-power and high-speed RF and microwave applications. Furthermore, GaN-based materials are known to exhibit strong piezoelectric effects, leading to their use in surface acoustic wave (SAW) devices. In this work, we demonstrate the use of HEMTs to convert energy between electrical and acoustic domains, including a new means to generate or detect SAWs through the dynamic screening of a two-dimensional electron gas (2DEG).We first detect SAWs emitted by MBE-grown mesa-etched AlGaN/GaN HEMTs using integrated interdigital transducers (IDTs) that have geometries resonant with the HEMT source/gate/drain electrode geometry. Such an integrated HEMT/IDT system can potentially use SAWs to sample the material properties of the 2DEG and epitaxial layers, providing a real-time means to assess defects or degradation; it can also be used to improve device reliability and reduce cross-talk by damping the strong vibrational modes that propagate across the chip. Furthermore, capturing and converting acoustic energy emitted by HEMTs could provide a means for energy harvesting and possibly reduced device temperature. By characterizing the generation, transmission, and detection of SAWs within the integrated HEMT/IDT structure using an RF network analyzer, DC power supply, and bias tee, we show that maximum SAW generation in the HEMT occurs when VGS,DC ≈ Vth. At this bias point, there is maximum time variation of the carrier density in the 2DEG, to which we ascribe increased SAW generation through screening of the piezoelectric field [1]. Such screening has been predicted through self-consistent Schrödinger–Poisson calculations to be quite significant [2]. We then demonstrate the detection of SAWs by AlGaN/GaN HEMTs, showing how SAWs emitted by an integrated IDT can be used to provide dynamic strain modulation of a HEMT and hence modulate the carrier mobility and carrier density in the 2DEG. In addition to providing a means for high-speed and high-sensitivity detection of SAWs for sensing applications, such an effect could be used to modulate HEMT material properties over short periods of time, potentially without the degradation effects that often occur when such strain is incorporated through lattice mismatch. We show that a SAW induces a modulation in IDS for the HEMT that is controlled by VGS. [1] J.-H. Song et al, Appl. Phys. Lett. 83, 1023 (2003)[2] B. Jogai, J. D. Albrecht, and E. Pan, J. Appl. Phys. 94, 3984 (2003)
3:45 PM - O4 Transitor
Break
4:00 PM - O4.4
Structural, Luminescence and Electrical Characterisation of InAlN/AlN/GaN Heterostructures.
Naresh Gunasekar 1 , Carol Trager-Cowan 1 , Arantxa Vilalta-Clemente 2 , M. Morales 2 , Pierre Ruterana 2 , Hannes Behmenburg 3 , M. Heuken 3 , Francesco Ivaldi 4 , A. Letrouit 4 , S. Kret 4 , S. Pandey 5 , A. Minj 5 , Anna Cavallini 5
1 Physics, Universtiy of Strathclyde, Glasgow United Kingdom, 2 , CIMAP UMR , Caen France, 3 , AIXTRON, Aachen Germany, 4 Physics, Polish Academy of Sciences, Warsaw Poland, 5 Physics, Università di Bologna, Bologna Italy
Show AbstractIn our presentation we will report on our multi-pronged approach to the understanding of the properties of an InAlN (33 nm)/AlN (1nm)/GaN (3µm)/AlN (100nm)/Al2O3 high electron mobility transistor (HEMT) heterostructure. We will describe our recent studies correlating the structural, optical and electrical properties of this structure.To understand the structural properties we used X-ray diffraction (XRD), electron channelling contrast imaging (ECCI) in the scanning electron microscope1, atomic force microscopy (AFM) and transmission electron microscopy (TEM) to obtain information on strain; composition, and defects in the structure. For example ECCI revealed the presence of tilt and rotation boundaries surrounded by v shaped defects which were identified by cross-sectional TEM to be predominantly generated by edge dislocations. TEM also revealed that the edge dislocations propagated were from the GaN buffer. AFM and related techniques revealed that there was an increase of Indium concentration around the v shaped defects2. Low temperature cathodoluminescence spectroscopy was used to investigate the luminescence properties as a function of position and depth into the structure. Band edge emission was obtained from both the InAlN and GaN layers. However defect related emission was also observed and appears to originate from at/close to the surface of the sample and thus may be related to the presence of the v shaped defects. Four probe Current-Voltage (I-V) measurements were used to probe the 2DEG electrical transport properties, including sheet carrier concentration, sheet resistance and mobility. The values obtained were found to be inferior to those obtained from structures not exhibiting v shaped defects. We therefore conclude that the presence of the v shaped defects has a significant and detrimental influence on both the optical and electrical properties of this structure. As these defects originated from the buffer layer, this illustrates the importance of optimising the quality of such layers. References:1.Trager-Cowan, C. et al. Electron backscatter diffraction and electron channelling contrast imaging of tilt and dislocations in nitride thin films. Phy. Rev. B, 75, 085301-8 (2007). 2. A. Minj, D. Cavalcoli, and A. Cavallini. Indium segregation in AlInN/AlN/GaN heterostructures. App. Phys. Lett. 97, 132114 (2010).
4:15 PM - O4.5
Copper Oxide Edge-Termination for GaN Schottky Barrier Diodes with Low Turn-on Voltage.
Yuichi Minoura 1 , Naoya Okamoto 1 , Masahito Kanamura 1 , Tadahiro Imada 1 , Toshihiro Ohki 1 , Kenji Imanishi 1 , Keiji Watanabe 1 , Kazukiyo Joshin 1 , Toshihide Kikkawa 1
1 , Fujitsu Laboratories Ltd., Atsugi Japan
Show AbstractIn this study, we propose copper oxide (CuOx) edge-termination for GaN-based Schottky barrier diodes (SBDs) with low turn-on voltage. GaN SBDs are very attractive as low-loss switching device because of the lower on-resistance and higher breakdown field compared to SiC.[1] To further reduce power loss, we chose tantalum (Ta) as a Schottky electrode which can lower the turn-on voltage because of the low workfunction of 4.25 eV. However, Ta Schottky electrodes led to the increase of the reverse current leakage compared to higher workfunction metals such as nickel. To decrease the reverse current leakage and increase the on/off-current ratio, we applied CuOx edge-termination structures to Ta/GaN SBDs. CuOx is very promising material as p-type semiconductor [2] or insulator.The devices were fabricated by using Si-doped n-GaN epitaxial layer on sapphire substrates. The doping concentration was designed to be 2×1017 cm-3. Ohmic electrodes and Schottky electrodes were made on the top surface. After fabricating Ti/Al-based Ohmic contacts, the entire top surface was covered with SiN as passivation layer. After that, SiN passivation layer was opened by dry etching to form Schottky contact area. Then the 60 μm-diameter circular Schottky contact area was separated by distance of 5 μm from the Ohmic electrodes. Here, in the case of edge-termination structure, 10 μm-width ring patterns of 10 nm-thick CuOx were placed on the inner edge of Schottky contact area. Finally, Ta was deposited as the Schottky electrodes by sputtering. Then the overhang width of Ta Schottky electrode against SiN passivation layer was 20 μm.We compared the current-voltage characteristics between both devices with only Ta electrode and CuOx-edge-terminated Ta electrode. Consequently, the turn-on voltage for only Ta electrode was about 0.22 V at 1 mA/mm and that of CuOx-edge-terminated Ta electrode was about 0.27 V. The specific on-resistance of both diodes was 5.5×10-6 Ωcm2 and 9.1×10-6 Ωcm2, respectively. These turn-on voltages were low enough to operate as a low-loss switching device. This slight increase of the turn-on voltage was attributed to the limited electron path through Ta/GaN interface only at low voltage because CuOx is an insulator or p-type semiconductor. On the other hand, the reverse current leakage for CuOx-edge-terminated Ta electrode was lower than that of only Ta electrode. When the on-state and off-state voltages were defined as 1 V and -10 V, respectively, the on/off-current ratio for CuOx-edge-terminated Ta electrode was twice that of only Ta electrode. These results indicate that CuOx-edge-termination is an effective way to suppress the reverse current leakage of GaN SBDs with low turn-on voltage.[1] A. M. Ozbek and B. J. Baliga, IEEE Electron Device Letters 32 (2011) 300.[2] J-W. Han and M. Meyyappan, Applied Physics Letters 98 (2011) 192102
4:30 PM - O4.6
Ferromagnetic GaN:Gd Thin Films for Spintronic Devices.
Andrew Melton 1 , ZhiQiang Liu 2 , Na Lu 3 , Ian Ferguson 1
1 Electrical and Computer Engineering, UNC Charlotte, Charlotte, North Carolina, United States, 2 Semiconductor Lighting Technology, Chinese Academy of Sciences, Beijing China, 3 Engineering Technology, UNC Charlotte, Charlotte, North Carolina, United States
Show AbstractIn this work, the measured room-temperature ferromagnetic properties of GaN:Gd films co-doped with Si or Mg are compared with theoretical density functional calculations. These films were grown by MOCVD using a (TMHD)3Gd precursor and characterized by Hall effect measurement, XRD, and VSM. Magnetization curves from un-codoped and Si-codoped GaN:Gd films showed higher saturation magnetization than those for Mg-codoped films. “Activation” of the Mg acceptors through ex situ annealing improved the saturation magnetization of the films. Density functional theory calculations predict ferromagnetism in GaN:Gd films, however the mechanisms explaining ferromagnetism in p-type and n-type films appear to be different. Mg- and Si-codoped GaN:Gd films were used to form the p- and n-type layers (respectively) of an InGaN MQW LED structure, which showed magnetically-induced EL polarization and hysteresis of polarization.
4:45 PM - O4.7
Enhanced Tunneling in GaN p-n Junctions Using Ultra-Thin GdN Layers.
Sriram Krishnamoorthy 1 , Jing Yang 2 , Pil Sung Park 1 , Roberto Myers 2 1 , Siddharth Rajan 1 2
1 Department of Electrical and Computer Engineering, The Ohio State University, Columbus, Ohio, United States, 2 Department of Material Science and Engineering, The Ohio State University, Columbus, Ohio, United States
Show AbstractInter-band tunnel junctions enable a number of device applications such as multiple active region emitters and multi junction solar cells. The III- Nitride system with a broad range of optoelectronic applications lacks efficient tunnel junctions due to the larger band gap. In this work, we have demonstrated enhanced tunneling in forward biased GaN p n junction using ultra thin GdN layers inserted in a degenerately doped GaN p n junction. GaN p n junctions with high doping densities were grown along N face and Ga face orientations using Plasma Assisted Molecular Beam Epitaxy with thin GdN layer inserted at the p+ GaN/n+ GaN interface. The GdN growth done in very highly N rich conditions was characterized by a RHEED transition to 2 X 4 pattern beyond 0.4 ML of GdN from 1 X 2 pattern during GaN growth . RHEED reverted back to a streaky 1 X 2 pattern on growing p GaN on top of GdN interlayer. Both N face and Ga face devices with GdN inserts showed increased current density as compared to standard p+/n+ junction, suggestive of enhanced recombination tunneling due to GdN interlayer. This is similar to the previous observation of enhanced tunneling through ErAs islands in a GaAs p n junction and MnAs islands in GaAs p n junction. In the N face sample, the forward bias characteristics were particularly impressive with a current density of 5 A/cm2 at 1 V in a sample with GdN interlayer as compared to 1 mA/cm2 in a GaN p+/n+ junction. In order to carry a current of 50 mA/cm2 ,which is typical for multi junction solar cells that require forward tunneling, the tunnel junction demonstrated in this work requires just a voltage drop of 50 mV compared to a huge 2 V drop required in a standard p+/n+ junction. Reverse current density of 1 A/cm2 is obtained at -1 V compared to 1 mA/cm2 in the case of GaN p+/n+ junction. GdN inserts has resulted in about three orders of magnitude increase in forward and reverse current density. This is first report discussing creation of mid gap states/ metallic islands in nitrides which could aid in demonstration of high current density tunnel junctions enabling their incorporation in nitride electronic and optoelectronic devices.
5:00 PM - O4.8
GaN-Based Neutron Scintillators.
Andrew Melton 1 , Eric Burgett 2 , Nolan Hertel 3 , Ian Ferguson 1
1 Electrical and Computer Engineering, UNC Charlotte, Charlotte, North Carolina, United States, 2 Nuclear Engineering, Idaho State University, Pocatello, Idaho, United States, 3 Nuclear Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States
Show AbstractThe current global shortage of He-3, the isotope most commonly used for neutron detection, has generated a need for alternative neutron sensitive materials. In this work, the intrinsic neutron sensitivity of GaN thin films through the 14N(n,p) reaction is demonstrated. The use of Gd and LiF conversion layers is also demonstrated, with scintillation induced by the secondary radiation caused by the 157Gd(n,γ) and 6Li(n,α) reactions (respectively). GaN thin films were grown by MOCVD on sapphire substrates. Neutron testing was performed using an Am-Be neutron source and a 5 Watt reactor source.
5:15 PM - O4.9
Chemical Etch Characteristics of N-Face and Ga-Face GaN by Hot H3PO4 and KOH Solution.
Younghun Jung 1 , K. Baik 2 , S. Pearton 3 , R. Fan 4 , J. Kim 1
1 Department of Chemical and Biological Engineering, Korea University, Seoul Korea (the Republic of), 2 Optoelectronics Laboratory, Korea Electronics Technology Institute, Seongnam Korea (the Republic of), 3 Department of Materials Science and Engineering, University of Florida, Gainesvile, Florida, United States, 4 Department of Chemical Engineering, University of Florida, Gainesvile, Florida, United States
Show AbstractGallium nitride (GaN) has many applications in optoelectronic and photovoltaic devices. Wet chemical etch method has been generally used to avoid the plasma damage induced by the dry-etching. Especially, the surface texturing of GaN films is one of the most effective ways to increase the light extraction efficiency in LEDs, where the escape angle is very narrow. Until now, potassium hydroxide (KOH) wet etching has been commonly studied, but the direct comparison of etching behavior between phosphoric acid (H3PO4) and KOH in GaN has been rarely reported. Photo-enhanced chemical (PEC) wet etching techniques have been commonly employed because of simple experiment set-up. In the PEC wet etching, the electron and hole pairs generated by the incident photons assist the etching processes. Firstly, we investigated the effects of the etchant on N-face GaN. During the wet chemical etching, the same N-face GaN was sequentially etched in different hot etching solutions (H3PO4 or KOH). Both the temperature (100oC) and time (20min) were fixed. After the wet etching by using H3PO4 solution, N-face GaN was found to have dodecagonal pyramid features. Then, it turned into hexagonal pyramid features after the etching in hot KOH solution. Various facets appeared after H3PO4 etching, while the only crystallographic facets {10-1-1} were observed after KOH etching. The optical properties of the etched samples were characterized by photoluminescence (PL) and reflectance measurements. PL was increased because of the multi-scattering events. Various concentrations of H3PO4 were also studied at 1:0, 1:16, 1:32 and 1:64. Dodecagonal and hexagonal pyramid features coexisted at low concentrations of H3PO4.
5:30 PM - **O4.10
Effect of Electron Blocking Layer and p-Type Layer on Droop in InGaN/GaN Multiple-Quantum-Well Visible Light-Emitting Diodes.
Russell Dupuis 1 , Jeomoh Kim 1 , Suk Choi 1 , Mi-Hee Ji 1 , Jae-Hyun Ryou 1 , Kewei Sun 2 , Alec Fischer 2 , Fernando Ponce 2
1 Center for Compound Semiconductors and School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States, 2 Department of Physics, Arizona State University, Tempe, Arizona, United States
Show AbstractThe quantum efficiency of visible III-nitride-based light-emitting diodes (LEDs) rapidly decreases with increasing drive current, commonly referred to as efficiency droop. Several possible mechanisms for the origin of the efficiency droop have been suggested including carrier overflow, Auger recombination, carrier delocalization, and limited hole injection. We have investigated the effects of InxAl1-xN layers nearly lattice-matched to GaN as active-layer-friendly electron-blocking layers (EBLs) on the quantum efficiency and efficiency droop at high current densities in visible III-nitride-based light-emitting diodes (LEDs). The lower growth temperature, larger conduction-band offset, and flexibility in lattice-matching and strain engineering offered by InAlN EBLs are expected to enhance the quantum efficiency of visible blue and green LEDs, compared to conventional AlGaN EBLs, by reducing the thermal budget during the EBL growth, and providing a larger electron confinement effect. However, the wide bandgap of InAlN may act as a large hole blocking barrier in the valence band, resulting in reduced hole injection efficiency. Achieving a uniform carrier distribution in active region consisting of the multiple-quantum well (MQW) has been proposed as one of the solution to mitigate the efficiency droop. In order to accomplish the uniform distribution of carriers in the active layer, effective hole transport is considered to be a key factor. In this study, we also report on the enhanced hole transport effect in the MQW active region by controlling the indium (In) content in the p-type layer of the LED. The p-type GaN or InxGa1-xN layer that acts as a hole injection and contact layer is grown in typical LED epitaxial structures. In this talk we will describe some of the results of our study of the effects of the EBL and p-type layer structure on the efficiency droop of blue and green-emitting LEDs grown on (0001) sapphire by MOCVD.
Symposium Organizers
Tingkai Li Hunan Gongchuang Photovoltaic Science & Technology Co., Ltd.
Michael Mastro U.S. Naval Research Laboratory
Armin Dadgar Otto-von-Guericke-Universitaet Magdeburg
Hongxing Jiang Texas Tech University
Jihyun Kim Korea University
O7: Poster Session: Compound Semiconductors for Energy Applications
Session Chairs
Hongxing Jiang
Tingkai Li
Thursday PM, December 01, 2011
Exhibition Hall C (Hynes)
O5: Photonics and Optics
Session Chairs
Thursday PM, December 01, 2011
Room 304 (Hynes)
9:15 AM - O5.1
High Quality Metamorphic Materials on GaAs Using Multi-Component InGaAs/InGaP Grading for Multispectral Detector Applications.
Krishna Swaminathan 1 , Andrew Carlin 1 , Tyler Grassman 1 , Limei Yang 2 , Michael Mills 2 , Steven Ringel 1 2
1 Electrical and Computer Engineering, The Ohio State University, Columbus, Ohio, United States, 2 Materials science and Engineering, The Ohio State University, Columbus, Ohio, United States
Show AbstractPhotodetectors capable of simultaneous detection of multiple bands of the electromagnetic spectrum, from the infrared to the visible and ultraviolet, with inherent optical registry, are of great interest due to the wide range of applications, including military surveillance and environmental sensing. Each application demands specific detection and/or imaging requirements (such as cut-off wavelengths for each sub-detector), the optoelectronic materials for which can only be practically achieved using III-V metamorphic growth to access the different bandgaps. To this end, we are investigating the use of III-V compositional grading between GaAs and InP lattice constants, due to the richness of direct bandgaps available. To date, our efforts in this vein have yielded the creation of high-quality, monolithically integrated In0.61Ga0.39P/In0.14Ga0.86As (1.7/1.2 eV) dual-band photodetectors and In0.20Ga0.80As (1.1 eV) individual photodetectors grown on compositionally graded InxGa1-xAs virtual substrates. Further grading to access larger lattice constants using InxGa1-xAs has been shown to result in phase segregation into In-rich and Ga-rich regions, which hinder dislocation glide, preventing efficient epilayer relaxation and resulting in nucleation of excessive and unmitigated dislocation densities. In this regard, a number of graded buffer designs utilizing different combinations of grading materials (InxGa1-xAs and InxGa1-xP) and grading types (linear and step) were studied, to avoid detrimental levels of phase segregation while bridging the mismatch between GaAs and InP, or any lattice constant in between. X-ray diffractometry results displayed distinct changes in epitaxial tilts at 2 different lattice constants, one closer to GaAs (a ~5.72A ~In0.15Ga0.85As) and one closer to InP (a ~5.81A ~In0.85Ga0.15P), for all graded buffer designs, indicating changing dislocation dynamics related to both material composition and strain state. Cross-sectional TEM images displayed evidence of phase segregation for metamorphic InxGa1-xAs with x > 0.15 and for InxGa1-xP with x < 0.75. As a result of this study, an aggressive (3.9% μm-1) multi-component InxGa1-xAs/InxGa1-xP step-graded buffer was utilized to avoid the compositions of each material that displayed phase segregation, resulting in fully-relaxed n-type doped (1×1017 cm-3) InP with near-ideal Hall mobility (6810 cm2/V.s), revealing a potential pathway for achievement of high-performance detectors at larger lattice constants, and thus longer IR detection cutoff wavelengths. Further optimization of the metamorphic buffer to maximize dislocation filtering is currently underway. A comprehensive comparison between the different graded buffer designs using structural, optical, and electronic materials characterization will be presented, along with results related to novel detector device structures and materials-related detection capabilities.
9:30 AM - O5.2
Nano-Scale Characterization of an AlInN/AlGaN Distributed Bragg Reflector Using Scanning Transmission Electron Microscopy Cathodoluminescence.
Gordon Schmidt 1 , Peter Veit 1 , Frank Bertram 1 , Juergen Christen 1 , Christoph Berger 1 , Armin Dadgar 1 , Alois Krost 1
1 Institute of Experimental Physics, Otto-von-Guericke-University Magdeburg, Magdeburg Germany
Show AbstractThe combination of luminescence spectroscopy - in particular at liquid He temperature - with the high spatial resolution of a scanning transmission electron microscope (STEM) (δx < 1 nm at RT, δx < 5 nm at 10 K), as realized by the technique of low temperature scanning transmission electron microscopy cathodoluminescence microscopy (STEM-CL), provides a unique, extremely powerful tool for the optical nano-characterization of semiconductors, their heterostructures as well as their interfaces.Our CL-detection unit is integrated in a FEI STEM Tecnai F20 equipped with a liquid helium stage (T = 10 K / 300 K). The emitted CL light is collected by a parabolically-shaped mirror above the sample and focused onto the entrance slit of a grating monochromator. In STEM mode the electron beam is convergent and either kept at a single position for local spectra or scanned over the region of interest in imaging mode. Panchromatic as well as spectrally resolved (grating monochromator) CL imaging is used. The CL-intensity is collected simultaneously to the STEM signal at each pixel. The TEM acceleration voltage is optimized to minimize sample damage and prevent luminescence degeneration.In this study we will present a nano-scale correlation of optical and structural properties of a GaN based vertical cavity surface emitting laser structure (VCSEL) using liquid helium STEM-CL. The VCSEL structure consists of a lattice matched AlInN/AlGaN distributed Bragg reflector (DBR) grown by metal organic vapor phase epitaxy on c-plane sapphire substrate using an optimized buffer. The DBR is composed of 35 periods of AlInN and AlGaN λ/4 layers and is capped by a 200 nm thick GaN 3λ/2 bulk cavity. The STEM-CL images clearly resolve the complete sequence of the DBR structure.The Al0.2Ga0.8N buffer layers emit intense CL at a wavelength of 318 nm. The sharp drop in CL intensity in the vicinity below and its strong recovery above the AlN interlayer located between the Al0.2Ga0.8N buffer layers are clearly resolved. Vertically running threading dislocations show up in the high angle annular dark field image (HAADF) and result in dark lines in the 318 nm CL intensity image. The density of the threading dislocations is reduced from the sapphire substrate to the GaN cavity. The DBR layer stack is laterally and vertically homogeneous with sharp AlInN/AlGaN interfaces. There is no sharp contrast of HAADF signal at the AlGaN/AlInN interface relative to the other interface AlInN/AlGaN. Pits originating from threading dislocations occur only in AlInN layers. Local spectra show a blueshift of the DBR emission from 327 nm to 323 nm in growth direction. The direct comparison of the STEM HAADF image with the simultaneously recorded monochromatic CL mapping of the DBR luminescence clearly identifies the AlGaN/AlInN interfaces as the origin of this emission. This indicates the formation of a 2D electron gas in the polarization field induced potential well at this interfaces.
9:45 AM - **O5.3
Nonlinear Optical Techniques for Characterization of Wide Band Gap Semiconductor Electronic Properties: III-Nitrides, SiC, and Diamonds.
Kestutis Jarasiunas 1 2 , Ramunas Aleksiejunas 1 , Tadas Malinauskas 1 , Saulius Nargelas 1 , Patrik Scajev 1
1 Institute of Applied Research , Vilnius university, Vilnius Lithuania, 2 , Virginia Commonwealth University , Richmond, Virginia, United States
Show AbstractCombining interdisciplinary fields of nonlinear optics, dynamic holography, and photoelectrical phenomena, we developed the optical measurement technologies for monitoring the spatial and temporal nonequilibrium carrier dynamics in semiconductors in a wide range of excitations (10e16 to 10e20 cm-3) and temperatures (10 to 800 K).We explored advantages of non-resonant optical nonlinearities, based on a short laser pulse induced refractive or absorption index modulation (Δn and Δk) by free excess carriers. This approach, based on a direct correlation between the electrical and optical processes, opened a possibility to analyze electrical phenomena in “all-optical” way (i.e. without electrical contacts) and to develop nonlinear time-resolved characterization techniques.For monitoring of the spatial and temporal dynamics of these nonlinearities in sub-nanosecond up to microsecond time domains, we applied light-diffraction on transient gratings and free-carrier absorption techniques, combining the optical and electronic delay of a probe beam at wavelengths well below the bandgap. Carrier diffusion and recombination processes have been investigated in various wide band gap materials - differently grown GaN, SiC, and diamonds - and their key electrical parameters determined, as carrier lifetime, diffusion coefficient, diffusion length and their dependences on temperature and injected carrier density. The studies provided deeper insight into nonradiative and radiative recombination processes in GaN heterostructures and bulk crystals, revealed an origin of long nonradiative carrier lifetimes in bulk GaN and SiC (as diffusion-driven carrier flow to grain boundaries of extended defects), disclosed impact of localization in InGaN layers, many-body effects on diffusivity in diamonds and SiC crystals, and trap-assisted Auger recombination in InN.
10:15 AM - O5.4
Photonic Molecules Formed of (Ga0.51In0.49)P Coupled Microdisks.
Tsung-li Liu 1 , Marcus Witzany 2 , Robert Rossbach 2 , Michael Jetter 2 , Peter Michler 2 , Evelyn Hu 1
1 School of Engineering and Applied Science, Harvard, Cambridge, Massachusetts, United States, 2 , Institut für Halbleiteroptik und Funktionelle Grenzflächen, University Stuttgart, Stuttgart Germany
Show AbstractQuantum dot (QD) emitters coupled to high quality factor (Q) whispering gallery modes (WGMs) of microdisks have provided outstanding testbeds for the exploration of light –matter interaction in the solid state. Such structures have formed the basis of single photon turnstile devices and low threshold lasers. The coupling of two or more microdisks to form ‘photonic molecules’ further augments the capabilities of the QD-cavity structures. The coupling and splitting of the cavity modes can lead to quality factor enhancement, there is the possibility of lowered lasing thresholds, and the coupling of the cavities can mediate the exchange of excitation between spatially separate quantum dots. Such microdisk-based photonic molecules have previously been demonstrated in GaAs microdisks with embedded InGaAs QDs, and in GaInAsP microdisks containing compressively strain quantum wells. Our results describe the formation of photonic molecules formed comprising InP QDs in free-standing / (Ga0.51In0.49) P microdisks. These structures allow us to access the 660 – 675 nm spectral range. The sample is grown by metal-organic vapor-phase epitaxy on a (100) GaAs substrate, and is subsequently patterned by high-resolution electron beam lithography. A critical feature of the fabrication process is the ability to define narrow gaps (10’s of nanometers) between the microdisks, in order to ensure coupling between them. Each photonic molecule contains two 5um diameter microdisks with a 50-100nm gap in between. The cavities are then etched in an Inductively Coupled Plasma (ICP) process to define the disks with smooth, vertical side walls. A selective wet-chemical etch is then utilized to remove the sacrificial layer, creating optical isolation between the cavities and the substrate.Photoluminescence spectra of these coupled microdisks show the signature of strongly coupled WGMs with quality factors over a few thousand. The coupled WGMs are identified through confocal fluorescence microscopy mapping of the disks. Time resolved photoluminescence measurements will be presented, that provide detail on the modification of InP quantum dot lifetimes by the cavities.
10:30 AM - O5.5
Couplings in GaAs/GaAlAs/Metal Photonic Waveguides with Metal Variations.
Meng-Mu Shih 1
1 Electrical and Computer Engineering, University of Florida, Gainesville, Florida, United States
Show AbstractTo have better light-emitting performance, semiconductor-metal periodic photonic waveguides can generate stable wavelengths. The nano periods of built-in corrugations are calculated for specific applications. This work constructs a multi-parameter model to calculate the backward-wave mode-coupling coefficients, which are important to the analysis of photonic devices. For such a semiconductor-metal hybrid structure, a proper technique, by considering the electronics and photonics, need to be utilized to solve this computational complexity.Numerical results demonstrate how the corrugation amplitudes, layer thickness, Al compositions, and the materials of metal gratings affect the coupling coefficients. The improper design of layer thickness can cause this coupling to become smaller or even vanish. Therefore, the optimal design of the layer thickness is important to make a photonic device function properly. Further physical interpretation and discussion can support and explain the above results. The results can help engineers decide the values of parameters used in fabrication. Future work and applications will be proposed.
10:45 AM - O5 Optics
Break
11:00 AM - O5.6
Cathodoluminescence Characterization of Si-Doped Orientation Patterned GaAs Crystals.
Vanesa Hortelano 1 , Oscar Martinez 1 , Juan Jimenez 1 , Michael Snure 2 , Candace Lynch 3 , David Bliss 2
1 GdS optronlab, Universidad de Valladolid, Valladolid Spain, 2 Sensors Directorate, Air Force Research Laboratory, Hanscom, Massachusetts, United States, 3 , PPIG, Northvale, New Jersey, United States
Show AbstractMid infrared (mid-IR) and terahertz (THz) frequency sources are very important for IR spectroscopy for remote gas sensing, IR countermeasures for aircraft protection, and THz imaging. In spite of this technological interest, mid-IR laser sources are scarcely available. Among the more attractive candidates for those laser sources, the non linear optical conversion by quasi-phase matching (QPM) with GaAs crystals is taking a significant role. The high non linear optical coefficient of GaAs makes it an ideal candidate as a mid-IR material, and it is transparent in the spectral window of interest (0.9-17 μm). Nevertheless; GaAs is an isotropic material, and therefore one cannot periodically pole it for modulating the non linear optical coefficient. The alternative to this is the fabrication of structures with periodical alternation of the non linear optic coefficient. This can be done by periodically reversing the crystal orientation, forming the so-called orientation patterned GaAs crystals (OP-GaAs), which are periodic gratings of [001] and [00-1] oriented crystals. These crystals are inserted in an optical parametric oscillator (OPO), allowing laser sources with wavelengths ranging from 2 μm to the THz range. Fast growth of the periodic GaAs structures is achieved by hydride vapour phase epitaxy (HVPE). To reach sufficient conversion efficiency, the optical propagation losses must be minimized. The reduction of optical losses demands a material free of defects. The understanding of the incorporation of defects is essential to improve the growth method. The study of doped structures is very useful for that purpose. We present herein a spectrally resolved cathodoluminescence analysis of intentionally Si-doped OP GaAs crystals.
11:15 AM - O5.7
Observation of High Terahertz Transmittance from Mg-Doped InN by Terahertz Time-Domain Spectroscopy.
Hong-Mao Li 1 , Chih-Wui Chia 1 , Hyeyoung Ahn 1 , Yu-Liang Hong 2 , Shangjr Gwo 2
1 Department of Photonics, National Chiao Tung University, Hsinchu Taiwan, 2 Department of Physics, National Tsing-Hua University, Hsinchu Taiwan
Show AbstractInN has received much attention to be a very promising material for high-speed electronic devices owing to its superior electron transport properties such as small electron effective mass, high mobility, and large drift velocity. The p-type doping is a key issue to achieve important device applications and buried p-type InN was reported by magnesium doping. However, due to the existence of a surface electron accumulation layer, direct measurement of bulk p-type InN is still under debate. In this work, we report the frequency-dependent terahertz conductivities and dielectric responses of Mg-doped c-plane InN measured by transmission terahertz time-domain spectroscopy (THz-TDS). The THz-TDS system is based on p-type InAs emitter excited by a regenerated amplified Ti:sapphire laser and transmitted terahertz through the sample is detected by free-space electro-optic sampling. The terahertz transmission measurements show a significant enhancement of transmittance (>60%) for Mg-doped InN films compared to that (20%) for the undoped InN film. The Drude model is used for the analysis of the electrical conductivity of undoped and Mg-doped InN films. The key parameters describing the dynamics of free carriers in a material are the plasma frequency ωp and the carrier scattering time τ0. These parameters obtained from the best fitting curves to the experimental data agree well with those measured by Hall effect measurement. For InN films grown at a moderate Mg doping level, the plasma frequency does not change significant between undoped and Mg-doped InN films, but the carrier scattering time of the InN:Mg film is significantly reduced (~10 fs) compared to that of the undoped InN film. This result shows that although the conductivity σ(ω) is a coupled function of τ0 and ωp, the contribution of Mg doping to the significant changes in terahertz transmittance and the conductivity of Mg doped InN films is mainly attributed to the change in carrier scattering time. For semiconductors, the major contribution to the scattering time includes acoustic/optical phonon scattering, impurity/defect scattering. Separately measured photoluminescence of Mg doped InN films is much weaker than that of undoped InN, implying inferior crystalline quality of Mg doped InN films incorporated with impurities, defects, and dopants. A first-principles study also shows that dopants like Mg in InN create the deep formation energy and Mg acceptors substituted on In or N sites lead to the reduction in local symmetry associated with the relative positions of Mg dopant and provide the scattering centers. In addition, doped semiconductors, which are typically ionized, are charged and higher ionized impurity scattering also occurs for highly doped semiconductor. Therefore, it is clear that impurity/defect scattering introduced by Mg doping shortens the scattering time in Mg doped InN films and consequently leads to the terahertz transparency accompanied by low conductivity.
11:30 AM - O5.8
Imaging and Identifying Defects in Nitride Semiconductor Thin Films Using a Scanning Electron Microscope.
Naresh Kumar 1 , Jochen Bruckbauer 1 , Paul Edwards 1 , Ben Hourahine 1 , Christof Mauder 2 , Holger Kalisch 2 , Andrei Vescan 2 , Christoph Giesen 3 , Michael Heuken 2 3 , Philip Shields 4 , Austin Day 5 , Gordon England 6 , Carol Trager-Cowan 1
1 SUPA, Department of Physics, University of Strathclyde, Glasgow United Kingdom, 2 GaN Device Technology, RWTH Aachen University, Aachen Germany, 3 , AIXTRON SE, Herzogenrath Germany, 4 Department of Electronic and Electrical Engineering, University of Bath, Bath United Kingdom, 5 , Aunt Daisy Scientific Ltd, Lydney United Kingdom, 6 , K.E. Developments Ltd, Cambridge United Kingdom
Show AbstractExtended defects in semiconductors act as scattering centres for light and charge carriers and thus limit the performance of optoelectronic devices [1,2]. Developing the capability to rapidly analyse dislocation densities and stacking faults with negligible sample preparation represents a real step forward in the development of more efficient nitride-based semiconductor devices. In our presentation we will describe the use of electron channelling contrast imaging (ECCI) – in a field emission scanning electron microscope – to reveal and identify defects in nitride semiconductor thin films [3]. In ECCI changes in crystallographic orientation or changes in lattice constant due to local strain are revealed by changes in grey scale in an image constructed by monitoring the intensity of backscattered electrons as an electron beam is scanned over a suitably oriented sample. Extremely small orientation changes are detectable, enabling small angle tilt and rotation boundaries and dislocations to be imaged [3]. Images with a resolution of tens of nanometers are obtainable in ECCI. We are presently studying m-plane GaN samples, grown by metal organic vapour phase epitaxy (MOVPE), on γ-LiAlO2 (100) substrates offcut by 0°, 0.9° and 2.5° respectively towards [010]. The samples exhibit a striated surface morphology in addition to threading dislocations, stacking faults and partial dislocations. The mechanism for the formation of the striated features is under investigation [4]. The threading dislocation density and the stacking fault density are observed to increase as the substrate offcut increases. Other research in progress includes ECCI of nanostructured epilayers and the comparison of electron channelling contrast and cathodoluminescence hyperspectral images to investigate the role of defects on the emission from our materials.References: [1] Weimann, N. G., et al. Scattering of electrons at threading dislocations in GaN. J. Appl. Phys. Vol 83, 3656 (1998).[2] Kwang, H. B. et al. Effects of Basal Stacking Faults on Electrical Anisotropy of Nonpolar a-Plane (11-20) GaN Light-Emitting Diodes on Sapphire Substrate. IEE Phot.Tech.Lett., Vol 22, 595 (2010).[3] Trager-Cowan, C. et al. Electron backscatter diffraction and electron channelling contrast imaging of tilt and dislocations in nitride thin films. Phy. Rev. B, Vol 75, 085301(2007). [4] Wang, K. R. et al. Striated surface morphology and crystal orientation of m-plane GaN films grown on γ- LiAlO2 (100). APL, Vol 96, 231914 (2010).
11:45 AM - O5.9
Growth and Characterization of Ga(AsP)-Metamorphic Buffer Structures for Tandem Solar-Cells on Si.
Jens Ohlmann 1 , Andreas Beyer 1 , Regina Schmitt 1 , Wolfgang Stolz 1 , Kerstin Volz 1
1 Materials Science Center & Faculty of Physics, Philipps University, Marburg, Hessen, Germany
Show AbstractThe combination of Silicon (Si) with III/V-semiconductors offers the opportunity to build tandem solar-cells which can reach efficiencies up to 40%. Aside this benefit the use of cheap Si-substrate is a major advantage compared to Ge- or GaAs-substrates of today’s widely-used multi-junction solar-cells. By using metamorphic buffer-layers the optimal top-cell material can easily be chosen. The desired bandgap of 1.7eV for a tandem-Si-solar-cell can then be realized with the ternary III-V-semiconductors Ga(AsP) or (GaIn)P. A high quality metamorphic buffer with a low threading dislocation density is crucial for this approach to reach a high efficiency.To investigate the relaxation process several step-grading buffer-layers with Ga(AsP) were grown using metal organic vapor phase epitaxy (MOVPE). We nucleated a 50nm thin, defect free and almost lattice matched GaP-layer on (001) Si-wafer. On top of the virtual substrates Ga(AsP)-layers were deposited with a stepwise increasing As-concentration. The liquid Group-V-precursors Tertiarybutyl-arsine (TBAs) and Tertiarybutyl-phosphine (TBP) were used to assure efficient growth even at low temperatures. Triethylgallium was used as Ga-Precursor. We varied the grading-rate, grading-design and the growth parameters.To investigate the buffer structures we used atomic force microscopy to analyze the surface morphology and high resolution X-ray diffraction (XRD) to obtain reciprocal space maps. From the latter we determined the composition and the strain state of the individual layers. To get a clear picture of the relaxation process we measured symmetric and asymmetric reflexes in both <110>-directions. The XRD-analysis identifies the TBAs/V-ratio as a good parameter to control the composition. Furthermore it shows that a full relaxation can easily be achieved. A tilt of the epilayers relative to the substrate planes indicates an inhomogeneous nucleation of 60°-dislocations. This might be correlated to the small offcut of 2° from the used Si-substrate. Additional we investigated the samples with several cross-section transmission electron microscopy (XS-TEM) methods like conventional dark-field (DF-TEM), weak-beam dark-field (WB-TEM), and high-resolution (HR-TEM) imaging. The first two methods show that the misfit dislocations are distributed at the interfaces and that the threading dislocation density in the top layer is low. However, a low density of planar defects, like stacking faults and twins, within the layers was also observed. The HR-TEM analysis helps to indentify the different possible defects. We observed mostly 60°- and edge-dislocations besides partial dislocations combined to the greatest extent with small stacking faults.The presentation shows an overview of the influence of the growth parameters and the grading design on the relaxations process and the resulting defect structure.
12:00 PM - O5.10
Optical Characterization of a Hybrid InGaN/GaN Microcavity with Epitaxial AlInN/GaN Bottom DBR.
Alexander Franke 1 , Barbara Bastek 1 , Stefan Sterling 1 , Thomas Hempel 1 , Peter Veit 1 , Juergen Christen 1 , Pascal Moser 1 , Christoph Berger 1 , Juergen Blaesing 1 , Armin Dadgar 1 , Alois Krost 1
1 Institute of Experimental Physics, University Magdeburg, Magdeburg Germany
Show AbstractA hybrid GaN microcavity consisting of an epitaxial grown bottom and dielectric top Bragg reflector (DBR) was analyzed using reflectivity and micro-photoluminescence (PL) measurements. The sample consists of a lattice matched 40.5 layer pair AlInN/GaN bottom DBR grown on top of a GaN buffer layer on sapphire substrate. As active medium a 5 fold InGaN/GaN multi quantum well was embedded at the antinodes of standing wave pattern inside a 3λ/2 (λ = 432 nm) GaN cavity. After verifying resonant conditions by thinning of the GaN cavity layer a matched upper dielectric DBR was deposited for completion of the hybrid VCSEL structure. In order to verify resonant conditions between the Bragg wavelength (center of the stop band) of the bottom DBR and the MQW emission, reflectivity and PL mappings across the full 2 inch wafer was performed. A well pronounced stop band reaches a maximum reflectivity of above 99 % which is centered at 429 nm is observed in the center part of the wafer. Moving toward the edge of the wafer the Bragg wavelength is first red (5 nm) and finally blue shifted (15 nm) at the edge. A similar behavior with stronger red shift of 20 nm was found for a PL linescan along the same direction. Laterally resolved X-ray diffraction and transmission electron microscopy investigations attribute the observed wavelength shifts to thickness fluctuations within the λ/2 DBR AlInN/GaN period thickness and QWL period thickness, respectively. A spectral resonant condition between the center wavelength of the stop band and the PL emission peak is found within a circular area at a radial position ~ 6 mm off the center of the wafer. Finally the GaN cavity thickness was fine adjusted to fulfill the optical 3λ/2 (λ = 432 nm) condition using dry etching technique. A wedge like etching profile within the circular resonant region described above was achieved enabling a tuning of the cavity mode as well as MQW emission into resonance. A PL mapping across the diameter of the wafer indicate no reduction of MQW quantum efficiency due to the etching. Finally the etched semi microcavity structure was completed by depositing an 8 layer pair dielectric Ta2O5/SiO2 dielectric Bragg mirror on top of the GaN cavity. A maximum reflectivity of 98 % was reached in the stop band region comprising the stop band of the bottom DBR and the MQW emission peak. PL spectra taken from the complete hybrid VCSEL structure locally in the resonant area of the wafer show strong sharpening of the cavity emission lines compared to the emission without top DBR. However, no Purcell effect or anticrossing behavior in angle resolved PL investigations was found. Reasons might be a remaining misfit in the thickness of the cavity and strong microscopic emission fluctuations of the MQW.
12:15 PM - O5.11
AlN/GaN Distributed Bragg Reflectors Grown via Metal Organic Vapor Phase Epitaxy Using GaN Insertion Layers.
L. Rodak 1 , J. Peacock 1 , J. Justice 1 , D. Korakakis 1
1 Lane Department of Computer Science and Electrical Engineering, West Virginia University, Morgantown, West Virginia, United States
Show AbstractIII-Nitride based Distributed Bragg Reflectors remain of interest for the fabrication of cavity based optoelectronic devices such Resonant Cavity Light Emitting Diodes and Vertical Cavity Surface Emitting Lasers. As a result, epitaxially grown reflectors are attractive for direct integration in the device, reduced processing requirements, and the formation of narrow cavities [1,2]. In the III-Nitride material system, Aluminum Nitride (AlN) and Gallium Nitride (GaN) offer a large contrast in refractive index and are therefore well suited for fabricating DBRs with high reflectivity and wide bandwidths using relatively few periods. However, the large lattice and thermal mismatch leads to cracking in these heterostructures. Several methods have been employed to reduce or eliminate cracking, including superlattice insertion layers [3] and the use of a less lattice mismatched layer such as AlxIn1-xN [1]. In this work GaN insertion layers have been used to fabricate high reflectivity (> 94%) nitride based DBRs via Metal Organic Vapor Phase Epitaxy. Three to four monolayers of GaN have been periodically inserted into the AlN layer of an AlN/GaN DBR to eliminate cracking. The growth conditions for the insertion layers have been developed such that the superlattice structure is maintained as evident from the x-ray diffraction characterization. Using this technique, crack-free DBRs reflecting at 460 nm with up to 25 periods have been fabricated. The technique has been proven to be versatile and resulted in large area yield DBRs grown on a variety of different sapphire substrates. Preliminary results indicate the use of the GaN insertion layers induce periodically spaced v-defects at the AlN/GaN interface within the structure. Such defects are not as prominent in AlN/GaN DBRs grown without GaN insertion layers. In this work, the characterization of these structures and impact of the interface defects on the cracking will be discussed.[1] R. Butte, E. Feltin, J. Dorsaz, G. Christmann, J. Carlin, N. Grandjean, M. Ilegems. Jpn. J. Appl. Phys. 44, 7207 (2005).[2] P. M. Pattison,A. David, R. Sharma, C. Weisbuch, S. DenBaars, and S. Nakamura. Appl. Phys. Lett. 90, 031111 (2007).[3] G. Huang, T. Lu, H. Yao, H. Kuo, S. Wang, C. Lin, L. Chang. Appl. Phys. Lett. 88, 061904 (2006).
12:30 PM - O5.12
Green InGaN Nano-Pyramidal Structure on nGaN/Si Templates.
Moon-Seung Yang 1 , Joosung Kim 1 , Sangmoon Lee 1 , Taek Kim 1 , Youngsoo Park 1 , Uin Chung 1
1 M&D lab., SAIT, Yongin Korea (the Republic of)
Show AbstractInGaN based quantum wells in semipolar and nonpolar directions have received increased attention due to high emission efficiency caused by reduced polarization effects. Recently, InGaN nanostructure exhibits reduced defect density, high In Incorporation and large luminescence efficiency. Also, selective area epitaxy (SAE) is one of the most promising routes for the fabrication of well defined InGaN nanostructure. In this work, we have investigated structural and optical properties of InGaN/GaN multiple quantum wells on semipolar nano-pyramid structure. Hexagonal nano-pyramid arrays are formed by selective-area metal organic vapor phase epitaxy on a patterned n-GaN on Si template. Three InGaN/GaN MQWs which emit at the green wavelength are successively grown on the nanostructure array. We have found that the nano-pyramid has six {11-22} semipolar facets with smooth surface from scanning electron microscope (SEM) image. Dislocations which are generated in the nGaN template are filtered in semipolar nanostructure from transmission electron microscopy (TEM) mages because the elastic strain in nano pyramid changes the direction of dislocation. Temperature dependent photoluminescence from 10 K to 300 K shows monotonic decrease in peak energy with increasing temperature, suggesting suppressed localized potential. The integrated PL intensity ratio of 29% at 10 K and 300 K is high despite there are a lot of dislocations in the nGaN on Si template. Effects of quantum well thickness on photoluminescence will be discussed in nano-pyramidal structure. Our results suggest that hexagonal nano-pyramidal structure can be a good candidate for high In incorporation and improved luminescence efficiency beyond the green gap.
12:45 PM - O5.13
MOVPE Growth and Characterization of GaN-Microcavities with an AlInN/AlGaN Bottom Distributed Bragg Reflector.
Christoph Berger 1 , Alexander Franke 1 , Gordon Schmidt 1 , Armin Dadgar 1 , Juergen Blaesing 1 , Thomas Hempel 1 , Juergen Christen 1 , Alois Krost 1
1 Institute of Experimental Physics, Otto-von-Guericke University Magdeburg, Magdeburg, Sachsen-Anhalt, Germany
Show AbstractSemiconductor microcavities (MCs) are eminently suitable for studies of the physics of light-matter interaction. In particular, MCs with GaN as active medium are very promising to achieve strong coupling between excitons and cavity photons even at room temperature, due to the large oscillator strength and high exciton binding energy of GaN. However, in order to observe such effects, it is crucial that the MCs exhibit very high quality factors and narrow PL linewidths. We realized an epitaxial bottom distributed Bragg reflector (DBR), which is completely crack-free and features a maximum reflectivity of 99 % at 360 nm. The DBR consists of 35 periods of AlInN/AlGaN grown on an optimized AlGaN buffer on c-plane sapphire. The combination of these ternary alloys is very favourable for microcavities emitting in the ultraviolet spectral range, since they are wide bandgap materials that can be grown lattice-matched, enabling a strain-free deposition. Consequently, degradations by relaxation processes or by crack formation can be prevented. Afterwards, a bulk GaN 3λ/2 cavity was grown on top of such a DBR structure. For the proper functioning of the MCs, it is indispensable that the spectral position of the DBR stop-band coincides with the excitonic luminescence of the GaN. Therefore we mapped the reflectivity and photoluminescence across the whole wafer. Thereby, the reflectivity measurements revealed a strong shift of the optical stop-band of about 40 nm to longer wavelengths from the wafer center to the edge, caused by an increasing period thickness of the DBR. This thickness gradient was also observed by spatially resolved X-ray diffraction measurements across the full wafer diameter using a concurrent X-ray diffractometer featuring a large area detector. With the help of these measurements, a resonant region between the excitonic emission of the active region and stop-band position was found in the wafer center. In the final step, a matched upper dielectric Bragg mirror was deposited and the results obtained from this hybrid microcavity will be presented and discussed.
O6: Semiconductor Analysis and Synthesis
Session Chairs
Kestutis Jarasiunas
Hongxing Jiang
Thursday PM, December 01, 2011
Room 304 (Hynes)
2:30 PM - O6.1
Eliminating Stacking Faults in Semi-Polar GaN Grown on Planar Si(112) and Si(113) Substrates by AlN Interlayers.
Roghaiyeh Ravash 1 , Gordon Schmidt 1 , Peter Veit 1 , Mathias Mueller 1 , Armin Dadgar 1 , Anja Dempewolf 1 , Thomas Hempel 1 , Juergen Blaesing 1 , Frank Bertram 1 , Juergen Christen 1 , Alois Krost 1
1 , Institut for experimental physics, Magdeburg Germany
Show AbstractNon- and semi-polar GaN layers are an expected solution to progress light emitter efficiency by a reduction of the polarization fields in growth direction. However, basal plane stacking faults (BSFs) are a common problem for light emission in heteroepitaxial GaN layers.We present a nearly complete reduction of BSF density using a low temperature (LT) AlN interlayer embedded between GaN layers. The elimination of these defects is investigated by cathodoluminescence (CL) as well as scanning transmission electron microscopy (STEM) and STEM cathodoluminescence (STEM-CL). The semi-polar GaN epilayers are grown by metal organic vapor phase epitaxy on non-patterned Si(112) and Si(113) substrates, whereas the growth conditions are optimized for Si(112) [1]. A correlation between the structural and optical properties of the semi-polar GaN layers, which are grown on Si(112) and Si(113) with a c-axis tilt angle of ~18° and ~26° respectively, has been established. In this series, we observe a smooth surface for GaN grown on Si(112) but a V pit dominated surface for GaN grown on Si(113) with a three dimensional growth mode at the GaN layers result in a rough GaN surface. In samples grown on Si(112), the CL spectra at low temperature exhibit a dominating near-band-edge luminescence from donor-bound excitons at ~ 3.46 eV. In comparison, we obtain in samples grown on Si(113) comparable intensities of (D0,X) and BSF luminescence. We find that the LT-AlN interlayer has a significant effect on reducing the BSFs in the subsequent GaN layer, when this interlayer is applied after almost full planarization of the GaN layer as observed in STEM measurements. In samples grown on Si(112) we observe a nearly complete annihilation of BSFs at a LT-AlN interlayer. But the STEM on sample grown on Si(113) shows that most of the BSFs run through the undulated LT-AlN layer. STEM-CL investigations on Si(112) show only luminescence of few BSFs in GaN layers growing after LT-AlN interlayer. The growth conditions are further optimized to improve the GaN layer on Si (113), regarding to silicon orientations. After a LT-AlN seeding layer an AlGaN layer was grown followed by a GaN layer with an embedded LT-AlN interlayer. For an optimized sample grown on Si(113), the surface undulation of a first GaN layer is strongly improved obtaining a LT-AlN interlayer nearly parallel to the substrate surface. Here we can see the elimination of BSFs at LT-AlN interlayer on STEM and STEM-CL images. This dependence can be attributed to a critical thickness necessary for lattice relaxation, prerequisite for BSFs annihilation, an inverse process to that demonstrated by Fischer et al. for InGaN on m-plane GaN [2].[1] R. Ravash, J. Blaesing, A. Dadgar, and A. Krost, Appl. Phys. Lett. 97, 142102 (2010).[2] A.M. Fischer et al. Appl. Phys. Express 2, 041002 (2009).
2:45 PM - **O6.2
Effects of Si Doping and Threading Dislocation Density on Stress Evolution in AlGaN Films.
Joan Redwing 1 2 , Ian Manning 1 , Jeremy Acord 3 , Xiaojun Weng 2 , David Snyder 3
1 Materials Science and Engineering, Penn State University, University Park, Pennsylvania, United States, 2 Materials Research Institute, Penn State University, University Park, Pennsylvania, United States, 3 Electro-Optics Center, Penn State University, University Park, Pennsylvania, United States
Show AbstractThe introduction of Si dopants into GaN and AlxGa1-xN increases the tensile stress in the films, which can lead to film cracking in thick and/or highly doped layers even in films that initiate growth under a compressive epitaxial stress. Several mechanisms have been proposed to explain the tensile stress including crystallite coalescence resulting from Si-induced surface roughening and the inclination of threading dislocations. In the latter mechanism, the propagation of inclined threading edge-type dislocations with effective misfit components in the basal plane is predicted to give rise to a strain gradient in the film. In this study, in-situ wafer curvature measurements were used to directly study the impact of Si doping from SiH4 on intrinsic growth stress during MOCVD growth of AlxGa1-xN (x=0.40-0.45) layers on SiC substrates. Post-growth transmission electron microscopy (TEM) characterization was used to correlate measured changes in stress with changes in film microstructure. Nominally undoped AlxGa1-xN layers grown using a thin AlN buffer layer were observed to initiate growth under a compressive stress which relaxed with increasing film thickness. When SiH4 was added during layer growth, however, the stress was observed to transition from compressive to tensile. Cross-sectional TEM measurements revealed an abrupt change in the angle of inclination of threading dislocations in the films at the point of Si addition. The magnitude of the in-situ measured stress gradient was comparable to that predicted by the dislocation effective climb model suggesting that dislocation inclination is the dominant mechanism responsible for tensile stress generation in the Si-doped AlxGa1-xN layers. Silicon doping was also observed to induce dislocation inclination in AlxGa1-xN layers grown under both tensile and compressive stress, in contrast to undoped AlxGa1-xN where inclination was observed only under compression. The mechanism responsible for dislocation inclination is believed to be associated with the preferential incorporation of Si atoms at dislocation cores resulting in jog formation.
3:15 PM - O6.3
Depth Resolved Homogeneity and Quality Studies of Large Scale GaN LED Templates Grown on Si (111).
Stephanie Fritze 1 , J. Blaesing 1 , P. Drechsel 2 , P. Stauss 2 , A. Dadgar 1 , A. Krost 1
1 Institute of Experimental Physics, Otto-von-Guericke-University Magdeburg, Magdeburg, Saxony-Anhalt, Germany, 2 , OSRAM Opto Semiconductors, Regensburg, Bavaria, Germany
Show AbstractSilicon, as a low-cost and large-area substrate, is a viable alternative to Sapphire or SiC for cost effective GaN LED production [1]. The insertion of thin AlN interlayers during growth helps to compensate the high thermal tensile stress that arises during the cool down process owing to the high thermal mismatch between GaN and Si [2]. Additionally, the threading dislocation density can be reduced by optimized AlN interlayer thickness [3]. This leads to a higher crystal quality with reduced defect density and stress, low wafer curvature and no cracking, the main requirements for high quality LED templates.Here we study the vertical stress evolution of MOVPE grown GaN on 100 mm large Si (111) template structures after each growth step with a variation of the AlN interlayer thickness. Using symmetric and grazing incidence high resolution X-ray diffraction measurements we investigate the influence of the interlayer thickness with respect to the layer-dependent lateral material quality and depth resolved stress development. We observe higher compressive stress with increasing interlayer thickness but lower crystal quality. Bow measurements confirm the X-ray data and show increasing convex curvature with increasing interlayer thickness. Beyond a critical interlayer thickness even plastic substrate deformation occurs. The plastic deformation process already starts after the first interlayer growth. Furthermore the relaxation state of the AlN interlayers was analyzed. They show partial relaxation in GIID but coherent strain with respect to the underlying GaN in asymmetric reciprocal space maps indicating locally strained and relaxed areas.[1]A. Dadgar, C. Hums, A. Diez, J. Bläsing, A. Krost, Journ. Cryst. Growth 297 (2006) 279-282,[2]A. Dadgar, J. Bläsing, A. Diez, A. Alam, M. Heuken, A. Krost, Jpn. J. Appl. Phys. 39 (2000) L1183-L1185,[3]G. Cong, Y. Lu, W. Peng, X. Liu, X. Wang, Z. Wang, Journ. Cryst. Growth 276 (2005) 381-388
3:30 PM - O6 Materials
BREAK
3:45 PM - **O6.4
Stress Evolution in Growing Group-III-Nitride Layers on Si and Sapphire.
Alois Krost 1
1 Institut fur Experimentelle Physik, Otto-von-Guericke-Universitat Magdeburg, Magdeburg Germany
Show AbstractGaN-on-Si heteroepitaxy has become a serious alternative to established growth of group-III-nitrides on sapphire or SiC because of its low price, large diameter wafers, and thermally well conducting properties. Most of the work and published device results have been for GaN growth on (111) silicon substrates. Using in-situ curvature measurement technique we observe the strain state of group-III-nitride layers during growth by metal organic vapor phase epitaxy. Different sources of compressive or tensile stress could be identified. With theoretical models we describe the evolution of stress during growth. The impact of 3D-island coalescence, of epitaxial and thermal mismatch, or of doping can be monitored in detail as well as the influence of different layer schemes on stress evolution. In addition to thermal stress doping with Si is a major source of tensile stress. A major application for GaN-on-Si will be high-power LEDs for general lighting. For such purpose thick, high-quality structures with highly conducting n-type layers are required which is a major challenge because of tensely induced stress upon Si-doping. The latter can be overcome by Ge-doping instead of Si-doping. Recently, we have demonstrated thick, crack-free layers exceeding 14 µm in thickness. New fields are growth of semipolar GaN on high-index Si(h11) substrates. An overview on the latest results will be given.
4:15 PM - O6.5
New Insights into the Nature of the Mg Acceptors in Nitride Semiconductors.
John Lyons 1 , Anderson Janotti 1 , Chris Van de Walle 1
1 Materials, University of California, Santa Barbara, Santa Barbara, California, United States
Show AbstractMagnesium is the most important impurity in the nitride semiconductors, as Mg-doping is the only known method for achieving p-type conductivity in GaN. Yet, Mg is not a perfect acceptor. Hydrogen is known to passivate the Mg acceptor, necessitating a post-growth anneal for acceptor activation. The acceptor ionization energy of Mg is relatively large (~200 meV) in GaN, meaning only a few % of Mg acceptors are ionized at room temperature. Thus, hole conductivity is limited, and high concentrations of Mg are required to achieve moderate p-type GaN. High concentrations of Mg acceptors may lead to absorption losses and structural degradation in p-GaN layers. While the electrical properties of Mg-doped GaN are seemingly well established, its optical properties are still under debate. Photoluminescence studies have shown that emission signals depend strongly on growth method, Mg concentration, and the thermal history of the sample. Originally, it was thought that the Mg acceptor in GaN gives rise to a shallow donor-acceptor pair (DAP) line at 3.27 eV. Yet further studies have shown that the 3.27 eV DAP signal is unstable against electron irradiation and heat treatment, suggesting that this signal is not related to the Mg acceptor which gives rise to p-type conductivity in GaN. The nature of the ~2.8 eV blue luminescence (BL), which is often observed in Mg-doped GaN samples, is also not well understood. In particular, it has often been ascribed to deep donors created during Mg-doping, but optically-detected magnetic resonance (ODMR) studies have not shown any evidence of a deep donor signal. Moreover, the BL has been shown to be correlated with free hole concentrations.Using first-principles calculations we investigate the electrical and optical properties of the lone Mg acceptor and its complexes in GaN, InN, and AlN. We employ a technique that overcomes the band-gap-problem of traditional density functional theory, and allows for quantitative predictions of acceptor ionization energies and optical transition energies. With the help of these advanced techniques we explain the deep or shallow nature of the Mg acceptor and its relation to the blue luminescence and DAP lines seen in Mg-doped GaN. We also explore the properties of the Mg acceptor in InN and AlN, allowing predictions of the behavior of the Mg dopant in ternary nitride alloys.
4:30 PM - O6.6
Fermi Level Effect on Strain in N Type GaN.
Jinqiao Xie 1 , Seiji Mita 1 , Lindsay Hussey 2 , Anthony Rice 2 , James Tweedie 2 , Ramón Collazo 2 , Zlatko Sitar 2
1 , Hexatech Inc, Morrisville , North Carolina, United States, 2 Materials Science and Engineering, North Carolina State University , Raleigh, North Carolina, United States
Show Abstract Even after two decades of intense research and development, GaN based optoelectronic and electronic devices are still mainly fabricated on foreign substrates. As a consequence, most heteroepitaxial GaN thin films exhibit relatively high dislocation density and some remnant strain due to varied reasons, such as thermal mismatch, lattice mismatch, growth procedure, buffer layer choice and doping. Among them, the strain introduced by Si doping is the most interesting phenomena since Si is not expected to have a “size” effect. In some cases, the remnant compressive strain changed to tensile strain, as doping and film thickness were increased, eventually leading to cracks. Therefore, strain management in Si-doped GaN became an important factor for fabricating GaN based devices with high reliability and performance. Strain caused by Si doping is widely observed in heteroepitaxial GaN, AlGaN, and AlN, independent of the growth method. In contrast, there was no measurable shift of the AlN bandedge for Si up to 1x1019 cm-3 for homoepitaxial growth on single crystalline substrates, implying that the strain is a consequence of doping and high dislocation density. Based on TEM studies, an effective dislocation-climbing model was proposed to explain the strain. However, the driving force for the dislocation inclination was still under debate :(1) a mask effect in which SiNx formation at the dislocation core blocks the dislocation; (2) dislocation climbing due to the in plane tensile stress, in which case the strain is the cause for dislocation climbing instead of the resu< and (3) surface mediated dislocation climbing. By using co-doping, it was found that the tensile strain in Si doped GaN is only related with the free carrier concentration. The strain became immeasurable as co-doped C electronically compensated free carriers. Therefore, the dislocation inclination in n-type GaN is a result of dislocation climbing as governed by Ga vacancies during the extension of the dislocation during growth. Ga vacancy formation becomes favorable as the Fermi energy increases due to the increase in the free carrier concentration. This, in turn, will increase the climbing rate, causing a larger inclination angle and tensile strain. The phenomena are similar to the well known Fermi level effect in other III-V semiconductor. Moreover, such Fermi level effect is expected for all donors, Si, Ge or Oxygen, for n type GaN.
4:45 PM - O6.7
Surface Morphology of Homoepitaxial AlN Thin Films Deposited by MOCVD on Vicinal (0001)-Oriented AlN Substrates.
Anthony Rice 1 , Ramon Collazo 1 , Seiji Mita 2 , James Tweedie 1 , Jinqiao Xie 2 , Rafael Dalmau 2 , Zlatko Sitar 1
1 , North Carolina State University, Raliegh, North Carolina, United States, 2 , HexaTech, Inc, Morrisville, North Carolina, United States
Show AbstractSingle crystalline AlN substrates provide a host for the deposition of low defect density AlN and high Al content AlGaN thin films for use in UV optoelectronic devices and high-speed, high-power devices. Many such devices require one or more active layers of 10 nanometers or less thickness with thickness uniformity and interface abruptness being desirable for improved performance. As such, controlled nucleation and coalescence of thin epitaxial layers is necessary for the fabrication of device structures, and the use of vicinal substrates is one commonly employed technique to produce a uniform surface step structure suitable for subsequent epitaxial layer deposition. In this study, homoepitaxial AlN thin films were deposited on single crystalline (0001)-oriented AlN substrates with miscut angles ranging from on-axis to 13° from (0001), and characterization by atomic force microscopy was used to determine the ranges of miscut suitable for controlling surface morphology. All AlN thin films were deposited by metalorganic chemical vapor deposition at 1100–1250°C under 20 Torr total pressure in H2 diluent. Trimethylaluminum and ammonia were used as precursors with V/III ratios of 250-500. Triple axis high-resolution x-ray diffraction measurements of the (0002) Bragg peaks of AlN thin films indicated that the films were epitaxial and strain-free. For AlN substrates of between 1° and 13° miscut, periodic crystalline faceting was observed with alternating high symmetry (0001)-facets and high Miller index facets. The spacing of these facet arrays varied from 450 nm to 80 nm for substrates miscut of 1° and 13°, respectively. The step height between (0001)-facets varied from 2 nm to 4 nm for substrates of less than 2° miscut and up to 10 nm for substrates of 13° miscut. For AlN substrates of between 0.1° and 0.3° miscut, step-flow growth was observed with uniformly spaced bi-layer terraces. The spacing of these bi-layer terraces varied from 250 nm to 50 nm for substrates of 0.1° and 0.3° miscut, respectively. For AlN substrates of less than 0.1° miscut, hexagonal hillocks with an area density of 108 cm-2 were observed. Such hillocks are thought to be the result of two-dimensional nucleation as the area density was approximately four orders of magnitude greater than the typical dislocation density of the AlN substrates. For AlN substrates of between 0.3° and 1° miscut, irregularly spaced bi-layer terraces, irregularly spaced crystalline facets, or a combination of the two were observed.
5:00 PM - O6.8
Impact of Si Substrate Engineering on AlN-Si Interface: Correlation with Stress Evolution of Overgrown GaN.
Mihir Tungare 1 , Jeffrey Leathersich 1 , Xiaojun Weng 2 , Jarod Gagnon 2 , Puneet Suvarna 1 , Vimal Kamineni 1 , Joan Redwing 2 , Richard Matyi 1 , Alain Diebold 1 , Fatemeh (Shadi) Shahedipour-Sandvik 1
1 College of Nanoscale Science and Engineering, University at Albany, SUNY, Albany, New York, United States, 2 Department of Materials Science and Engineering, The Pennsylvania State University, University Park, Pennsylvania, United States
Show AbstractObtaining high quality GaN on Si has been a highly researched topic over the last decade in an attempt to reduce the costs of GaN electronic devices, integration with Si nanoelectronics, and as a promising technology for next generation power devices. The use of a high temperature (HT) AlN buffer layer for growth of GaN on Si has been employed extensively as it eliminates Si-Ga interdiffusion as well as introduces a compressive stress in the overgrown GaN film, thus allowing for a thicker GaN layer of better quality. The critical thickness for film fracture is still under 1 µm and elimination of cracks in thick III-Nitride structures on Si has been commonly achieved by adding low temperature (LT) AlN interlayers. Using our substrate engineering technique that involves ion implantation of the Si substrate after the AlN buffer growth, we have observed a measurable decrease in dislocation defect density and crack density in the overgrown GaN as compared to as-grown GaN on Si samples. Here we closely examine our ion-implantation assisted technique with regard to changes to the AlN buffer layer, the AlN-Si interface, and the Si substrate beneath the interface. Uniform AlN buffer layers, with a thickness variation within 2 nm across a 2” wafer, are grown on Si (111) substrates. These samples are implanted with nitrogen at an ion beam angle of 7 degrees and 0 degrees and a systematic space of different AlN thicknesses and implantation depths is analyzed. Thickness maps are generated at each stage of the process (pre-implantation, post-implantation, and post-implantation annealing) using 200 µm focusing probes on the RC2 spectroscopic ellipsometry (SE) tool. Transmission electron microscopy (TEM) shows excellent agreement with the results obtained using SE. Also, a change in contrast of the AlN islands pre-implantation to post-implantation annealing as seen using TEM correlates well with the reduction in AlN stress as observed with infrared SE (IRSE) and high resolution X-ray diffraction (HRXRD). This observation is further supported by in-situ stress monitoring of a GaN layer grown on the AlN/Si layers using a k-space multi-beam optical stress sensor (MOSS) system. Greater accumulation of nitrogen is observed at the AlN-Si interface at an implantation angle of 7 degrees while a greater amorphous Si thickness is observed for implantation done at 0 degrees, possibly due to ion channeling. Finally, an empirical model is introduced to predict the stresses in the film and to determine the critical thickness for cracking under given growth conditions. This will serve as an alternative to in-situ stress monitoring.
5:15 PM - O6.9
Mophological Characterization of Serrated GaN Nanowires.
Zheng Ma 1 , Mohamed Abd Elmoula 1 , Eugen Panaitescu 1 , Moneesh Upmanyu 2 , Latika Menon 1
1 Physics, Northeastern University, Boston, Massachusetts, United States, 2 Mechanical Engineering, Northeastern University, Boston, Massachusetts, United States
Show AbstractGallium nitride is an important semiconductor with a large direct band-gap. It is a potential candidate for short wavelength emitters (LEDs and diodes lasers) and many other applications. Growth of GaN nanowires is carried out in Chemical Vapor Deposition system on Au-catalyst-patterned substrates. In our work, a new “serrated” structure has been discovered in GaN nanowires. The serrations are regularly periodical and stable with a hexagonal cross section. The wires have been characterized by scanning electron microscopy and transmission electron microscopy which show that the “serrated” GaN nanowires are single crystalline with growth direction at an angle of 60° with respect to [0001] crystal orientation. We have shown that by controlling different growth factors large Au catalyst size and excess amount of gallium oxide are responsible for the growth of GaN nanowires in “serrated” structure. A preliminary model regarding the formation of the serrated structure will be presented.
5:30 PM - O6.10
Thermal Characterization Methods for AlxGa1-xN Based Ultraviolet Light Emitting Diodes.
Samuel Graham 1 , Bobby Watkins 1 , Vinod Adivarahan 2 , Asif Khan 3 , Samuel Graham 1
1 George W. Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States, 2 , Nitek, Inc., Irmo, South Carolina, United States, 3 Department of Electrical Engineering, University of South Carolina, Columbia, South Carolina, United States
Show AbstractHigh power AlxGa1-xN based ultraviolet light emitting diodes (UV LEDs) emit light in the wavelength range of 240 to 280 nm and are used in germicidal applications. Some key performance parameters of these devices, such as internal quantum efficiency and maximum output power, are greatly affected by the device temperature. Current methods used to measure the temperature of AlxGa1-xN based UV LEDs interact differently with the complex structure of the device, producing different thermal responses which must be considered when interpreting temperature measurements.A comparative study of the temperature rise of UV LEDs measured by µRaman spectroscopy and IR thermography is presented. The flip-chip mounted UV LEDs analyzed in the study consisted of sapphire growth substrates with an AlN buffer and AlxGa1-xN multiple quantum well regions with a high Al mole fraction (x ≥ 0.6). µRaman spectroscopy is an optical characterization technique, where the Stokes or anti-Stokes peak is representative of the photon energy emitted when the incident photons interact with phonons in the material. Information from the Raman spectrum allows for an average estimation of the device temperatures within the photon collection volume inside a material layer. There are varying levels of measurement accuracy offered by the different methods of this technique. IR thermography is an optical technique that employs the IR radiation between 2-5 µm emitted by a device. The temperature distribution across the viewed surface of the operational device can thus be found. IR measurements are strongly dependent on the surface emissivity of the probed regions and are sensitive to background thermal radiation. µRaman experiments were performed with a 488 nm laser excitation in a 180° backscattering geometry using a Renishaw InVia Raman microscope. Temperature measurements on operational devices were performed using the peak shift and peak linewidth of the Stokes peaks of the E2(high) modes of the AlN and n-AlxGa1-xN regions and the phonon modes of sapphire. Thus, the temperature rise as a function of input power, for the AlN, n-AlxGa1-xN, and sapphire regions was obtained. Micro-IR measurements were made using a Quantum Focus Instruments IR microscope equipped with an InSb detector. IR images of the device were captured the and temperature maps of the device at different levels of input powers were produced. Preliminary µRaman measurements indicated that the temperature rise of the n-AlxGa1-xN region was higher than that of the AlN region, e.g.: at an input power of 1.8 W, the temperature rise of the n-AlxGa1-xN region was 44.6 K, and that of the AlN layer was 20.37 K. It was also seen that the temperature of the n-AlxGa1-xN region above the p-mesa was on average 10 K higher than the temperature of the n-AlxGa1-xN region above the n-electrode. IR spectroscopy measurements agreed well with µRaman measurements of the temperatures of the AlN and sapphire layers.
5:45 PM - O6.11
Characterization of InGaN and InAlN Epilayers by Microdiffraction X-Ray Reciprocal Space Mapping.
Vyacheslav Kachkanov 1 , Kevin O'Donnell 2 , Igor Dolbnya 1 , Sergio Pereira 4 , Katarina Lorenz 3 , Robert Martin 2 , Paul Edwards 2 , Kawal Sawhney 1 , Ian Watson 5
1 , Diamond Light Source Ltd, Didcot United Kingdom, 2 Department of Physics, SUPA, University of Strathclyde, Glasgow, Scotland, United Kingdom, 4 CICECO, Departamento de Fisica and I3N, Universidade de Aveiro, Aveiro Portugal, 3 , Instituto Tecnologico e Nuclear, Sacavem Portugal, 5 Institute of Photonics, SUPA, University of Strathclyde, Glasgow, Scotland, United Kingdom
Show AbstractThe nitride semiconductors (GaN, InN, AlN and their alloys) have become a subject of intense research in the past fifteen years due to unique features of these materials which include a wide direct bandgap, remarkable mechanical strength and high melting temperatures. The technological breakthrough in the epitaxial growth of InGaN structures [1] has revolutionized the optoelectronics industry leading to robust and compact all-solid state light sources covering a broad spectral range. However, further advances in nitride technology such as nitride laser diodes emitting in the green and red spectral region require a deeper understanding of the microstructure of nitride alloy films with InN fraction greater than ~0.2.We report a study of InGaN and InAlN epilayers grown on GaN/Sapphire substrates by microfocused three-dimensional X-ray Reciprocal Space Mapping (RSM). The analysis of the full volume of reciprocal space [2], while probing samples on the microscale with a focused X-ray beam, allows us to gain uniquely valuable information about the microstructure of III-N alloy epilayers. It is found that “seed” InGaN mosaic nanocrystallites are twisted with respect to the ensemble average and strain free. This indicates that the growth of InGaN epilayers follows the Volmer-Weber mechanism with nucleation of “seeds” on strain fields generated by the a-type dislocations which are responsible for the twist of underlying GaN mosaic blocks [3]. In the case of InAlN certain tilt/twist combinations are found to favour the formation of a composition gradient. [1] S. Nakamura, M. Senoh, T. Mukai, Japanese Journal of Applied Physics 32, p. L8 (1993).[2] P.F. Fewster, N.L. Andrew, J. Phys. D: Appl. Phys. 28 A97 (1995).[3] T. Metzger, R. Hopler, E. Born, O. Ambacher, M. Stutzmann, R. Stommer, M. Schuster, H. Gobel, S. Christiansen, M. Albrecht, H. P. Strunk, Philos. Mag. A77, 1013 (1998).
O7: Poster Session: Compound Semiconductors for Energy Applications
Session Chairs
Hongxing Jiang
Tingkai Li
Friday AM, December 02, 2011
Exhibition Hall C (Hynes)
9:00 PM - O7.1
Carrier Distributions in InGaN/GaN Active Layers Investigated by Photo-Assisted Capacitance-Voltage Measurement with Temperatures, Frequencies and Excitation Powers.
Jung-Hoon Song 1 , Tae-Soo Kim 1 , Byung-Jun Ahn 1 , Yanqun Dong 1 , Ki-Nam Park 1 , Moon-Taek Hong 1 , Young-Boo Moon 2 , Hwan-Kuk Yuh 2 , Duk-Gyu Bae 2 , Sung-Chul Choi 2 , Jae-Ho Song 2 , Soon-Ku Hong 3
1 Physics, Kongju National University, Kongju, Chungnam, Korea (the Republic of), 2 , THELEDS Co., Ltd, Yongin, Gyeonggi, Korea (the Republic of), 3 Advanced Materials Engineering, Chungnam National University, Daejeon, Daejeon, Korea (the Republic of)
Show AbstractCarrier distributions in the active region of InGaN/GaN LEDs are one of the major parameters to the LED’s overall performance, including the efficiency droop. However, experimental investigations of carrier distributions in the active region have been limited, while theoretical simulations with assumptions have been the main source of the research.In this study, we investigated carrier distributions in InGaN/GaN LEDs experimentally by photo-assisted capacitance-voltage (C-V) measurement with various temperatures (80 K to 300 K), modulation frequencies (1 kHz to 1 MHz), and excitation power of the laser (up to 500 mW) and compared those results with the simulations. C-V measurements were done with two different samples which have different barrier doping levels in the multiple quantum well (MQW). C-V characteristics were investigated systematically with structures, doping levels, additional laser excitations, the excitation wavelength, sample temperatures and the measuring frequencies. By comparing simulation results, we identified the C-V signals from n-GaN, p-GaN and the active region. We also observed the distinct change in carrier distributions with barrier-doping levels. In the photo-assisted C-V, the excitation wavelength varied to investigate the difference in carrier generation either at the active region or at p-GaN region. Temperature dependence C-V curve showed that barrier-doping can cause defect states in the active region with slower response time at 80 K. Consequently, capacitance in active region was decreased as the temperature decreased and the C-V profile had clear frequency dependency at low temperature, while showed almost no dependency at room temperature. By analyzing temperature dependent depth profile, we can show significant electron accumulation between n-GaN and the 1st QW, and this electron accumulation was increased as the temperature decreased. The excitation power dependency with high powered (500 mW) laser is also discussed in order to fully clarify the carrier distributions in this MQW system
9:00 PM - O7.11
Structural Dependent Optical Properties of Nonpolar A-Plane GaN Grown on r-Sapphire by Plasma Assisted Molecular Beam Epitaxy.
Mohana Rajpalke 1 , Thirumaleshwara Bhat 1 , Basanta Roul 1 , Mahesh Kumar 1 , S. Krupanidhi 1
1 Materials Research Centre, Indian Institute of Science, Bangalore, karnataka, India
Show AbstractGallium nitride (GaN) grown on c-plane sapphire exhibits strong spontaneous and piezoelectric polarization along the c-axis, thereby decrease in the performance of light emitting diode grown in the c-direction. In order to avoid this problem, GaN films can be grown along the nonpolar directions such as (11-20) a-plane and (1-100) m-plane. The present work deals with the correlations between structural and optical properties of nonpolar a-plane GaN grown on r-sapphire by plasma-assisted molecular beam epitaxy. The growth of nonpolar a- plane (1 1 -2 0) orientation of the GaN epilayers were confirmed by high resolution x-ray diffraction studies. X-ray phi scans revealed the out-of plane and in-plane epitaxial relation of a-plane GaN with r-plane sapphire. The X-ray rocking curve full width at half maximum of (1 1 -2 0) reflection shows in-plane anisotropic behavior, which found to be decreased with increasing growth temperature and room temperature photoluminescence shows near band edge emission at 3.434 - 3.442 eV. This blue shift with growth temperature is attributed to the in-plane compressive stain in the film which was confirmed by high resolution X-ray diffraction study. The film grown at 800 °C shows broad yellow luminescence peak at 2.2 eV along with near band edge emission, which may be due to the formation of Gallium vacancy (VGa) at higher temperature of growth. Low-temperature photoluminescence spectrum was found to be dominated by emission due to the basal stacking faults, along with near-band emission. A plane-view TEM image confirms the presence of BSFs in a-plane GaN and the density of these stacking faults were found to be ~2 x 10^5 cm-1. Raman spectroscopy shows that the a-GaN film is of reasonably good quality and compressively strained.
9:00 PM - O7.12
Influence of Substrate Potential on the Growth of GaN in Plasma-Assisted Metal Organic Chemical Vapor Deposition.
Dever Norman 1 2 , Samir Hamad 1 2 , Quark Chen 3 , Chun-Fu Chang 3 , Guo-Hsin Huang 3 , Filiz Keles 1 2 , Hye-Won Seo 1
1 Dept. of Physics and Astronomy, University of Arkansas at Little Rock, Little Rock, Arkansas, United States, 2 Dept. of Applied Science, University of Arkansas at Little Rock, Little Rock, Arkansas, United States, 3 Dept. of Physics, National Sun Yat-Sen University, Kaohsiung Taiwan
Show AbstractLow-temperature GaN films were grown by radio frequency plasma-assisted MOCVD using solely nitrogen source and metal organic precursor. The substrate at the grounded electrode was electrically isolated and a broad range of potentials were applied locally to the substrate to manipulate the immediate potential of the plasma sheath in the vicinity of the growth region. The crystallography, morphology, and growth rate of the resulting films were characterized by x-ray diffraction and scanning electron microscopy. The optical transitions were studied by photoluminescence, while the electrical properties, such as mobility, charge carrier concentration, and resistivity, were determined by Hall effect. The analysis of Ion energy distribution functions (IEDFs) for plasma systems in relation to external bias was studied, and a comparison of the growth modes of GaN films grown by plasma-assisted MOCVD to the IEDFs of other systems was considered.
9:00 PM - O7.13
Towards a Hybrid Solar Cell Device: Semiconductor Nanowires Grown on Nanostructured ITO Surfaces.
Simon Ullrich 1 2 , Joachim Spatz 1 2 , Stefan Kudera 1
1 New Materials and Biointerfaces, Max Planck Institut for Intelligent Systems, Stuttgart Germany, 2 Biophysical Chemistry, University of Heidelberg, Heidelberg Germany
Show AbstractIn the last twenty years, inorganic nanoparticles and -wires have emerged as promising materials for a wide range of technological applications such as electrical, optical and photovoltaic devices. By adjusting volume and shape of the particles it is possible to tune the physical and chemical properties of these structures and thus to create new materials and devices. To date, several procedures for synthesizing of inorganic nanowires are well established. Some widely employed techniques are based on related catalyzed-growth mechanisms like the solution-liquid-solid (SLS)1, the vapor−liquid−solid (VLS)2 and the supercritical fluid−liquid−solid (SFLS)3 mechanisms in which metallic droplets act as catalyst to grow the precursors into nanowires.So far, inorganic nanowires produced via SLS were either grown in solution or on randomly distributed catalytic nanoparticles attached to a solid substrate4. Here, we demonstrate the use of block copolymer micelle nanolithography (BCML)5. This technique provides the potential to control size and density of nanoparticles on substrates.Our group modified this technique to create the catalyst nanoparticles in a controlled way on various solid substrates, including conductive surfaces like indium tin oxide (ITO). By adjusting particle distances the resulting density of growing nanowires can be tuned. The technique enables for the growth of different materials, and wires consisting of segments of different materials can be produced. In addition, the nanowires are firmly anchored on the substrates and therefore provide the possibility to transport charges to the surface. This setup could pave a new way to enhance the efficiency of hybrid solar cells in extension to the Grätzel layout6. References:1.Wang, F. et al. Solution-liquid-solid growth of semiconductor nanowires. Inorg Chem 45, 7511–7521 (2006).2.Wu, Y. & Yang, P. Direct Observation of Vapor− Liquid− Solid Nanowire Growth. J Am Chem Soc 123, 3165–3166 (2001).3.Hanrath, T. & Korgel, B.A. Supercritical Fluid–Liquid–Solid (SFLS) Synthesis of Si and Ge Nanowires Seeded by Colloidal Metal Nanocrystals. Advanced Materials 15, 437–440 (2003).4.Ouyang, L., Maher, K.N., Yu, C.L., McCarty, J. & Park, H. Catalyst-assisted solution-liquid-solid synthesis of CdS/CdSe nanorod heterostructures. J Am Chem Soc 129, 133–138 (2007).5.Glass, R., Moller, M. & Spatz, J. Block copolymer micelle nanolithography. Nanotechnology 14, 1153–1160 (2003).6.Grätzel, M. Photoelectrochemical cells. NATURE VOL 414, 1–7 (2001).
9:00 PM - O7.14
Structural Properties of GaN Thin Films Grown on Graphene Layers.
Hyobin Yoo 1 , Kunook Chung 2 , Gyu-Chul Yi 2 , Miyoung Kim 1
1 Dept. of Materials Science and Engineering, Seoul National University, Seoul Korea (the Republic of), 2 Dept. of Physics and Astronomy, Seoul National University, Seoul Korea (the Republic of)
Show AbstractDemands for various kinds of substrates for compound semiconductors are increasing as a lot of devices such as light emitting diodes (LEDs), lasers and solar cells are being fabricated with compound semiconductors. In case of GaN-based LEDs, mostly sapphire substrates have been used for epitaxial growth. However, applications on sapphire substrates have been limited by small size, high cost, high-resistance ohmic contacts, poor heat dissipation, and so on. Recently, graphene layers were used as a substrate for GaN thin films, demonstrating excellent optical characteristic such as stimulated emission. Furthermore, fabrication of LEDs on the GaN thin films showed strong electroluminescence emission under room illumination [1]. Nevertheless, the structural properties of GaN thin films grown on graphene layers have not been studied thoroughly. Here, we investigate the structural properties of GaN thin films on graphene layers such as interface structures, grain boundaries, and threading dislocations.For the growth of GaN thin films, vertically aligned ZnO nanowalls grown on oxygen-plasma treated graphene layers were used as an intermediate layer. Two types of graphene layers were used: mechanically exfoliated graphene layers and graphene layers grown by using chemical vapor deposition. GaN thin films were then deposited on ZnO intermediate layers by using metal organic chemical vapor deposition. The transmission electron microscopy study showed that GaN thin films were grown epitaxially with the wurtzite structure. The differences in structural properties between the GaN thin films on two different graphene layers will be discussed.[1] K. Chung et al., Science, 330, 655 (2010)
9:00 PM - O7.15
Spectroscopic Properties of w-AlN and c-BN Doped with Rare Earths during High Temperature - High Pressure Synthesis.
Ulrich Vetter 1 , Takashi Taniguchi 2 , John Gruber 3 , Gary Burdick 4 , Hans Hofsaess 1 , Sreerenjini Chandra 3 , Dhiraj Sardar 3
1 II. Physikalisches Institut, Georg-August-Universitaet Goettingen, Goettingen Germany, 2 Advanced Materials Laboratory, National Institute for Materials Science, Tsukuba Japan, 3 Department of Physics and Astronomy, The University of Texas at San Antonio, San Antonio, Texas, United States, 4 Department of Physics, Andrews University, Berrien Springs, Michigan, United States
Show AbstractRare earth doped III-N semiconductors such as w-AlN and c-BN are potential candidates for high power, high temperature optoelectronic devices operating from the infrared up to the ultra violet range of the electromagnetic spectrum - due to the large band gaps and robust nature of the host matrices. In this work we report on detailed cathodoluminescence investigations of single crystal h-AlN and c-BN doped during growth with different rare earth elements such as Eu3+ and Er3+ by a temperature gradient method under high temperature, high pressure [1]. The CL spectra were obtained from crystals mounted on the head of a closed-cycle helium refrigerator positioned within a vacuum chamber, CL spectra were optained in the wavelength range 200 – 1000 nm at a selected sample temperatures between 12 K and room temperature. For selected systems such as Eu3+ doped w-AlN [2] a detailed crystal field analysis of the triply ionized lanthanides will be given, revealing the symmetry of the rare earth ions in the wide band gap semiconductor matrices. A comparison of this rare earth doping method with doping by means of ion implantation, which is another attractive route towards device implementation, will be given. [1] T. Taniguchi, K. Watanabe, and A. Nakayama (manuscript in preparation)[2] J.B. Gruber, U. Vetter, T. Taniguchi, G.W. Burdick, H. Hofsäss, S. Chandra, and D.K. Sardar, accepted for publication in J. Appl. Phys.
9:00 PM - O7.16
Synthesis and Characterization of Cadmium Sulfide-MWCNT and Copper Sulfide-MWCNT Composites for Optoelectronic Devices.
Marcos Silva 1 , Wagner Rodrigues 1 , André Ferlauto 1 , Luiz Ladeira 1 , Carlos Pinheiro 1 , Douglas Miquita 2
1 Physics, Universidade Federal de Minas Gerais (UFMG) , Belo Horizonte, Minas Gerais, Brazil, 2 Microscopy Center, UFMG, Belo Horizonte Brazil
Show AbstractCadmium Sulfide (CdS) and Copper Sulfide (CuxSy) nanoparticles were successfully grown on Multi-Walled Carbon Nanotubes (MWCNT) via a simple chemical reaction. The CdS-MWCNT samples were produced with different parameters such as temperature and time of the reaction. The samples were characterized with Transmission Electron Microscopy (TEM), Energy-Dispersive Spectroscopy (EDS), Selected Area Diffraction (SAD) and X-ray Diffraction (XRD).The obtained images show that the decoration did happen and EDS spectrums reveals the chemical composition of the samples. The SAD patterns of the CdS-MWCNT samples show that the crystals attached to the MWCNT walls areactually CdS. XRD measurements indicate these samples’ structure as Zinc Blend type. We identified in the CuxSy sample only one phase of these semiconductor – CuS. The XRD pattern and the TEM images indicate the presence of at least one more phase. Thin films were produced by filtration but we couldn’t estimate the band gap of the CdS-MWCNT using UV-Vis absorption due to high reflection andscattering. We produced a device based on the junction of both MWCNT-CdS and MWCNT-CUxSy, and preliminary test showed that its electrical properties are light variable.
9:00 PM - O7.17
A-Edge Threading Dislocations in InN: Energetic Stability and Effect on the Optoelectronic Properties.
Efterpi Kalesaki 1 , Joseph Kioseoglou 1 , Liverios Lymperakis 2 , Philomela Komninou 1 , Theodoros Karakostas 1
1 Department of Physics, Aristotle University of Thessaloniki, Thessaloniki Greece, 2 Computational Materials Design Department, Max-Planck-Institut für Eisenforschung, Düsseldorf Germany
Show AbstractThe a-edge threading dislocations (TDs) comprise the major type of defects in InN thin films grown heteroepitaxially on foreign substrates. However, only few are known concerning their structural characteristics and electronic behavior. Various contributions have ascribed to edge TDs the role of nonradiative recombination centers or propose that they act as origins of electron scattering while the correlation of TD density to the inherent n-type conductivity of InN has become a subject of controversial debate [e.g. 1, 2]. These unresolved issues are addressed in our present work through interatomic potential and ab-initio calculations on the InN edge TDs. In this work, density functional theory calculation results on the atomic geometry and electronic structure of stoichiometric a-edge TD cores in InN, i.e. the 4-, 5/7- and 8-atom cores [3] are summarized and compared to a newly developed model comprising a 10-atom ring. This 10-atom core is characterized by a re-arrangement of the atomic columns on the 5/7-atom ring in order to eliminate the “wrong” bonds and their consequences on the band structure.Interatomic potential calculations are also undertaken in order to elucidate the effect of vacancies on the structure and energetics of the dislocations. Stabilization of N or In vacancies in the TD cores could alter their electronic structure since N-N and In-In configurations could be formed, inducing additional states in the band gap in accordance to previous predictions for bulk InN [4]. In our current work, the formation energy of isolated N and In vacancies along various paths perpendicular to the dislocation line is calculated as a function of the vacancy-core distance. In all stoichiometric cores considered, modifications in the band structure of InN are observed, which depend sensitively on the distinct structural core characteristics. Our results provide direct evidence that the stoichiometric 4- and 5/7- TD cores enhance the n-type conductivity of InN since pinning of the Fermi level in the conduction band is predicted even in the absence of an external dopant due to In-In strain induced interactions and “wrong” bond formation respectively. However, in samples where the majority of TDs obtain the stoichiometric 8-atom core or the 10-atom core structure, a weaker dependence of the bulk free electron densities on the TD density will be observed. Vacancies shall be either attracted or repulsed from the core depending on the undertaken path. Hence weaker or stronger dependence of the n-type conductivity on the TD density is predicted depending on the dominant core type and its specific atomic structure.[1] V. Lebedev et al., J. Appl. Phys. 100, 094903 (2006) [2] J. S. Thakur et al., J. Appl. Phys. 99, 023504 (2006) [3] E. Kalesaki et al., Appl. Phys. Lett. 98, 072103 (2011)[4] X. M. Duan et al., Phys. Rev. B 79, 174202 (2009)[5] This work was supported by EC under the FP7 DOTSENSE project (Grant No. STREP 224212)
9:00 PM - O7.18
Near-Infrared Light Detection at Low Tempertaures of n-Type β-FeSi2/p-Type Si Heterojunction Photodiodes Fabricated by Facing-Targets Direct-Current Sputtering.
Kyohei Yamashita 1 , Nathaporn Promros 1 , Shota Izumi 1 , Ryuhei Iwasaki 1 , Mahmoud Shaban 1
1 Applied Science for Electronics and Materials, Kyushu University, Kasuga, Fukuoka, Japan
Show AbstractRecently, the orthorhombic semiconducting phase of iron disilicide (β-FeSi2), which is epitaxially grown on Si substrates to employ them in novel optoelectronic devices, has received much attention. This is due to its large optical absorption coefficient (greater than 105 cm–1 at 1.2 eV); and its direct optical band gap of approximately 0.85 eV, which is relevant to an optical fiber for telecommunication wavelengths (1.3 and 1.5 μm). Previously, we have realized the epitaxial growth of β-FeSi2 thin films at a substrate temperature of 600 °C by facing-targets direct-current sputtering (FTDCS) [1]. The fabricated heterojunctions have been adopted as near-infrared (NIR) photodiodes and electrically characterized at room temperature [2]. In this work, in order to study the diode performance further, the NIR light detection was investigated at low temperatures. The heterojunctions showed a good rectifying behavior in which the forward current is more than two orders of magnitude greater than the reverse current. The leakage current, which was extremely large at 300 K, was markedly decreased by more than three orders of magnitude as the temperature was decreased down to 50 K. This should be because the leakage current was reduced by the suppression of the carrier density in the β-FeSi2 films at low temperatures. The reduction of the leakage current leads to a great improvement in their noise performance. At 50 K, the illumination current was by more than three orders of magnitude greater than the dark current. The photo-detection performance at the low temperature is obviously enhanced as compared to that at room temperature. The dynamic resistance-area product (R0A), which is the important parameter to determine the detectivity, was markedly increased with a decrease in the temperature to reach a value of 2.0 × 108 Ωcm2 at 50 K. The device detectivity was estimated to be 1.8 × 109 and 2.8 × 1011 cm√Hz/W at 300 and 50 K, respectively. At low temperatures, the detectivity was dramatically enhanced because of the reduction in the leakage current. The details will be reported on the day.[1] T. Yoshitake et al., Appl. Phys. Lett. 88 (2006) 182104. [2] M. Shaban et al., Appl. Phys. Lett. 94 (2009) 222113.
9:00 PM - O7.19
Enhancement of 1.55μm Luminescence of β-FeSi2 Grown from Solvent Phase by Cu-Si and Au-Si Eutectic Reaction on Si Substrate.
Kensuke Akiyama 1 2 , Satoru Kaneko 1 2 , Hiroshi Funakubo 2 , Masaru Itakura 3
1 Electronics Department, Kanawaga Industrial Technology Center, Ebina, Kanagawa, Japan, 2 Department of Innovative and Engineered Materials, Tokyo Institute of Technology, Yokohama, Kanagawa, Japan, 3 Department of Applied Science for Electronics and Materials, Kyushu University, Kasuga, Fukuoka, Japan
Show Abstract The photoluminescence (PL) at 1.55μm from semiconducting iron-diesilicide (β-FeSi2) has attracted a noticeable interest, and has attracted much attention over the past ten years as one of the promising materials for silicon-based optoelectronic applications. Moreover, its high optical absorption coefficient (higher than 105 cm-1 above 1.0 eV) allows this semiconducting material to be used as photovoltanics devices. The light-emitting diodes using β-FeSi2 active-layer showed the emission efficiency of about 0.1%. It is pointed out that non-radiative recombination centers at hetero-interfaces affect the light emission efficiency. In this study, we report an improvement in the crystallinity of β-FeSi2 grain as well as β-FeSi2/Si hetero-interface and the enhancement of PL intensities by coating copper (Cu) or gold (Au) layer on Si(001) wafers. 40-nm-thick Au and 20-nm-thick Cu layers were deposited on n-type floating-zone (FZ) Si(001) wafers at room temperature in vacuum (<3x10-4 Pa) atmosphere. Iron silicides were deposited by co-sputtering iron (Fe) and silicon (Si) in an argon atmosphere at 750°C. The chamber pressure during deposition was kept constant at 3×10-1 Pa, and the deposition rate was set to 1.5 nm/min during the deposition time of 120 min. A 2-inch Fe-Si alloy disk with Si tips was used as a target. Changing the areas of the tips controlled the silicon/iron atomic ratio of the films. A clear PL spectrum for β-FeSi2 was observed by Cu or Au coating on Si(001), and indicated the formation of high-crystal-quality β-FeSi2 with a low-level non-radiative center without post-annealing. Moreover, those results suggested that the growth from solvent phase by Cu-Si and Au-Si eutectic reaction on Si substrate can be the sufficient low temperature process for device application.
9:00 PM - O7.2
Plasma Gas Condensation Assisted Synthesis and Optical Properties of ZnO:Ge Nanocomposites.
Abdullah Ceylan 1 , Sadan Ozcan 1
1 Physics Engineering, Hacettepe University, Beytepe, Ankara, Turkey
Show AbstractWe introduce a new method for the synthesis of Ge nanodot embedded ZnO thin films for photovoltaic applications. Common way of embedding quantum dots in a wide band gap semiconductor is by simultaneous sputtering from multi-targets or from a composite target with suitable concentrations. Limited studies on Ge-ZnO nanocomposite have employed rf alternated sputtering and annealing technique. Here, we propose using Cluster Deposition Source (CDS) for independent preparation of Ge nanoparticles for better control of size and the concentration of Ge nanoparticles. Ge nanoparticles in CDS are produced by using rapid condensation of sputtered Ge atoms. Firstly, a thin (40-50nm thick) ZnO layer is reactively sputtered on a Si substrate. Secondly, Ge nanoparticle deposition on top of the first ZnO layer is conducted under certain conditions. Ge nanoparticles are transferred to the substrate region through a 2.5mm nozzle opening on top of a guiding stainless steel tube extending to the substrate region. Finally a capping ZnO layer (40-50nm thick) is again reactively sputtered on top of the Ge nanoparticles. Structural analyses have revealed that Ge nanoparticles with sizes ranging from 10nm to 20nm could be embedded into a well-defined ZnO matrix. In fact TEM studies performed on Ge nanoparticles captured on a Cu grid placed around substrate region have manifested that Ge nanoparticles reach to ZnO matrix with a size of about 7-8 nm and then eventually grow larger due to annealing implemented during capping layer deposition. In this work, we are going to present the details of material synthesis, structural properties and effects of Ge nanoparticles on the optical properties of ZnO:Ge nanocomposites.
9:00 PM - O7.20
New Device Structure for High-Efficiency GaN-Based Vertical Light Emitting Diodes.
E. Lee 1 , J. Sung 1 , K. Jeon 1 , J. Jeon 1 , D. Lim 1 , Min-Gu Kang 1 , Y. Choi 1 , J. Lee 1
1 , LG Electronics Institute of Technology, Seoul Korea (the Republic of)
Show AbstractGaN-based light emitting diodes(LEDs) are of great technological importance for applications in solid-state lighting and displays. In particular, vertical-injection GaN-based LED structure has been known to be a promising candidate for high power and high efficiency device since it has many advantages, such as better current injection, excellent heat dissipation, enhanced reliability, a good scalability of chip size, etc. In this device structure with vertical current injection geometry, there is an unavoidable problem of current crowding near n-electrode at high current operation. Current blocking layer (CBL) between p-type GaN and reflector has been employed to control the current path, enhancing optical output power. However, this conventional CBL method reduces the active area involved in radiative recombination of the electron and hole though it has advantage of current spreading. We have developed a new GaN-based vertical LED structure that could make more efficient spreading of injected current flow and relatively uniform recombination. A variety of device structures have been simulated by SPECLED and the best performance was derived when CBL was located especially between n-GaN and n-electrode. For realization of this new vertical LED structure, a technological key is a high quality of transparent conductive oxide for n-electrode on N-polar GaN surface with low ohmic contact resistance. We have developed transparent conductive oxide of indium tin oxide(ITO) for n-electrode, which was highly transparent over 98% at 450nm with relative electrical resistivity of 3x10-4 Ωcm. In particular, ITO was deposited by electron cyclotron resonance sputter in order to minimize the damage of GaN N-polar surface and to produce low resistance of ohmic contact, which was lowered under 1x10-4 Ωcm2 by Cl2 plasma treatment. This technology of transparent n-electrode was applied to fabricate vertical LED including CBL. It was clearly shown that the current distribution around n-electrode was dependent on the geometry of CBL between n-GaN and n-electrode and the further enhancement of optical power was made by effective current spreading and uniform recombination. The key factors for new device structure and transparent n-electrode will be discussed in details.
9:00 PM - O7.21
The Effect of N/Ga Ratio on Structural, Morphological and Optical Properties of GaN Films and on Pt/GaN Schottky Diodes.
Basanta Roul 1 2 , Mahesh Kumar 1 2 , Mohana Rajpalke 1 , Thirumaleshwara Bhat 1 , A. Kalghatgi 2 , S. Krupanidhi 1
1 Materials Research Centre, Indian Institute of Science, Bangalore, Karnataka, India, 2 Central Research Laboratory, Bharat Electronics Limited, Bangalore, Karnataka, India
Show AbstractIn recent times, GaN-based materials have received considerable attention due to their potential applications in light-emitting diodes, high electron mobility transistor, laser diodes, UV detectors and high temperature/high power electronics. The most attractive property of GaN is its direct wide band gap (~3.4eV) which allows an efficient emission at room temperature. In this report, GaN films were grown on c-plane sapphire by plasma-assisted molecular beam epitaxy (PAMBE) system. The effect of N/Ga ratio on structural, morphological and optical properties was studied. The dislocation density as estimated from x-ray rocking curve of (0002) and (10-12) GaN reflections was found to increase with increasing N/Ga ratio. The surface morphology of the films as seen by scanning electron microscopy shows pits on the surface and found that the pit density on the surface increases with N/Ga ratio. The room temperature photoluminescence study reveals the shift in band-edge emission towards the lower energy with increase in N/Ga ratio. This is believed to arise from the reduction in compressive stress in the films as is evidenced by room temperature Raman study. The transport studied on the Pt/GaN Schottky diodes showed a significant increase in leakage current with an increase in N/Ga ratio and was found to be caused by the increase in pit density as well as increase in dislocation density in the GaN films.
9:00 PM - O7.25
Lithiated Silicene: A New Material with Promising Hydrogen Storage Potential.
Tim Osborn 1 , Amir Farajian 1
1 , Wright State University, Dayton, Ohio, United States
Show AbstractWe explore the energetics, temperature dependent dynamics, and electronic structure transformations associated with lithium chemisorption on silicene, the silicon equivalent of graphene, and hydrogen physisorption on lithiated silicene. The study is based on density functional theory. Molecular dynamics simulations reveal the stability of the structures and temperatures at which hydrogen will release. Our results show the stability of lithiated silicene sheets and demonstrate their potential as a promising hydrogen storage material. Considering the recent experimental evidence of silicene stability, this study provides a possible manipulation method towards its practical applications.This study is supported by the National Science Foundation Grant ECCS-0925939.
9:00 PM - O7.26
Novel Synthesis of a Thin-Film Solar Semiconductor Replacement - Copper Bismuth Sulfide.
Brian Viezbicke 1 , Dunbar Birnie 1
1 Materials Science & Engineering , Rutgers University, Piscataway, New Jersey, United States
Show AbstractThough silicon-based photovoltaics continue to dominate the global market, thin-film technology is steadily gaining market share. Production ready thin-film solar cell technology relies on rare-earth metals already under increasing demand from the digital display and battery sectors. In light of this and rare-earth metal toxicity concerns for device lifecycle and contemporary restrictions on rare-earth exports, a replacement absorber semiconductor with suitable bandgap for thin-film photovoltaics is needed. To-date, cadmium-telluride and CIGS cells have succeeded in targeting the ideal bandgap (approximately 1.4eV). Cu3BiS3 is now under investigation as a potential p-type semiconductor replacement. The present work is a three faceted investigation of copper bismuth sulfide in the stoichiometric ratio 3:1:3 as it naturally occurs by the name Wittichenite, as it has been manufactured into a thin film by means of CVD processing and as it has been synthesized in the form of nanoparticles via a novel solvo-thermal route. The natural mineral and CVD processed thin film are investigated by spectroscopic ellipsometry for the characterization of the bandgap. The stoichiometry of the natural mineral is also confirmed by XRD patterning. The novel solvo-thermal synthesis route relies on complexing metal ions (Cu2+, Bi3+) from dissolved salts in a mixture of PEG and water, with subsequent solvo-thermal reaction under heat and pressure via acid digestion bomb heating, as well as a benchtop reflux synthesis excluding pressure. Novel to the process is the use of nitrate salts and the reliance of the complexing agent (L-cystine) for the donation of the sulfur to this particular ternary compound. The nanoparticle product is characterized through UV/VIS/NIR and FTIR spectroscopy to confirm the targeted bandgap, through XRD patterning to confirm the stoichiometry and imaged in TEM and SEM for crystal and particle morphology.The limited body of knowledge on Cu3BiS3 semiconductor applications is advanced by the further characterization of existing samples and the continued pursuit of novel, controllable nano-particle synthesis for the incorporation into the thin-film photovoltaic toolbox.
9:00 PM - O7.27
Spectroscopic Ellipsometry and Optical Hall-Effect Studies of Free-Charge Carriers in P-Type InN:Mg.
Stefan Schoeche 1 , Tino Hofmann 1 , Nebiha Ben Sedrine 2 , Vanya Darakchieva 2 , Bo Monemar 2 , Xingqiang Wang 4 , Akihiko Yoshikawa 3 , Mathias Schubert 1
1 Department of Electrical Engineering, University of Nebraska-Lincoln, Lincoln, Nebraska, United States, 2 Department of Physics and Measurement Technology, Linkoeping University, Linkoeping Sweden, 4 State Key Laboratory of Artificial Microstructure and Mesoscopic Physics, School of Physics, Peking University, Beijing China, 3 Graduate School of Electrical and Electronic Engineering , Chiba University, Chiba Japan
Show AbstractPreparation and control of p-type conduction is still a challenge towards fabrication of InN-based photonic devices. Several authors have demonstrated that p-type conductivity in InN can be achieved by introduction of Mg as acceptor impurity. Anyway, it is known that p-type regions in InN are embedded as buried channels in between high-density electron layers that form on the surface and at the interface between InN and substrate (or buffer layer) due to intrinsic defects and elements as oxygen or hydrogen that act as shallow donors. This behavior affects the confirmation of conduction type and impedes the characterization of the p-type region by conventional electrical methods like electrical Hall-effect or hot probe measurements. This difficulty is not only attributed to the high sheet charge density on the surface but also to the large difference between electron (1000-2500 cm2/Vs) and hole mobility (20-75 cm2/Vs). Electrolyte capacitance-voltage measurement (ECV) is commonly applied for the determination of hole concentrations while mobility values are estimated by fitting sheet conductivities from Hall-measurements of samples with different thicknesses.We have studied a sample set of nine Mg-doped In-polar InN samples of about 400 nm thickness grown on c-GaN-buffer/sapphire by molecular beam epitaxy. In this set, the Mg-concentration was systematically increased from 1.2 E16 cm-3 to 3.9 E21 cm-3 in order to achieve and control p-type doping. It was demonstrated by Yoshikawa et al. (PSSA 207, No. 5, 1011-1023 (2010)) by applying ECV that p-type conductivity was achieved for a Mg-concentration range between 1.1 E 18 cm-3 and 2.9 E 19 cm-3.We applied spectroscopic ellipsometry (SE) in the spectral range THz, FIR, MIR and NIR-VIS-VUV for characterization of electronic, vibrational and optical properties. By using a unique optical Hall-Effect setup (THz-FIR SE in magnetic field) the free charge carrier induced birefringence is investigated to characterize surface electron accumulation, interface electron accumulation and volume free charge carriers. Evidences for volume p-type conductivity in the MIR-SE data are presented and the accessibility of hole properties (concentration, mobility) and limitations of accessibility by application of optical methods is demonstrated and compared to the electrical studies and FTIR studies at normal incidence for the same sample set available in literature (PSSA 207, No. 5, 1011-1023 (2010) and references therein).
9:00 PM - O7.28
Influence of Vapor Pressure on the Crystallinity, Composition and Electronic Properties of Sol-Gel Deposited Copper Zinc Tin Sulfide (CZTS) Thin Films.
Vishnuvardhanan Vijayakumar 1 , Dunbar Birnie 1
1 Materials Science and Engineering, Rutgers University, Piscataway, New Jersey, United States
Show AbstractCopper Zinc Tin Sulfide, Cu2ZnSnS4 (CZTS) is being researched extensively as a light absorbing p-type semiconducting material for thin film solar cells because of its high absorption coefficient, direct band gap, and availability of constituent materials in abundance. However, it has been challenging to produce stoichiometric CZTS thin films because of the high volatility of tin sulfide, sulfur and zinc, leading to deficiency and non homogeneity of thin films. In order to control the volatility, solution deposited thin films were annealed in an environment of tin sulfide and sulfur vapors. The as-annealed thin films’ composition, structure, morphology, and electronic properties were characterized. It was determined that the films annealed in tin and sulfur environment had high crystallinity, homogeneity, and better opto-electronic properties.
9:00 PM - O7.29
Nuclear Spin Polarization by out-of-Plane Spin Injection from Ferromagnet into an InAs Heterostructure.
Tomotsugu Ishikura 1 , Kanji Yoh 1
1 RCIQE, Hokkaido univ., Sapporo Japan
Show AbstractControl of nuclear spin in semiconductors have become realistic by various methods such as Quantum Hall state edge current or creation of spin polarized electrons in quantum dots by irradiating circularly polarized light. However, there have been little report on the nuclear spin manipulation by spin injection at room temperature without extreme conditions such as Quantum Hall state in liquid Helium temperature. We have tried to inject spin polarized electrons in out-of-plane direction so that the nuclear spin is aligned to perpendicular direction to cause Hall voltage. The spin-polarized electrons are injected to InAs channel from the edge of the heterostructure. Hall bar was fabricated. This source electrode is used ferromagnetic (FM) metal (Fe), while drain electrode is normal metal. This structure realizes whether Hall voltage is appeared with spin injection or not in zero magnetic fields. We have successfully observed Hall voltage without external magnetic field, when electrons are injected from FM electrode. The estimated local built-in magnetic field was 7000Gauss. This preliminary result is presumably caused by electrical manipulation of nuclear spins of In atoms in the channel. Ferromagnetic electrode is designed in such a way to be polarized perpendicular to the substrate at the side of the heterojunction. When electrons are injected from normal metal, electrons have random polarization. When electrons are injected from FM, they are spin-polarized near the FM/SC junction so that built-in magnetic field is created in out-of-plane direction.
9:00 PM - O7.3
Investigation of III-V Layers Grown on the Ge-on-Si Substrate for the Solar Cell Operation.
Changjae Yang 1 2 , Keun Wook Shin 1 , Sangsoo Lee 1 , Se Woung Oh 1 , Chang-zoo Kim 2 , Won-Kyu Park 2 , Euijoon Yoon 1 3 4
1 Materials Science and Engineering, Seoul National University, Seuol Korea (the Republic of), 2 Technology Development, Korea Advanced Nano Fab Center, Suwon, Gyeonggi, Korea (the Republic of), 3 WCU Hybid Materials Program, Materials Science and Engineering, Seoul National University, Seoul Korea (the Republic of), 4 Nano Science and Technology, Graduate School of Convergence Science and Technology, Seoul National University, Suwon, Gyeonggi, Korea (the Republic of)
Show AbstractWe investigated the integration of III-V semiconductor solar cell on Ge-on-Si (GOS) substrates. The replacement of Ge substrates with GOS substrates has a number of potential advantages in terms of weight, mechanical strength, and thermal properties. In addition, significant cost saving is possible due to the availability of large-area Si substrates. In order to take advantage of both Ge and Si materials, fully relaxed Ge layer was grown on 6” Si substrates by a two-step method in an ultrahigh vacuum chemical vapor deposition (UHV-CVD) chamber. The GaInP and GaAs were grown subsequently on the GOS substrate by metal organic chemical vapor deposition (MOCVD) for the formation of p-n junction in the Ge layer and GaAs solar cell, respectively. The formation of anti-phase boundaries (APBs) in the GaInP and GaAs was suppressed by using 4o off-cut Si substrates. It was found that the low density APBs helped to enhance the photoluminescence emission in the case of GOS substrate with 4o off-cut Si substrate. Similar to spontaneously ordered GaInP on Ge or GaAs substrate, two peaks were observed around 1.74 and 1.85 eV at 19 K; however, no satellite peaks were observed in selected area diffraction pattern, implying that there was no ordering in GaInP and GaAs grown on the GOS substrate. Based on the temperature-dependent PL analysis, the peak at 1.74 eV was attributed to the donor-acceptor transition due to the amphoteric characteristic of Si and/or Ge from dopant and/or substrate. In addition, the anomalous temperature dependence of the 1.85 eV peak was attributed to the interaction of donor level with the conduction band of GaInP. Finally, the GaAs/Ge double junction solar cell structure was grown and fabricated on the GOS substrate. In spite of double junction structure, the contribution of Ge solar cell was negligible due to the high doping in the base region of Ge layer. The open-circuit voltage and short-circuit current density of the solar cell on the GOS substrate were 0.8 V and 23.67 mA/cm2, respectively. The conversion efficiency of the solar cell was recorded 10.7% under AM 1.5 G condition. Optimization of base region doping in Ge layer is currently under way and detailed performance of the double junction solar cells on GOS substrates will be reported.
9:00 PM - O7.30
Epitaxial Growth and Properties of AlxGal-xN Films Depositied by HVPE.
Ji Sun Lee 1 3 , Dongjin Byun 1 , Hae-Kon Oh 2 , Young Jun Choi 2 , Hae-Yong Lee 2 , Jin-Ho Kim 3 , Tae-Young Lim 3 , Jonghee Hwang 3
1 Department of Materials Science and Engineering, Korea University, Seoul Korea (the Republic of), 3 Optic & Electronic Ceramics Division, Korea Institute of Ceramic Engineering & Technology, Seoul Korea (the Republic of), 2 , LumiGNtech Co., Seoul Korea (the Republic of)
Show Abstract AlGaN has a lot of attraction because of the inevitable materials in many optoelectronic devices and high-power, high-temperature and microwave electronic devices such as blue and ultraviolet light emitting and laser diodes, solar-blind ultraviolet photo-detectors, HEMTs, MOS-HEMTs and others. One of the suitable method to growth thick AlxGa1-xN alloys is the hydride vapor phase epitaxy (HVPE). As is widely known, freestanding GaN wafers can be prepared by HVPE. AlGaN is a ternary alloy crystal composed of AlN and GaN. Therefore, considering form the view point of compositional uniformity, HVPE is thought to be the best suited growth method for AlGaN ternary alloy, because it is difficult to grow a bulk crystal in uniform composition by sublimation or solution method. Recently, quartz attack problem also solved by usingAlCl3 instead of AlCl as a source of Al. In this study, AlxGa1-xN layers on sapphire without any buffer layer were grown by HVPE at range of temperature from 1050 to 1130°C. The range of Al mole fractions AlxGa1-xN layers were from 0.1 to 0.8. The epitaxial layers were characterized by X-ray diffraction (XRD)(X’pert MRD, Panalytical, Netherland), UV-Vis spectrometer(V-570, Jasco, Japan). The Al mole fractions and crystalline quality of AlxGa1-xN epitaxy layers were calculated from each optical absorbance spectra and X-ray rocking curves. The Al mole fractions of compositions were increased and FWHM of AlxGa1-xN layers was decreased as the increase of temperature up to 1130°C. The crystalline quality of AlxGa1-xN epitaxy layers was improving from 2000 to 900 arcsec.
9:00 PM - O7.31
Thermal Design Considerations For AlxGa1-xN Based Ultraviolet Light Emitting Diodes.
Samuel Graham 1 , Bobby Watkins 1 , Vinod Adivarahan 2 , Asif Khan 3 , Samuel Graham 1
1 George W. Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States, 2 , Nitek, Inc., Irmo, South Carolina, United States, 3 Department of Electrical Engineering, University of South Carolina, Columbia, South Carolina, United States
Show AbstractHigh power AlxGa1-xN based ultraviolet light emitting diodes (UV LEDs) emit light in the wavelength range of 240 to 280 nm and are used in germicidal applications. Thermal management of UV LEDs is essential for optimum device performance and reliability. Different approaches to thermal management, such as choice of submount material, header geometry, solder bumps or pads, and design of n-electrode and p-mesa geometry, may be complicated by the multi-layered structure of the device and the nature of the current pathways between the electrodes of the device. The effect of different thermal management techniques on device temperatures and device emission peak wavelength is presented. The flip-chip UV LED devices used in this study consisted of sapphire growth substrates with AlN buffers and AlxGa1-xN multiple quantum well regions with a high Al mole fraction (x ≥ 0.6). Device temperatures in the growth substrate region and in the n-AlxGa1-xN are displayed for devices having submounts of different thermal conductivities and different header geometries. The emission spectra of the aforementioned devices are characterized. Device temperatures are studied for devices having either solder bumps or pads between the device electrodes and the submount. The study includes experimental results as well as thermal modeling results. Thermal characterization measurements were conducted using µRaman spectroscopy, performed with a 488 nm laser excitation in a 180° backscattering geometry, with a Renishaw InVia Raman microscope. All Raman temperature measurements on operational devices were performed using the peak shift and peak linewidth of the Stokes peaks of the E2(high) modes of the AlN and n-AlxGa1-xN regions and the phonon modes of sapphire. Thus, the temperature rise with varying input powers, of the sapphire, AlN and n-AlxGa1-xN regions were obtained. Temperature measurements were also performed using Infra-Red (IR) spectroscopy, with a Quantum Focus Instruments IR microscope equipped with an InSb detector. IR images of the device were captured during device operation and temperature maps of the device at different levels of input powers were produced. The presence of hotspots (i.e.: localized regions of high temperature) in the devices were also examined. The emission spectra of the devices were characterized using an Ocean Optics Jaz Spectrometer Module capable of detecting wavelengths between 200-1000 nm. The peak emission wavelength was inferred, and the presence of any secondary or tertiary emission peaks was identified. Thermal modeling was conducted in the thermal module of the COMSOL finite element analysis software, which was utilized to capture the heat flow and accompanying temperature distribution throughout the device. The analysis incorporated multiple geometrical and material selection based variations of the UV LED structure in order to determine their respective influences on the thermal performance of the device.
9:00 PM - O7.32
Assessment of Transparent Conducting Zinc Oxide as a Tunneling Contact to p-GaN.
Srinitya Musunuru 1 , Vamsi Kumbham 1 , Lee Rodak 1 , Kyoungnae Lee 1 , Lawrence Hornak 1 , Dimitris Korakakis 1
1 Lane Department of Computer Science and Electrical Engineering, West Virginia University, Morgantown, West Virginia, United States
Show AbstractGallium Nitride-based semiconductor devices have had significant impact in Light Emitting Diode (Optoelectronic devices) applications. Achieving less resistive transparent ohmic contacts to p-GaN continues to be a challenge for fabrication of LED’s given the large work function of p-GaN (due to its large bandgap of 3.4eV and electron affinity of 4.1eV) that varies depending on the amount of doping. In this paper an approach for using transparent conducting oxide as a tunneling contact to p-GaN and the physics behind its operation is discussed.It is well known that annealed Ni/Au contacts form ohmic contacts with p-GaN[1], but have several disadvantages due to the current crowding at the edges of the contact[2] and further reduction of transparency associated extraction efficiency. In this paper, the use of a degenerately doped n-Zinc Oxide as a transparent conducting layer in an ohmic contact structure to p-GaN is discussed.The expectation is for n-ZnO to form a schottky contact with p-GaN. In this paper an approach to obtain a tunneling contact between n-ZnO and p-GaN is described with the use of an intermediate Ni-metal layer. Modeling with the Sentaurus finite element TCAD tool from Synopsys, has been undertaken to study these interfaces. Modeling results indicate that the contact between Ni/ZnO and p-GaN is a tunneling contact and this result has been verified experimentally. It has been observed from the modeling results that, there is a two fold increase in terms of current spreading due to the use of n-ZnO conducting layer in the place of a normal Ni/Au contact. There is a compromise between the thickness of the metal and the extraction efficiency given the effect of the thicker metal layer on the transparency of conducting layer. Modeling and experimental results will be presented that indicate a thickness range for the metal that yields a tunnel junction and ohmic contact to p-GaN while realizing improved light extraction efficiency of the LED.REFERENCES:[1] June O Song, Jun-Seok Ha, and Tae-Yeon Seong, IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 57, No. 1(2010).[2] K. A. Bulashevich, I. Yu. Evstratov, V. F. Mymrin, and S. Yu. Karpov, phys. stat. solidi (c) 4, No. 1, 45-48(2007).
9:00 PM - O7.33
Investigating GaSb(001) Dry Etching by ICP-RIE on a Non-Silicon Containing Sample Holder.
Hamad Albrithen 1 , Gale Petrich 2 , Leslie Kolodziejski 3 , Abdelmajid Salhi 4 , Abdulrahman Almuhanna 4
1 Physics and Astronomy, KAIN, King Saud University, Riyadh, Riyadh, Saudi Arabia, 2 Research Laboratory of Electronics, MIT, Cambridge, Massachusetts, United States, 3 Department of Electrical Engineering and Computer Science, MIT, Cambridge, Massachusetts, United States, 4 National Center for Nano Technology Research , King Abdulaziz City for Science and Technology, Riyadh, Riyadh, Saudi Arabia
Show AbstractGaSb(001) has been dry-etched by an inductively coupled plasma reactive ion etcher. Silicon Oxide, deposited by PECVD, was used as a mask. The oxide layer proved to be almost unaffected compared to the GaSb, when using chlorine compound gases as etchants (Cl2, BCl3, and SiCl4). This provides high selectivity for GaSb to the mask layer. The sample holder has no Silicon that may contribute to the etching process. Etching using Cl2 + Ar showed increase in the etching rate; however, the process led to grassy surface and chemical like reaction. Similar result has been observed when using SiCl4+BCl3 chemistry; however, it was different from the reported result by Swaminathan et al.[Swaminathan et al., Thin Solid Films 516 (2008) 8712-8716.] who used silicon wafer as a sample holder. The use of SiCl4+Cl2+Ar mixture with low chlorine ratio resulted in an isotropic etch with smooth sides. It has been found for this case that the increase of the chlorine ratio led to an increased etching rate. More results about the lag effect due to trench width will be presented.
9:00 PM - O7.34
Amorphous Silicon Bragg Reflectors Fabricated by Oblique Angle Deposition.
Sung Jun Jang 1 , Chan Il Yeo 1 , Yong Tak Lee 1 2 3
1 School of Information & Mechatronics, Gwanju Institute of Science and Technology, Gwangju Korea (the Republic of), 2 Graduate Program of Photonic and Applied Physics, Gwangju Institute of Science & Technology, Gwangju Korea (the Republic of), 3 Department of Nanobio Materials & Electronics, Gwangju Institute of Science & Technology, Gwangju Korea (the Republic of)
Show AbstractBroadband high reflective distributed Bragg reflectors (DBRs) are essential for various applications, including telecommunications, solar cells, optical sensors, and photodetectors. The surface reflection and stop bandwidth of high reflective spectral width directly depend on the refractive index contrast between high-and low-index material, i.e., the higher the refractive index contrast contribute the higher the reflectivity with the wider stop bandwidth. Up to now, various material systems or novel techniques have been used to realize broadband high reflective mirror, however they still have their own limitations which are substrate dependency, expensive equipment, complicate and long process, low index contrast and poor thermal properties [1-4]. In this work, we report an amorphous silicon (a-Si) Bragg reflector fabricated by oblique angle deposition. The DBR structure was made of same material for the high-and low-index layers. Because the oblique angle deposition is the one of the superior method to engineer the refractive index of materials by controlling a porosity of it, the index contrast of the a-Si Bragg reflector fabricated by oblique angle deposition was about 1.5-2.0 and this index is comparable with any other material systems. The oblique angle deposition by e-beam evaporation was used to realize a low-index a-Si film. The refractive index of oblique angle deposited films was decreased as the oblique angle was increased. However, a tilted angle over 70 degree makes too porous to deposit next layer on the low-index layer. Because the atoms of next layer may fill the porous surface of the low-index layer, it may affect to the refractive index of low-index layer. In this work, we deposited a-Si films alternatively with tilted angle of 70 degree and at normal direction.The DBRs were designed and fabricated on Si substrate with center wavelength of 650 nm, 980 nm and 1550 nm for various applications. We successfully demonstrated the superior properties of the fabricated DBRs and they were in reasonable agreement with theoretical results. Only a few pairs are enough to obtain reflectance close to 100% at every center wavelength. The broad stop band (Δλ/λ, R>99%) of a-Si DBRs was achieved by experimentally and theoretically.
9:00 PM - O7.35
Manipulating 3C-SiC Nanowire Morphology through Gas Flow Dynamics.
Kasif Teker 1 , Joseph Oxenham 1
1 Physics and Engineering, Frostburg State University, Frostburg, Maryland, United States
Show AbstractCreation of nanoscale building blocks with various sizes and shapes are critical for the progress of nanotechnology. Silicon carbide nanostructures attract interest due to their applications in optoelectronic devices, sensors, high-power/high temperature electronics, and thermoelectrics.This paper presents a detailed study of SiC nanowire morphology change through gas flow dynamics. SiC nanowire synthesis has been carried out by chemical vapor deposition using hexamethyldisilane (HMDS) as source material on SiO2/Si substrate. The study has been limited to several catalyst materials, including nickel (nanoparticle and thin film), cobalt nanoparticles, and gold thin film. The growth runs have been carried out at 1000oC under H2 as carrier gas with flow rates varying from 100 to 1000 sccm. A significant change in morphology has been observed. At high flow rates, the nanowires are highly curved and contain sharp kinks, while the nanowires are straight and longer at lower flow rates. The effect of morphological changes on structural defects is also presented. Moreover, it is important to note that the flow rate has influenced the nanowire growth-yield significantly. As small as 8nm-diameter SiC nanowire has been observed, as determined by transmission electron microscopy (TEM). These findings will help in controlling the morphology of the SiC nanowires. The fabricated nanowires have also been characterized by scanning electron microscopy (SEM), atomic force microscopy (AFM), x-ray diffraction (XRD), Fourier transform infrared spectroscopy (FTIR), and semiconductor parameter analyzer.
9:00 PM - O7.36
Photoluminescence Study of Plasma-Induced Etching Damages in GaN.
Yoshitaka Nakano 1 , Retsuo Kawakami 2 , Masahito Niibe 3 , Atsushi Takeichi 2 , Takeshi Inaoka 3 , Kikuo Tominaga 3
1 , Chubu University, Kasugai, Aichi, Japan, 2 , University of Tokushima, Tokushima Japan, 3 , University of Hyogo, Hyogo Japan
Show AbstractGaN has been progressively used in novel optoelectronic and electronic devices. In the device fabrication, however, plasma-induced etching damages in GaN surface are a critical issue, because they are closely related to the degradation of the device performance. In this study, we have investigated etching damages introduced into GaN surface by Ar and Kr plasmas, employing a photoluminescence (PL) technique, and have clarified the differences in the damage characteristics between them. Si-doped 4µm-thick GaN films with n-type carrier concentrations of 5x1017cm-3 were epitaxially grown on c-plane sapphire substrates at 1130°C by MOCVD. These GaN samples were exposed to radio-frequency Ar and Kr plasmas for 30 and 200min, respectively, using a capacitively-coupled plasma reactor with gas pressures of 10 and 50mTorr. Yellow luminescence (YL) intensities of Ar and Kr plasmas-etched GaN decreased and increased, respectively, compared to that of the as-grown GaN. Additionally, Ar plasma-etched GaN showed a small peak in the shoulder region of the band-edge PL peak, which is probably associated with N vacancies introduced by the Ar plasma. Bearing in mind that YL is related to Ga vacancies, these experimental results support that N is preferentially etched for the Ar plasma, whereas the preferential etching of Ga occurs for the Kr plasma, being in reasonable agreement with our previous theoretical calculations and XPS analyses [1]. Furthermore, a significant decrease in YL intensity was seen for both plasmas at 50mTorr where specific UV light (300-380nm) emitted, suggesting that the plasma etching was much enhanced by a combing effect of high impact ion flux and UV-light irradiation for both plasmas-etched GaN. However, from variable temperature PL measurements, the Ar plasma-etched GaN showed a significant decrease in PL intensities of DA-LO phonon replicas, whereas the Kr-etched GaN had outstanding DA-LO phonon replicas as high as the as-grown GaN. That is, it is considered that the etching damages tend to be accumulated in the GaN surface for the Ar plasma, whereas the GaN surface etched by the Kr plasma becomes as smooth as that of the as-grown GaN via N2 desorption from the etched GaN surface.[1] R.Kawakami, Jpn. J. Appl. Phys. 48, 08HF01 (2009).
9:00 PM - O7.37
Steady-State Photo-Capacitance Spectroscopy Investigation of Band-Gap States in AlGaN/GaN Hetero-Structures with Different Growth Conditions.
Yoshitaka Nakano 1 , Yoshihiro Irokawa 2 , Yasunobu Sumida 3 , Shuichi Yagi 3 , Hiroji Kawai 3
1 , Chubu University, Kasugai, Aichi, Japan, 2 , National Institute for Materials Science, Tsukuba Japan, 3 , POWDEC, Oyama Japan
Show AbstractAlGaN/GaN-based HEMTs encounter undesirable current collapse issues, where actual device performances at high frequencies can be limited by the presence of deep-level defects in the AlGaN/GaN hetero-structures. Thus, it is needed to perform basic investigation of deep-level defects in AlGaN/GaN hetero-structures. In our previous study, we had investigated a correlation between deep-level defects and current collapses in two AlGaN/GaN hetero-structures with different current collapses, where the GaN and AlGaN layers were sequentially grown at the same temperatures of 1150 and 1100°C [1]. In this study, we have investigated deep-level defects in AlGaN/GaN hetero-structures with different growth conditions of GaN buffer layers from a viewpoint of C impurity incorporation into GaN, using capacitance-voltage (C-V) and steady-state photo-capacitance spectroscopy (SSPC) techniques. Al0.24GaN/GaN hetero-structures were grown on sapphire substrates at different GaN growth temperatures between 1120 and 1170°C, using MOCVD. Also, Al0.24GaN/GaN hetero-structures were grown under different III/V ratios for GaN growth. Here, AlGaN growth conditions were fixed. GaN and AlGaN layers were 3μm and 20nm thick, respectively. Then, Ni-planar Schottky barrier diodes were fabricated on these AlGaN/GaN hetero-structure samples. From C-V measurements, 2DEG concentrations on white-light illumination (λ>380nm) increased with decreasing the GaN growth temperature. The increased 2DEG are considered to be optically excited from deep-level defects to the 2DEG near the AlGaN/GaN hetero-interfaces. SSPC measurements revealed an increase in concentrations of three specific deep levels located at ~2.07, ~2.80, and ~3.23eV below the conduction band with decreasing the GaN growth temperature, being in reasonable agreement with the C-V data. These deep levels are closely associated with the C impurity incorporation into GaN. As for the III/V ratios for GaN growth, the same results were obtained. With increasing C incorporation into GaN, deep-level concentrations of VGa (~2.07eV), CN acceptors (~3.23eV), and VGa-CN complexes (~2.80eV) are found to be significantly increased. Therefore, the deep levels are likely to be associated with the current collapse issues.[1] Y.Nakano et al., Phys. Status Solidi RRL 4, 374 (2010).
9:00 PM - O7.38
Epitaxial Growth and Characterization of Cubic GaN on BP/Si(100) Substrates.
Suzuka Nishimura 1 2 , Muneyuki Hirai 1 , Kazutaka Terashima 2
1 , Solartes Lab., Fujisawa Japan, 2 , Shonan Institute of Technology, Fujisawa Japan
Show AbstractCubic GaN (c-GaN), which is a semi stable structure, has a small piezoelectric constant compared with wrutzite GaN. It means c-GaN has a small fluctuation of oscillation wavelength due to internal stress. Nowadays, the substrates used for c-GaN growth are mainly GaAs and 3C-SiC wafers. However, these have a large lattice mismatch, about 20% and 3.3% respectively. We tried to grow c-GaN on Si(100) substrate using BP as a buffer. BP and c-GaN have the same crystal structure and the lattice mismatch is about 0.7%. The growth of GaN was carried out by MOCVD on BP/Si(100) substrate of 2 inches in diameter. Trimethylgallium (TMGa) and Monomethyhyldrazine (MMHy) were used for the gas sources of Gallium and Nitrogen, respectively in a Hydrogen gas carrier. BP/Si substrates were heated at 1100C before growing GaN. Then the GaN buffer layer was grew at low temperature around 550C. After that the GaN layer was grown for 1 hour. For a comparison, the substrate temperature was ramped up to a growth temperature from 600 to 900C.For the evaluations of GaN epitaxial wafers, we used a Scanning Electron Microscope (SEM) to observe the surface morphology and thickness of grown GaN layer, a Transmission Electron Microscope (TEM) to observe the crystal defect, X-ray Diffraction (XRD) to evaluate the crystal quality, and Photoluminescence (PL) to measure emission wavelength. By the XRD measurement, it was recognized that when the growth temperature is around 750C, c-GaN was dominant. The typical growth rate was about 0.5μm/h. We obtained a c-GaN layer over 1.5μm thick without any troubles such as bending or cracking. Preliminary we grew LED structure with ~420nm wavelength. The details will be reported at the meeting.
9:00 PM - O7.39
Heteroepitaxial Growth of GaP on Exact Si (100) Substrates by Metalorganic Chemical Vapor Deposition.
Sangmoon Lee 1 3 , Youngjin Cho 1 , Seongho Jeon 2 , Jae-Gwang Shin 1 , Youngsoo Park 1 , Euijoon Yoon 3 , U-In Chung 1
1 Semiconductor Lab., Samsung Advanced Institute of Technology (SAIT), Yongin Korea (the Republic of), 3 Department of Materials Science and Engineering, Seoul National University, Seoul Korea (the Republic of), 2 Energy Lab., Samsung Advanced Institute of Technology (SAIT), Yongin Korea (the Republic of)
Show AbstractHigh-quality epitaxial growth of III-V materials on silicon substrates has been of great interest for potential applications in high-speed, low–power logic applications as well as optoelectronic/photovoltaic devices. However, several factors including lattice mismatch, thermal expansion mismatch, polar on nonpolar growth and substrate preparation generate undesirable defects at the interface between III-V and Si and lead to the surface roughening of top epitaxial layers, thus, causing hardship for the integration of III-V materials directly on Si substrates. In this work, in order to overcome above-mentioned issues, we focused on the initial growth process of GaP on exact Si (100) substrates by metalorganic chemical vapor deposition (MOCVD). The influences of growth parameters such as the growth temperature, V/III ratio and the growth rate on the surface roughness of GaP were studied and the effects of thermal treatment of Si substrates on the initial GaP nucleation were considered. We investigated two different MOCVD growth schemes for GaP layer: flow-rate modulated epitaxy (FME) where the Ga and P precursors were injected into the reactor intermittently, and continuous epitaxy where both precursors were introduced at the same time [1]. GaP epilayers were grown in a horizontal MOCVD reactor with trimethylgallium (TMGa) and PH3 as precursors in a two step growth process. The GaP FME nucleation process was performed at 400 oC with 3 nm thickness and the 100 nm thick GaP buffer layer was grown at 700 oC. The layers were characterized using scanning electron microscopy (SEM), atomic force microscopy (AFM), X-ray diffraction (XRD) and transmission electron microscopy (TEM). This growth process provided the smooth surfaces of GaP layers with rms roughness of 2 nm and 142 arcsec in XRD full width at half maximum (FWHM) at 100 nm film thickness. Reference [1] K Volz. et al. J. Cryst. Growth 315, 37-47 (2011).
9:00 PM - O7.4
Selective MOCVD-Growth and Properties of Highly Dense Gallium Nitride Nanodots Employing Diblock Copolymer Lithography.
Bin Liu 1 , Ye-cao Li 1 , Rong Zhang 1 , Shu-lin Gu 1 , Thomas Kuech 2
1 School of Electronic Science and Engineering, Nanjing University, Nanjing , Jiangsu, China, 2 Department of Chemical and Biological Engineering, University of Wisconsin, Madison, Wisconsin, United States
Show AbstractHighly dense and uniform Gallium nitride(GaN) nanodots were selectively grown on nanopatterning GaN templates by metal-organic chemical vapor deposition. A 10nm SiNx thin film was deposited on GaN template and then patterned using diblock copolymer lithography. The density of nano-sized holes can achieve up to 9.4×E10cm-2. With different MOCVD growth time, the evolution of GaN filling into these holes is found. After 120-seconds growth at 1010°C, greater more 98 percent holes are filled with GaN nano-dots. A monomodal size distribution is obtained with 99% of the QDs below 34 nm in diameter. The measured nanodot number density is ∼(6.2–8.4) × E10 cm−2 with a large fraction of the nanodots being ∼28 nm in diameter, 7 nm in height. Furthermore, the shape of GaN nanodots could be controlled by the growth temperature. Using comparative low growth temperature, the vertical growth rate of GaN nanodots is enhanced. And nanodots with higher height, smaller average diameter are obtained. these GaN nanodots were investigated by Raman spectrum and high resolution x-ray diffraction. The optical and structural properties of GaN nanodots on the surface are discussed.
9:00 PM - O7.40
Low Temperature Growth of GaN on Pseudo (111)Al Substrates by RF-MBE.
Masato Hayashi 1 , Taiga Goto 1 , Tomohiro Yamaguchi 1 , Tatsuhiro Igaki 1 , Tohru Honda 1
1 , Kogakuin Univ., Hachiohji, Tokyo, Japan
Show Abstract Metal substrates is very attractive for the cost-effective fabrication of integrated GaN-based light-emitting devices. In the case, GaN growth on metal substrates is one of the solutions. We focused (111)Al substartes because the AlN layer was easily formed by the nitridation. However, preparation of large-size (111)Al bulk crystals with clean and smooth surfaces is difficult. Thus, epitaxial growth of (111)Al on (0001)sapphire was also investigated for the application to pseudo Al substrates for the III-V growth. At the growth temperatures around 200 °C, the Epitaxial growth was achieved. XRD patterns indicated that the (111)Al layers were strained. Thus, we called the (111)Al/(0001)sapphire substrates as pseudo Al substrates. Here, RHEED patterns showed that the azimuth of the grown layers were [1-10]Al//[1-100]sapphire. The results indicate that the epitaxial azimuth relationship between (0001)GaN (or AlN) and (0001)sapphire is dominated by the aluminum absorption on the sapphire. The surface nitridation for the buffer layer of a GaN growth [1] was also investigated. At the temperature of 350 °C, streaky AlN RHEED patterns were observed. XRD patterns indicated that (111)Al layers were still remained after the nitridation. GaN layers were also grown on the pseudo substrates below the melting point of aluminum. The (0002)GaN, (0002)AlN and (111)Al diffraction peaks were observed in the XRD patterns. At present, the formation of stacking mismatch boundaries in the GaN layers. The near-band-edge emission was observed in the photoluminescence spectra at RT. Those results clarified that the pseudo Al substrates grown by MBE have a potential for the application to the light-emitting devices based on III-V nitride materials.
The crystal structure of the Al crystal is face-centered cubic (fcc). Its rotational symmetry is different from those of the AlN and GaN. Thus, the detailed epitaxial relationship was investigated. The phi scans of {11-3}Al and {11-23}sapphire were observed. In the case of fcc crystals, 3-fold rotatianal (triad) symmetry will be observed. However, the symmetry of the pseudo Al substrates were 6-fold (hexad) symmetry, which is the same as the cases of hexagonal AlN and GaN. It is due to the Al layer includes twin. However, in the time of the nitridation, the formed AlN layer looked like a single crysalline stucture. The 30° rotational epitaxial relationship between an AlN layer and the sapphire was also observed. The relationship in the time of MBE growth has already been reported [2]. In the reference, the the relationship was due to the partial oxygen-nitrigen subtitution. In the experiment, 30° rotational relationship between Al and sapphire was observed. The results indicate the Al adatom on the sapphire substrate is also determine the rotational relationship.
[1] I. Akasaki and H. Amanno, Jpn. J. Appl. Phys. 36, 5393 (1997).[2] N. Grandjean
et. al., J. Cryst. Growth 178, 220 (1997).
9:00 PM - O7.41
InN/GaN Heterostructure Growth by Migration Enhanced Epitaxial Afterglow (MEAglow).
Peter Binsted 1 , Kenneth Scott Butcher 1 2 , Dimiter Alexandrov 1 2 , Penka Terziyska 1 , Dimka Georgieva 1
1 Electrical Engineering, Lakehead University, Thunder Bay, Ontario, Canada, 2 , Meaglow Ltd., Thunder Bay, Ontario, Canada
Show AbstractIn this paper we discuss the formation of device quality GaN/InN heterostructures on a 2 inch c-axis oriented sapphire (Al2O3) substrate. Such a heterostructure is suitable for applications in light emitting diodes (LED), solar cells, and field effect transistors (FET) intended to operate in both high radio-frequency range and harsh environments (high temperatures and/or high radiations). Growth is accomplished using a new method coined Migration Enhanced Epitaxial Afterglow (MEAglow), an improved form of low pressure Plasma Enhanced Metal Organic Chemical Vapour Deposition (MOCVD) [1]. Basically this technique involves pulsed delivery of the metalorganic as a means of improving the crystallinity of the material. Metal rich pulses are delivered followed by a continuous` plasma nitridation [2]. Lattice mismatch between the sapphire substrate and the GaN insulating layer are minimized during growth using standard sapphire nitridation procedures. A conducting layer of InN is put down on the insulating GaN layer and the GaN layer provides a template for the InN growth. Initial x-ray diffraction (XRD) analysis results show an InGaN alloy layer forming under the InN. No GaN was seen. It was postulated that Indium metal deposited prior to complete nitridation converted the GaN layer to InGaN. To verify the integrity of the insulating GaN layer, a third party GaN substrate was substituted. Results were unchanged. Parameters were then modified to reduce the amount of Indium used for the initial metal deposition. XRD results indicate a good break between the insulating GaN and conductive InN layer. Growth appears to be c-axis and epitaxial. Hall effect measurements showing carrier concentration and conductivity are included. We’ve shown that the growth of a device suitable heterostructure using III-Nitride is possible using the MEAglow technique.References[1]K Scott A Butcher et al., "Gallium Nitride Film Growth using a Plasma Based Migration Enhanced Afterglow (MEAglow) CVD System," ISPlasma2011 (Submitted only), March 2011.[2]K. Scott A Butcher et al., "InN grown by Migration Enhanced Afterglow (MEAglow)," physica status solidi (Submitted only), 2011.
9:00 PM - O7.42
Admittance Spectroscopy of Hole States in GaSb/GaAs Quantum Dots with Type-II Band Alignment.
Jinyoung Hwang 1 , Andrew Martin 2 , Joanna Millunchick 2 , Jamie Phillips 1
1 Electrical Engineering and Computer Science, University of Michigan, Ann Arbor, Michigan, United States, 2 Materials Science and Engineering, University of Michigan, Ann Arbor, Michigan, United States
Show AbstractQuantum-confined structures have been proposed to improve conversion efficiency in solar cells using intermediate band transitions to provide increased optical absorption below the bandedge of the host material without significant decrease in open circuit voltage. InAs/GaAs quantum dots (QD) have demonstrated the intermediate band energy conversion process, though the conversion efficiency of these devices has not yet exceeded GaAs. One of the challenges with QD systems with a type-I band lineup is the fast radiative recombination lifetime that inhibits carrier extraction from quantum dot states. Quantum dots with a type-II band lineup, due to the spatial separation of electron and hole wavefunctions, demonstrate an increase in carrier lifetime that may provide a desirable tradeoff between optical generation and recombination rates for confined states in the QDs for efficient intermediate band solar energy conversion. The thermal capture and emission processes in the confined QD states are also a critical parameter in determining the conversion efficiency. In this work, the electronic structure and carrier capture/escape mechanisms are studied for GaSb/GaAs QDs with a type-II band alignment using admittance spectroscopy. Samples consisting of self-assembled GaSb/GaAs QDs via the Stranski-Krastanow growth mode were grown on p+ GaAs (001) substrates by molecular beam epitaxy. Device structures were fabricated using Ti/Au Schottky barrier contacts to probe QD states. Capacitance-voltage measurements demonstrate a clear plateau indicative of hole accumulation in the GaSb QDs. Small signal admittance was measured at variable temperature (12K to 300K) and frequency (10kHz to 5MHz). Arrhenius plots of the peak conductance reveal multiple confined QD states. The activation energies and hole capture cross section of the QD states will be presented and correlated with photoluminescence spectra.
9:00 PM - O7.43
Enhanced Emission from InxGa1-xN-Based LED Structures Using III-Nitride Based Distributed Bragg Reflector.
K. Lee 1 , L. Rodak 1 , V. Kumbham 1 , V. Narang 2 , A. Kadiyala 1 , R. Goswami 1 , B. Bearce 1 , J. Peacock 1 , K. Hite 1 , J. Dawson 1 , L. Hornak 1 , D. Korakakis 1
1 Lane Department of Computer Science and Electrical Engineering, West Virginia University, Morgantown, West Virginia, United States, 2 Department of Physics, West Virginia University, Morgantown, West Virginia, United States
Show AbstractIII-Nitride based light emitting diodes (LEDs) have emerged as promising devices for solid state lighting due to the ability to emit from ultra violet to infrared wavelengths by controlling the alloy composition in the active region. In addition to efficiency, LEDs are also anticipated to bring new functionality to lighting technologies. Depending on the applications, including but not limited to, general lighting, displays, sensors, and optical communications, the emission profile may need to be more or less directional. As a result, there is significant interest in increasing the light extraction efficiency of nitride based LEDs and also controlling the emission profile. Various forms of mirrors, including metal coatings and reflective cups, have been used in LED fabrication in order to utilize light emission from the backside of the device and create a more directional emission [1,2,3]. A bottom epitaixally grown distributed Bragg reflector (DBR) provides a compact solution to enhanced light extraction and when combined with a top-side mirror offers an attractive approach to resonant cavity LED (RCLED) fabrication. RCLEDs typically exhibit narrow spectral linewidth, better emission directionality, and enhanced light extraction efficiency when compared to conventional LEDs [4]. In this work, visible wavelength LEDs have been integrated with III-Nitride based DBRs grown via Metal Organic Vapor Phase Epitaxy (MOVPE) on sapphire substrates. High reflectivity, crack-free AlN/GaN DBRs have been used as the bottom mirror under InxGa1-xN/GaN multi-quantum-well LED structures designed for 440 nm emission. Electroluminescence (EL) results show vertical resonant modes forming between the interfaces in the structure which increase in intensity with increasing DBR reflectivity. Additionally, enhanced optical emission has been measured from the top of the structure as compared to LEDs grown without DBRs. The influence of a metal photonic crystal as the p-type contact on the optical properties of the device will be discussed. Key design parameters of the LED structure will be presented along with device characterization including EL, IV, and relative optical power measurements. [1] Y.S. Zhao, D.L. Hibbard, H.P. Lee, K. Ma, W. So, and H. Liu, J. Electron. Mat. 12, 1523 (2003).[2] L.Z. Hsieh and K. C. Chen, J. Optoelectron. Adv. Mat., 9, 2449 (2007).[3] J. Dorsaz, J.F. Carlin, C.M. Zellweger, S. Gradecak, and M. Ilegems, Phs. Stat. Sol. (a) 201, 2675 (2004).[4] C. Lin and C. Lee, IEEE Photonics Technology Letters, 22, 1291 (2010).
9:00 PM - O7.44
The Influence of Si Doping to BP(100) Layer on Si(100) by TEM.
Muneyuki Hirai 1 , Suzuka Nishimura 1 , Hiroshi Nagayoshi 2 , Kazutaka Terashima 1
1 Materials Science, Shonan Institute of Technology, Fujisawa, Kanagawa, Japan, 2 , Tokyo National College of Technology, Hachioji, Tokyo, Japan
Show AbstractRecently, the technologies of cubic GaN (c-GaN) and GaN growth on Si substrate have attracted much attention for many researchers. We searched for the materials that can be grown on silicon and lattice matched with GaN. It has been found that BP is one of the best materials as a buffer layer for a c-GaN on Si.In our study, Boronmonophosphide(BP) grown on Si(100) by MOCVD is used for growing c-GaN. The lattice mismatch between BP(100) and c-GaN(100) is about 0.7%[1,2]. The epitaxial growth of GaN/BP/Si has been carried out. The dislocation of interfaces (BP on Si and GaN on BP/Si) will be discussed. The growth of BP on Si and GaN on BP/Si substrates has been carried out. Preliminary, we grew GaN on BP/Si substrates by MBE method. The crystal obtained was cubic type GaN. We observed the crystal defect by TEM. In the BP layer, the dislocation density markedly decreased with increasing the thickness. Si doping is known to effect the reduction of dislocation density in GaAs[3] and GaN[4]. In order to observe the effect of Si doping on BP crystalline quality, the epitaxial growth of Si doped BP on Si has been carried out. We observed the crystal defect by cross-sectional TEM. In the Si doped BP layer, as increasing concentration of Si, the parallel to slip plane{110} dislocations became domination by the vertical dislocations.We are now fundamentally studying low dislocation technique and influence for the growth of c-GaN on BP/Si using Si doped.
9:00 PM - O7.45
Performance Comparison and Design Issues on Different GaN Power Transistor Structure.
Chwan-Ying Lee 1 , Chien-Chung Hung 1 , Yung-Hsiang Chen 1
1 Nanoelectronic Technology, Industrial Technology Research Institute, HsinChu, Taiwan, Taiwan
Show AbstractThree types of GaN transistors (one normally-on device and two normally-off devices) were fabricated and studied in this work and exhibited unique characteristic over on-state current and off-state breakdown voltage performances. We also studied the performance difference on GaN/AlGaN multi-epitaxy layers on different substrate (Sapphire and Si) in order to realize the relationship among the device performance variation, manufacturing yield and substrate. The normally-on device with Sapphire substrate shows good Idsat and breakdown characteristics, but the gate leakage current is quite large. The first normally-off GaN hybrid metal-oxide-semiconductor heterojunction field-effect transistor (MOS-HFET) grown on Si substrate exhibits good performance with positive threshold voltage of 3V and the breakdown voltage of over 1800V. However the second normally-off GaN MOSFET structure is rather difficult to exhibit good blocking characteristic like GaN MOS-HFET device does due to inadequate device design.
9:00 PM - O7.5
Structural Aspects and Thermoelectric Properties of the P-Type Doped Stannite Compound Cu2ZnGeSe4.
Wolfgang Zeier 1 2 , Aaron LaLonde 2 , Michael Schwall 1 , Christophe Heinrich 1 , Martin Panthoefer 1 , G. Jeffrey Snyder 2 , Wolfgang Tremel 1
1 Institute of Inorganic Chemistry and Analytical Chemistry, Johannes Gutenberg University Mainz, Mainz Germany, 2 Material Science, California Institute of Technology, Pasadena, California, United States
Show AbstractThermoelectric devices directly produce electrical energy from a temperature gradient, offering a promising solid-state solution for waste heat recovery. For thermoelectric devices to make a significant impact on energy and the environment the major impediment is the efficiency of the materials. Favorable compounds for thermoelectric devices exhibit high electric transport at low intrinsic thermal transport accompanied by a high Seebeck coefficient and are structurally robust to doping in order to optimize the electronic properties for a maximum thermoelectric efficiency.The quaternary stannite type compounds Cu2MIISnSe4 have recently been investigated for their thermoelectric properties. The cation-ordered, distorted variant of the sphalerite structure leads to very low lattice thermal conductivities (below 1 W/Km at 700 K), while the structural robustness of this class of compounds opens the possibility of precise doping for a control over carrier concentration, e.g. resulting in a maximum ZT of ~ 0.9 at 800 K for Cu2ZnSn0.90In0.10Se4.4Recently we have investigated the series of solid solutions Cu2+xZn1-xGeSe4 for their high temperature thermoelectric transport properties. Detailed structural analyses by x-ray and neutron diffraction reveal substantial occupation of interstitial positions by Cu+ and Zn2+. We will discuss the influence of these structural aspects on the thermoelectric transport, in particular the thermal transport properties of the lattice.
9:00 PM - O7.6
Domain Matching Epitaxial Growth of Mg-Containing Ag Film on p-Type GaN.
Yang Hee Song 1 , Hak Ki Yu 1 , Jun Ho Son 1 , Buem Joon Kim 1 , Jong-Lam Lee 1
1 , POSTECH, Pohang Korea (the Republic of)
Show AbstractIn vertical-structure light emitting diodes (LEDs), the p-type Ohmic contact with low contact resistivity and high reflectance is essential to enhance the light extraction and reduce the power consumption. Silver (Ag) has been widely used for reflective ohmic contacts due to the high reflectance (~95%) in visible wavelength and low contact resistivities in order of 10-5 Ωcm2 by annealing in oxygen ambient. However, Ag contacts were agglomerated during annealing in air ambient, leading to degradation in electrical and optical properties. Therefore, preventing Ag contacts from agglomeration is a key aspect in realizing high-power LEDs.It was reported that the physical mechanism of Ag agglomeration was related to the surface diffusion of Ag atoms which are driven by surface energy resulting in the grain boundary grooving, hillocks formation and finally agglomeration. For Ag film, Ag atoms in other grains move toward (111) oriented one to reduce the surface energy at high temperature because the (111) oriented grain is energetically most stable. Thus, the diffusion of Ag atoms could be suppressed if the Ag film has (111) orientations in the growth of film.Here, we investigated effects of Mg addition to domain matching epitaxy of Ag films. From synchrotron radiation photoemission spectroscopy spectra of O1s core level, the Mg-O chemical bonding was preferentially produced on Ag matrix which shrinks the surrounded Ag lattice. This Mg-O chemical bonding decreases the lattice mismatch between Ag film and GaN substrate from 9.7% to 8.9%. Also, the Mg-O chemical bonding enhances the adhesion between Ag film and GaN substrate. Therefore, the domain matching epitaxy between Ag film and GaN could be possible with 9 lattice of (111) Ag film and 8 lattice of GaN (0001) which was identified by fourier filtering of the basal plane image obtained using high resolution transmission electron microscopy. These (111) oriented Ag film has the smallest surface energy, resulting reduce the surface diffusion and Ag agglomeration which was identified by surface morphology obtained by scanning electron microscopy.In GaN-based Vertical LEDs, the (111) oriented Ag contact has thermal stability, resulting reduce the silver agglomeration. In operation temperature, the Mg contained Ag contact maintain the low contact resistivity and high reflectance. Therefore, it is demonstrated that Mg contained Ag contact plays a critical role in enhancing the optical, electrical, and thermal stability of high power LEDs.
9:00 PM - O7.8
Structural Properties of Self-Assembled InGaN Quantum Dot Superlattices in Semipolar (11-22) GaN.
G. Dimitrakopulos 1 , A. Lotsari 1 , Th. Kehagias 1 , T. Koukoula 1 , A. Das 2 , E. Monroy 2 , Philomela Komninou 1
1 Department of Physics, Aristotle University of Thessaloniki, GR-54124 Thessaloniki Greece, 2 CEA-CNRS Group “NanoPhysique et SemiConducteurs", INAC/SP2M/NPSC, CEA-Grenoble, Grenoble France
Show AbstractSemipolar growth orientations of III-Nitride heterostructures and nanostructures are promising in order to alleviate the polarization-induced sheet charge densities that cause the quantum confined Stark effect and reduce the internal quantum efficiency of optoelectronic devices. Semipolar orientations offer the possibility of better growth control and reduced defect content relative to nonpolar ones. Nevertheless, heteroepitaxy on foreign substrates so far generates significant defect densities that act as nonradiative carrier recombination centers. In the present contribution we study the structural properties of InGaN quantum dot (QD) superlattices formed in a self-assembled manner in semipolar (11-22) GaN. Such nanostructures offer the possibility of carrier confinement and shielding from the defects, thus reducing the nonradiative exciton recombinations.QD superlattices emitting in the 380-580 nm spectral range were deposited by plasma-assisted MBE (PAMBE) on MOVPE-grown (11-22) GaN/Al2O3 templates. Specimens were deposited at high and low growth temperatures corresponding to active and limited indium desorption respectively. The specimens were compared to polar control samples grown under identical conditions. Nanoscale structural characterization was performed using transmission electron microscopy (TEM) techniques. High resolution TEM (HRTEM) observations showed that the QDs exhibited lenticular-shaped morphology, and that their lateral dimensions increased with the growth temperature. The QDs were influenced by the threading defects which introduced deviations in the QD nucleating plane, QD nucleation on depressions, as well as strain-induced indium fluctuations inside the QDs. Quantitative HRTEM using geometrical phase analysis showed that the QD layers were elastically strained with no misfit dislocations on the nucleating plane. Due to the high aspect ratio of these QDs, their three-dimensional strain state was effectively approximated as biaxial, and was correlated to their indium content using Vegard’s law. The bandgap energies were then calculated by use of a bowing coefficient, and were found to be in good agreement with the photoluminescence peak emission energies of the samples. Acknowledgement: Support under the 7th European Framework Project DOTSENSE (Grant No. STREP 224212), and under the “HRAKLEITOS II” program of the Greek Ministry of Education is gratefully acknowledged.
9:00 PM - O7.9
Screw Threading Dislocations as Conductive Nanowires in AlN: Role of Doping.
J. Kioseoglou 1 , E. Kalesaki 1 , I. Belabbas 3 2 , J. Chen 4 , G. Nouet 2 , Th. Karakostas 1 , Philomela Komninou 1
1 Department of Physics, Aristotle University of Thessaloniki, GR-54124, Thessaloniki Greece, 3 Chemistry Department, Abderahmane Mira University, Bejaia (06000) Algeria, 2 Centre de Recherche sur les Ions, les Matériaux et la Photonique, UMR CNRS 6252, ENSICAEN, 14050 Caen Cedex France, 4 Laboratoire de Recherche sur les Propriétés des Matériaux Nouveaux, Université de Caen, IUT d’Alençon, 61250 Damigny France
Show AbstractThreading dislocations (TDs) propagate to the active parts of III-Nitride based devices and play a critical role on the diffusion and segregation of impurities. Edge TDs are by now extensively studied [e.g. 1] while investigation of screw TDs is limited in GaN [e.g. 2]. Identification of the AlN screw TDs’ structural characteristics and electronic behaviour is of major importance since AlN is a key material for microelectronics applications. The need to accurately determine these features is further exemplified at present since dislocations are established as a template for nanostructure construction through the “dislocation technology” scheme, which is based on the decoration of dislocation cores by impurities [3].In our current work, the structural and electronic characteristics of undoped AlN screw TD cores are investigated through density functional theory calculations. The stoichiometric, full core configurations are considered and the effect of intentional (Indium) or unintentional (Oxygen) doping is explored [4]. In particular core models comprising metallic In, InN or both In and O impurities are examined, prompted by experimental observations of ternary InxAl1-xN grown by metal organic vapour phase epitaxy [5]. A variety of strain induced shallow levels is identified in both undoped core models, i.e. single- and double- six atoms rings, while deep states in the band structure of the latter are attributed to wrong bond formation. In all examined, doped structures, multiple levels are induced in the band gap, establishing screw dislocations as conduction pathways to charge carriers. In particular, states filling the AlN band gap are realized for both InN and In&O filled core models. Formation of metallic Indium in the cores leads to the most significant alteration of the AlN electronic structure since metallic like behavior is predicted. Overall it is revealed that screw dislocations act as conduction pathways to charge carriers. The latter is true even for undoped material but is significantly enhanced through doping, especially when metallic In is incorporated in the cores. The identified multiple levels in the band gap shall deteriorate the performance of microelectronic devices due to leakage currents however, following the “dislocation technology” scheme [3], they shall also promote the conductivity along the dislocation line establishing doped screw TDs as conductive nanowires in semiconducting thin films.[1] E. Kalesaki et al., Appl. Phys. Let. 98, 072103 (2011)[2] I. Belabbas et al., submitted in Comp Mat. Sci.[3] Y. Ikuhara, Prog. Mater. Sci. 54, 770 (2009).[4] J. Kioseoglou et al., submitted in J. Appl. Phys.[5] Th. Kehagias et al., Appl. Phys. Let. 95, 071905 (2009)[6] This work was supported by EC under the FP7 Project DOTSENSE (Grant No. STREP 224212) and the MRTN-CT-2004-005583 “PARSEM” project