Symposium Organizers
Jordi Arbiol, ICREA and Institut Catala de Nanociencia i Nanotecnologia (ICN2)
Kimberly Dick Thelander, Lund University
Michael Filler, Georgia Institute of Technology
Anna Fontcuberta i Morral, EPFL
Qihua Xiong, Nanyang Technological University
Symposium Support
Applied Materials, Inc.
S2
Session Chairs
Chennupati Jagadish
Jordi Arbiol
Tuesday PM, April 07, 2015
Moscone West, Level 2, Room 2024
2:30 AM - *S2.01
Chalcogenide Nanowire and Nanowire Heterostructure Based Bulk Nanocomposite Thermoelectrics
Yue Wu 1
1Iowa State University Ames United States
Show AbstractIn this talk, we will present our work in the past five years focusing on the development of large-scale environmental-friendly production of highly-uniform and high-performance chalcogenide nanowires and nanowire heterostructures. Currently these nanowires can be produced in our lab at tens of grams level within two hours. The synthesized nanowires can be centrifuged and cleaned followed by spark plasma sintering or hot press to be fabricated into bulk nanocomposites. The nanocomposites can be produced into disks or cubes with size over inches and their bulk electrical and thermal properties can be measured. Through the size control and bandgap engineering, we can utilize the phonon scattering at grain boudaries and minority carrier blocking at heterostructure interfaces to significantly enhance the thermoelectric figure of merit. These nanoscale building blocks also provide a uique opportunity to produce flexible thermoelectric devices.
3:00 AM - S2.02
Impact of Diameter and Controlled Branching on Thermoelectric Properties in Lead Chalcogenide Nanowires
Nimai Mishra 1 M Jaime 2 Oleksiy Roslyak 3 Andrei Piryatinski 3 Jennifer Hollingsworth 4
1Los Alamos National Laboratory Los Alamos United States2Los Alamos National Lab Los Alamos United States3Los Alamos National Lab Los Alamos United States4Los Alamos National Lab Los Alamos United States
Show AbstractMore than half of the energy generated worldwide is lost as heat. Such ‘waste heat&’ can originate from large point sources (e.g., industrial processes) or smaller distributed sources (e.g., automobiles). Even partial recovery of this lost energy would have a dramatic impact on the economic and environmental costs associated with the increasing global appetite for energy. Thermoelectric (TE) conversion of heat (temperature gradients) into electricity taking advantage of the ‘Seebeck Effect&’ has the potential to address this often overlooked energy source and utilization challenge. Nanoscale semiconductors are increasingly viewed as viable TE materials capable of realizing a high TE figure-of-merit, or ZT, where nanostructuring can be used to reduce phonon transport and, ideally, to simultaneously increase another key ZT parameter, the Seebeck coefficient, via direct modify of the semiconductor electronic density-of-states (DOS).
Here, we will discuss our synthetic efforts to fabricate lead chalcogenide nanowires (NWs) with structures designed for optimized ZT. Recent numerical simulations (Roslyak and Piryatinski) reveal the potential to enhance phonon impedance toward ZT enhancements of 20-150% by NW branching and networking. In addition, it has been demonstrated experimentally by others in PbSe quantum dots that quantum confinement effects can be used to modify DOS and, thereby, to dramatically increase thermopower. In an attempt to combine these influences on ZT, we synthesize branching and diameter-controlled PbS, PbSe, PbSeS and PbTe NWs. We utilize the catalyzed solution-phase growth method known as solution-liquid-solid (SLS) growth that affords diameter control by tuning of the size of the metal nanoparticle catalyst. We take advantage of the stoichiometry control afforded by single-source precursors, and we demonstrate unique and facile control over NW branching as a function of growth temperature, achieving high yields of branched NWs. Alternatively, we create branched NW networks by applying sol-gel methods pioneered by others for interconnecting colloidal quantum dots (Brock Research Group). Novel TE device platforms are fabricated and characterized to enable correlating NW structure - diameter and branching - with measurements of Seebeck coefficient, electrical conductivity, and thermal conductivity.
3:15 AM - S2.03
Nonlinearity Found in Thermoelectric Devices Made of Heterogeneous Semiconductor Nanowire Networks
Kate J Norris 1 2 Matthew P Garrett 1 2 Junce Zhang 1 2 Elane Coleman 3 Gary M. Tompa 3 Nobuhiko P Kobayashi 1 2
1University of California Santa Santa Cruz United States2Advanced Studies Laboratories, Univ. of California Santa Cruz - NASA Ames Research Center Moffett Field United States3Structured Materials Industries, Inc. Piscataway United States
Show AbstractThe Carnot cycle necessitates that more than 50% of energy produced is lost in the form of heat - heat that can potentially be converted into electricity. In pursuit of this goal, researchers endeavored for decades to fabricate economically feasible thermoelectric devices leading up to a revolution in the 1990&’s that gave way to the widespread use of nanostructuring to increase thermoelectric conversion efficiency.In the subsequent years advances have been made in the field that make nanostructured devices a viable platform for thermoelectric conversion.We present a concept to increase efficiencies utilizing nonlinear elements integrated with our semiconductor nanowire networks.Demonstrated here is power generation with thermoelectric devices made of two nanowire networks, one silicon and one indium phosphide, grown on a mechanically flexible copper substrate. Electron microscopy was utilized to characterize structural integrity of the nanowire networks. Seebeck coefficient and electrical conductivity were measured to evaluate the potential of the basic concept of multi-stage thermoelectric device. Non-linear current-voltage characteristics were observed, which suggests a new platform to increase maximum electrical power generation for a given temperature gradient.
3:30 AM - *S2.04
Integration of III-V Nanowire Devices on Si
Heike Riel 1
1IBM Academy of Technology IBM Research Ruuml;schlikon Switzerland
Show AbstractIntegration of IIIminus;V semiconductors on Si has become a vibrant field of research because of the possibility to further improve the performance of transistors in the next generation of CMOS circuits and to integrate optoelectronic components on Si for on-chip optical communication. It has been demonstrated that nanowire epitaxy is a high potential approach to locally integrate IIIminus;V materials on Si. However, certain issues such as dimension scaling, catalyst contamination, and growth direction are limiting its application.
We have developed template-assisted nanowire epitaxy where III-V nanowires and heterostructures are grown selectively in SiO2 nanotube templates directly integrated on Si substrates. Using this technique we demonstrated the growth of III-V nanowires on Si of varying crystallographic orientations, including nanocrystalline Si, the diameter scaling down to 25nm, the growth of heterostructures and very high yield on large areas. In this presentation we will show results on growth, material characterization and performance results of electrical devices.
4:30 AM - *S2.05
Atom Probe Tomography of Core-Shell Nanowire Heterostructures for Understanding Growth and Building Simulations
Nari Jeon 1 Stefanie Morkoetter 2 Daniel Rudolph 3 Gregor Koblmueller 3 Lincoln J. Lauhon 1
1Northwestern University Evanston United States2Technical Univ-Munich Munich Germany3TU Munich Munich Germany
Show AbstractNanowire radial core-shell heterostructures show promise in applications such as high-speed transistors, solar cells, and photodetectors. As in planar heterostructures, modulation doped nanowire heterostructures offer the potential to realize high carrier density without compromising mobility. However, the radial geometry presents significant challenges to the measurement of dopant distribution. Furthermore, radial shell growth takes place on multiple facets simultaneously; to the extent that incorporation kinetics differ between species and between facets, one can expect to observe dopant and/or alloy segregation. We have analyzed the 3-D composition of modulation doped GaAs-AlxGa1-xAs core-shell nanowire heterostructures by atom probe tomography to correlate alloy composition fluctuations with optical properties and dopant distributions with electrical properties. Three primary observations will be discussed. First, APT analysis presents a clear picture of Al enrichment at {110} facet vertices (small {112} facets) within the AlxGa1-xAs shells, as previously reported by TEM measurements. However, the enrichment depends on the polarity of the {112} facets, leading to frequent rotations of the threefold symmetric composition plots due to the introduction of stacking faults. These unusual heterostructures thereby provide the opportunity to examine simultaneous growth on adjacent facets of opposite polarity, and explore the impact on III-V alloy composition and growth rate. Second, the distribution of Si dopants within the AlGaAs shell is also revealed, and Si is found to follow enhancements in Al composition. The dopant distribution provides input to device simulations for comparison with low temperature transport measurements. Third, alloy fluctuations are observed in the shells that suggest the presence of quantum dot like regions. A direct mapping of the composition field to bandgap energies indicates potential fluctuations of up to 0.2 eV in regions of ~2 nm diameter. The relationship of these composition fluctuations to photoluminescence spectra will be discussed. More generally, we will discuss the prospects for mapping APT generated composition fields to finite element simulations of nanowire properties and device performance.
5:00 AM - S2.06
Formation and Implications of Atomic Ordering in InGaN Alloys within Nanowire Heterostructures by Scanning Transmission Electron Microscopy
Steffi Y. Woo 1 Matthieu Bugnet 1 Hieu P. T. Nguyen 2 3 Zetian Mi 2 Gianluigi A. Botton 1
1McMaster University Hamilton Canada2McGill University Montreal Canada3New Jersey Institute of Technology Newark United States
Show AbstractTernary InGaN alloys have been sought after for application of various optoelectronic devices spanning a large spectral range between the UV and IR, including light-emitting diodes, laser diodes, and detectors. The large difference in cationic radii is responsible for the non-ideal mixing, and established as the cause for various phase separation, surface segregation, and chemical ordering processes commonly observed in nitride alloys. Growth in a nanowire (NW) geometry can circumvent these processes that would otherwise lead to compositional fluctuations within InGaN alloys, by providing enhanced strain relaxation at the free surfaces. Atomic-level chemical ordering in wurtzite InGaN and AlGaN layers, describing preferential site occupancy of the cation sublattice by the group III atoms, has been reported mostly with a 1:1 periodicity along the [0001] growth direction [1]. Reports of atomic ordering in epilayers of cubic ternary III-arsenides and -phosphides is also not uncommon, however observation of ordered III-V alloys has remained limited to planar thin films; its prevalence within NWs has not been explored.
In this work, InGaN/GaN multiple dot-in-a-wire nanostructures grown on Si(111) by molecular beam epitaxy were recently developed to achieve more controlled light emission across the entire visible spectrum [2], and characterized using aberration-corrected scanning transmission electron microscopy (STEM). STEM-high-angle annular dark-field (HAADF) Z-contrast imaging shows the InGaN quantum dots (QDs) with atypical atomic-level oscillating HAADF image intensity along the c-axis growth direction, exhibiting alternating bright/dark atomic-planes within the bulk of some QDs and at the outer “tails” of the QDs. Electron diffraction patterns obtained from the QDs in a [1100] zone-axis show the presence of otherwise forbidden 0001 and 0001 superlattice reflections, unambiguously confirming the presence of 1:1 bilayer atomic ordering [1]. Corresponding STEM-annular bright field imaging enables the visualization of light elements like N, and was used to directly deduce the NWs as N-face polarity. The assignment of polarity affirms the preferential occupation of In-atoms into the T1 site, which is the first experimental evidence of the theoretical structure model for ordered InGaN layers [3]. In addition, atomic-resolution elemental mapping using STEM-electron energy-loss spectroscopy shows an In-enrichment in alternating c-planes matching the HAADF contrast. Examining the lattice distortion at the QDs aids in understanding their alloy ordering, and their local strain relaxation compared to a random alloy. The role of a faceted growth front for the growth surface-induced alloy ordering, and the effects of ordering on optical properties towards bandgap engineering within NW heterostructures will also be discussed.
[1] Ruterana et al. (1998) APL 72, 1742.
[2] Nguyen et al. (2012) Nano Lett. 12, 1317.
[3] Northrup et al. (1999) APL 74, 2319.
5:15 AM - S2.07
Strain Release Mechanisms in III-As/III-Sb Heterostructured Nanowires
Maria de la Mata 1 Cesar Magen 2 Hadas Shtrikman 3 Philippe Caroff 4 Jordi Arbiol 1 5
1ICMAB-CSIC Bellaterra Spain2Univ de Zaragoza Zaragoza Spain3Weizmann Institute of Science Rehovot Israel4Australian National Univ Canberra Australia5ICREA Barcelona Spain
Show AbstractIt is widely know that, thanks to their morphology, nanowires allow the combination of highly mismatched materials preserving the crystal quality. Their large aspect ratio permits releasing the induced strain laterally over short distances. Usually, the epitaxial growth of mismatched materials involves the creation of interfacial misfit dislocations (plastic deformation) and/or plane bending (elastic deformation). Both phenomena should be accounted when dealing with semiconductor materials since they affect the final band alignments.
In order to analyze the strain release mechanisms in different arsenide/antimonide heterostructures, we use aberration-corrected High Angle Annular Dark Field (HAADF) Scanning Transmission Electron Microscopy (STEM). Thereby, we can address the underlying mechanisms for the strain release with atomic resolution by combining the obtained data with geometric phase analyses (GPA) [1] and computer simulations. Moreover, we take advantage of the Z-contrast provided by the HAADF technique to study the polarity [2,3] at both sides of the interfaces and the possible cation diffusion that would create ternary compounds. The systems studied are: i) radial InAs/GaAs NWs; ii) axial InAs/InSb NWs; and iii) axial GaAs/GaSb NWs. Several authors have reported the lack of misfit dislocations for the axial cases like the ones here presented, although they are theoretically expected to appear. We atomically show that even these axial systems present misfit dislocations at the interfacial regions along with plane bending, allowing the partial/total lattice relaxation[4].
References:
[1] M. J. Hyuml;tch, E. Snoeck, R. Kilaas, Ultramicroscopy, 74, 131 (1998)
[2] M. de la Mata, C. Magen, J. Gazquez, M.I.B. Utama, M. Heiss, S. Lopatin, F. Furtmayr, C.J. Fernandez-Rojas, B. Peng, J.R. Morante, R. Rurali, M. Eickhoff, A. Fontcuberta i Morral, Q. Xiong, J. Arbiol, Nano Letters, 12, 2579 (2012)
[3] M.I.B. Utama, M. de la Mata, C. Magen, J. Arbiol, Q. Xiong, Adv. Funct. Mat., 23, 1636 (2013)
[4] M. de la Mata, C. Magen, P. Caroff, J. Arbiol, Nano Letters, DOI: 10.1021/nl503273j (2014)
5:30 AM - S2.08
Conductive Atomic Force Microscopy of III-N Nanowire Devices
Jovana Colvin 1 2 Zhaoxia X. Bi 2 3 Jonas Ohlsson 2 3 4 Lars Samuelson 2 3 Anders Mikkelsen 1 2 Rainer Timm 1 2
1Lund University Lund Sweden2Lund University Lund Sweden3Lund University Lund Sweden4QuNano AB Lund Sweden
Show AbstractThe group III-Nitride nanowire family is highly attractive for Light-Emitting Diodes (LEDs) and Laser Diodes (LDs) applications as it covers a wide range of wavelengths, from infrared (InN) to ultraviolet (AlN). InGaN is a prominent example, combining a tunable wavelength range with very efficient light emission [1]. Moreover, having a wurtzite crystal structure with non-central symmetry, InGaN combines piezoelectric and semiconductor properties and opens the door for innovative nanoscale piezo devices, piezotronics [2]. By furthermore inducing a photon excitation, a three way coupling is possible, so-called piezophototronics [3].
Constant demand for device performance improvements requests a rise of versatile techniques able to characterize individual nanowires at various geometries. We here used a free-standing geometry which is capable of paving a way towards cleaner, faster, more direct and less expansive measurements compared to the nanowire-field effect transistor geometry [4]. For this we utilize conductive atomic force microscopy (C-AFM), where a metallic AFM tip is used to first scan over free-standing nanowires and then make a point-contact with a top end of a nanowire, allowing current-voltage profiling of individual as-grown nanowires.
We have characterized regular arrays of In0.1Ga0.9N c-plane platelets comprising a high In-composition surface layer using AFM in static and amplitude modulated (AM-AFM) mode. Further, C-AFM allows a simultaneous study of the structural and electronic properties of the platelets. For this, two-dimensional current map images are recorded simultaneously with topography images, showing a high correlation and nm-scale resolution. Contacting a (0001) c-plane of a platelet with the metallic AFM tip forms a Schottky barrier, which is confirmed by local I-V characteristics.
The C-AFM employs small tip loading forces, which can be varied in a controlled fashion, thereby inducing tunable strain on the polar c-plane. By increasing these forces, we observed a shift of the I-V curves toward lower absolute voltage, which corresponds to a reduction of the Schottky barrier height (SBH) at increased strain. We will show that the tuning of the SBH is not determined by contact properties, but rather results from the piezoelectric effect coupled with semiconductor properties. This opens up new possibilities for investigating piezophototronics and tuning electroluminescence by local strain design.
[1] S. Pereira et al., Phys. Rev. B, 64, 205311 (2001)
[2] Y Zhang et al., Adv. Mater., 23, 4712 (2011)
[3] Y Zhang et al., Adv. Mater., 24, 3004 (2012)
[4] R. Timm et al., Nano Letters, 13, 5182 (2013)
5:45 AM - S2.09
Direct Imaging of Single InGaN/GaN Core-Shell Microrods Using Nano-Scale Scanning Transmission Electron Microscopy Cathodoluminescence
Marcus Mueller 1 Sebastian Metzner 1 Anja Dempewolf 1 Gordon Schmidt 1 Peter Veit 1 Frank Bertram 1 Steven Albert 2 Ana Maria Bengoechea-Encabo 2 Miguel Angel Sanchez-Garcia 2 Calleja Pardo Enrique 2 Juergen H. Christen 1
1Otto-von-Guericke University Magdeburg Magdeburg Germany2Universidad Politeacute;cnica de Madrid Madrid Spain
Show AbstractFor a detailed understanding of complex core-shell microrod heterostructures a systematic determination of the structural, chemical, electronic, and optical properties on a nanometer scale is essential. The combination of luminescence spectroscopy - in particular at liquid He temperatures - with the high spatial resolution of a scanning transmission electron microscope (STEM), as realized by the technique of low temperature scanning transmission electron microscopy cathodoluminescence spectroscopy (STEM-CL), provides a unique, extremely powerful tool for the optical nano-characterization.
In this study we will present our STEM-CL results from an ordered array of InGaN/GaN core-shell microrods fabricated by a combination of top-down and bottom-up processes. In a first step, regularly ordered GaN micropillars were obtained by inductively coupled plasma (ICP) etching of a GaN/sapphire template. Subsequently, the GaN micropillars were overgrown with GaN using plasma assisted molecular beam epitaxy. Finally, a thick InGaN layer was deposited on the whole structure. SEM measurements of the sample reveal a homogeneous growth of hexagonally shaped microrods with a density of 1.2 x 107 cm-2. The mean diameter of the microrods could be estimated to 1.3 µm.
The cross-sectional STEM image in annular dark field contrast (ADF) of the microrods clearly shows an InGaN capped region of about 750 nm thickness with a low crystal quality on top of the GaN microrod. Furthermore, we observe an InGaN layer on the side facets of the microrod. Evaluated by STEM measurements the thickness of the InGaN layer grown on the side facets is measured to increase from 70 nm to 140 nm towards the microrod topside. Additionally, structural investigations reveal the presence of threading dislocations propagating from the GaN template through the microrods towards the apex.
Direct comparison of the ADF image of a single microrod with the panchromatic CL intensity image at 16 K reveals the highest CL intensity from the InGaN on top of the microrod and from InGaN on the side walls. The integral CL spectrum from a single InGaN/GaN microrod exhibits two distinct luminescence contributions at 407 nm and 560 nm. The distribution of the peak wavelength exhibits a dominating near band edge emission at 359 nm as well as the yellow defect band at 560 nm out of the center of the microrod. On the side facets one can observe an InGaN CL at 407 nm. In contrast, the cap layer emits a broad luminescence band between 510 - 640 nm. This difference of the InGaN emission on the side facet compared to the cap can be attributed to different InGaN compositions and/or strain conditions. Furthermore, a red shift of the InGaN luminescence in both InGaN regions is observed indicating a gradual increase of the In incorporation during the growth due to the lattice pulling effect.
S1
Session Chairs
Anna Fontcuberta i Morral
Qihua Xiong
Tuesday AM, April 07, 2015
Moscone West, Level 2, Room 2024
9:00 AM - *S1.01
Semiconductor Nanowires for Optoelectronic Device Applications
Chennupati Jagadish 1
1Australian National University Canberra Australia
Show AbstractSemiconductor nanowires are considered as building blocks for the next generation electronics and photonics. In this talk, I will review our work on growth of III-V compound semiconductor nanowires by metal organic vapour phase epitaxy (MOVPE). I will discuss the control of size, shape, composition, phase and growth of quantum heterostructures. Nanowires grown by both Au assisted vapor-solid-liquid (VLS) and selective area epitaxy (SAE) methods will be discussed. Optical properties of these nanowires will be presented. Nanowire optoelectronic devices such as lasers, solar cells and terahertz detectors will be presented. Future prospects and challenges in the field of semiconductor nanowires will be discussed.
S3: Poster Session
Session Chairs
Anna Fontcuberta i Morral
Kimberly Dick Thelander
Jordi Arbiol
Tuesday PM, April 07, 2015
Marriott Marquis, Yerba Buena Level, Salon 7/8/9
9:00 AM - S3.01
Epitaxy-Enabled Growth of Planar and Vertical ITO Nanowires with Controlled Orientations
Youde Shen 1 Stuart Turner 2 Ping Yang 5 Gustaaf Van Tendeloo 6 Oleg Lebedev 4 Tom Wu 3
1Nanyang Technological University Singapore Singapore2EMAT, University of Antwerp Antwerpen Belgium3King Abdullah University of Science and Technology Thuwal Saudi Arabia4Laboratoire CRISMAT, ENSICAEN Caen France5National University of Singapore Singapore Singapore6University of Antwerp Antwerpen Belgium
Show Abstract#8203;Controlling nanowire morphology in bottom-up synthesis and assembling them on planar substrates is of tremendous importance for device applications in electronics, photonics, sensing and energy conversion. To date, however, there has been only limited success in reliably achieving these goals, hindering both the fundamental understanding of the growth mechanism and the integration of nanowires in real-world technologies. Here we report that growth of planar, vertical and randomly oriented tin-doped indium oxide (ITO) nanowires can be realized on yttria-stabilized zirconia (YSZ) substrates via the epitaxy-assisted vapor-liquid-solid (VLS) mechanism, by simply regulating the growth conditions, in particular the growth temperature. Our (scanning) transmission electron microscopy and reciprocal space mapping experiments reveal the indispensable role of substrate-nanowire epitaxy in the growth of oriented planar and vertical nanowires at high temperatures, whereas randomly oriented nanowires without epitaxy grow at lower temperature. Further control of the orientation, symmetry and shape of the nanowires can be achieved by using YSZ substrates with (110) and (111), in addition to (100) surfaces. Based on these insights, we succeed in growing regular arrays of planar ITO nanowires from patterned catalyst nanoparticles. Overall, our discovery of unprecedented orientation control in ITO nanowires advances the general VLS synthesis, providing a robust epitaxy-based approach towards rational synthesis of nanowires.Since ITO is the most widely used transparent conducting oxide, we expect that oriented ITO NWs will be applied to a wide range of solar and light emitting nanodevices in future studies.
9:00 AM - S3.02
Trapping Layer Engineering of Junctionless SONOS-Type Nanowire Flash Memory
Yu-Hsien Lin 1 Ping-Hua Chen 1 Wan-Ting Tsai 1 Wei-Cheng Wang 2 Yu-Hau Jau 1 Yi-He Tsai 1 Jay-Chi Chou 2 Mu-Shih Yeh 2 Yung-Chun Wu 2
1National United University Miaoli Taiwan2National Tsing Hua University Hsinchu Taiwan
Show AbstractIn this paper, we demonstrate a novel junctionless (JL) nanowire (NW) memory device of polysilicon-oxide-nitride-oxide-silicon (SONOS-type) structure and n+ poly-Si NW structure.
A JL transistor could be selected for the same doping polarity and concentration throughout the entire device, and thus alleviate the requirement of precise control of dopant distribution in the S/D regions. For our device, the channel regions are the same doping type and concentration (n+). The fabrication process is very simple and easy for process integration. Due to the higher carrier concentration in the channel, this JL device exhibits better driving current and higher program efficiency than the conventional undoped channel device for memory application.
In this work, we investigate such scheme to SONOS-type flash memory for the purpose of reducing the fabrication complexity and enhancing the programming efficiency by taking advantage of the higher carrier concentration in the JL NW channels. For the trapping layer engineer, we combine the two separate nitride film for enhancing the electrons trapping ability in order to get large memory windows. Moreover, it also displays the high erase/program speed and good data retention characteristics.
In the summary, our JL NW device displays superior switching behaviors with a subthreshold swing of 87.6 mV/dec and on/off current ratio of 9 × 108. The memory design exhibited excellent characteristics regarding large memory windows (>8V), high-speed program/erase, excellent endurance, and optimal retention for device operation. The optimize memory characteristics with simplified fabrication process of the JL NW memory structure can use in the future application of three-dimensional ultrahigh density memory applications.
9:00 AM - S3.03
Novel Rhombus-Shaped ZnO Nanorod Arrays Based Gas Sensors
Zhen Wen 1
1Zhejiang University Hangzhou China
Show AbstractA facile fluorine-mediated hydrothermal method was developed to synthesize mesoporous rhombic ZnO nanorod arrays, involving the formation of Zn(OH)F precursor and then thermally conversed to ZnO. In the growth process, fluorine ions play a significant role in the formation of high aspect ratio rhombic nanorod arrays. The novel ZnO nanorod arrays based gas sensor showed high-performance for ethanol detection. The gas sensors annealed at 350, 450, 550 and 650oC showed different responses for ethanol detection. Increasing of the annealing temperatures resulted in the decline of sensitivity, which is due to the effect of grain size distributions, specific surface area and defect concentration. At low temperature (< 350oC), the incomplete decomposition of Zn(OH)F led to the instability of the sensors. The sensor annealed at 450°C showed the best performance, and the response to 100 ppm ethanol reached ~11.8 at 300oC. The sensor also exhibited good response/recovery speed (4 s and 7 s), excellent gas response and good stability. The fabrication of the high-performance gas sensors possesses the capability of constructing complex architectures with mesoporous rhombus-shaped ZnO rod arrays as the building block.
References
1) Wen, Z., et al., "A fluorine-mediated hydrothermal method to synthesize mesoporous rhombic ZnO nanorod arrays and their gas sensor application." Dalton Trans. 2013, 42, 15551-15554.
2) Wen, Z., et al., “Fabrication of Gas Sensor Based On Mesoporous Rhombus-shaped ZnO Rod Arrays.” Sens. Actuators B, 2014, Under Review.
9:00 AM - S3.04
Interfacial Abruptness Study in Au Catalyzed Si1-xGex/Si/Si1-xGex Heterostructure Nanowires Grown by VLS-CVD Method
Priyanka Periwal 1 Nikolai Sibirev 3 Gilles Patriarche 4 Virginie Brouzet 1 Franck Bassani 2 Bassem Salem 1 Vladimir Dubrovskii 3 Thierry Baron 1
1CNRS/LTM Grenoble France2LTM-CNRS Grenoble France3Ioffe Institue Saint Petersburg Russian Federation4LPN/CNRS Marcoussis France
Show AbstractAs MOSFETs are scaled down, power dissipation remains the most challenging bottleneck for nanoelectronics devices. To circumvent this challenge, alternative devices such as tunnel field effect transistors are potential candidates, where the carriers are injected by a much less energetically costly quantum band to band tunneling mechanism. In this context, axial nanowire heterointerfaces with well-controlled interfacial abruptness offer an ideal structure. We demonstrate here the effect of tuning the Ge concentration in a Si1-xGex part of the nanowire on the Si/Si1-xGex and Si1-xGex/Si interfacial abruptness in axial Si-SiGe heterostructure nanowires grown by the Au-catalyzed vapor-liquid-solid method. The two heterointerfaces are always asymmetric irrespective of the Ge concentration or nanowire diameter. For a fixed diameter, the value of interface abruptness decreases with increasing the Ge content for the Si/Si1-xGex interface but shows no strong Ge dependence at the Si1-xGex/Si interface where it shows a linear correlation with the nanowire diameter. To rationalize these findings, a kinetic model for the layer-by-layer growth of nanowire heterostructures from a ternary Au-Ge-Si alloy is established which predicts a discrepancy in Ge concentration in the layer and the catalyst droplet. The Ge concentration in each layer is predicted to be dependent on the composition of the preceding layer. The most abrupt heterointerface (~5 nm) is achieved by growing Si1-xGex with x=0.85 on Si in a 25 nm diameter nanowire. Finally, we consider the influence of strain induced by the lattice mismatch on the Si/Si0.15Ge0.85 nanowire heterostructure and show the absence of misfit dislocations.
9:00 AM - S3.05
Faceting Control in GaN Core-Shell Pillars Using Selective Etching and Epitaxy
Albert Davydov 1 Sergiy Krylyuk 1 6 Ratan Debnath 1 7 Jong-Yoon Ha 1 Baomei Wen 1 7 John E. Bonevich 4 Matt King 5 Marcus Mueller 2 Frank Bertram 2 Juergen H. Christen 3 Abhishek Motayed 1 6 7
1National Institute of Standards and Technology (NIST) Gaithersburg United States2Otto-von-Guericke University Magdeburg Magdeburg Germany3Otto-von-Guericke-University Magdeburg Magdeburg Germany4NIST Gaithersburg United States5Northrop Grumman Electronic Systems Linthicum United States6Institute for Research in Electronics and Applied Physics, University of Maryland College Park United States7N5 Sensors Inc. Rockville United States
Show AbstractGroup III Nitride nanowires have gained substantial interest for application in optoelectronic, energy and sensor devices with non-planar geometries [1-3]. The benefits of these 3D nanostructures include large surface area, exceptional structural quality, enhanced light absorption and extraction, etc. We report on fabrication of large-area periodic arrays of vertically aligned GaN core-shell micro- and nanorods using a combination of top-down etch and epitaxial overgrowth.
The two-step process consists of inductively coupled plasma (ICP) etching of lithographically patterned GaN-on-Si substrate to produce an array of micropillars followed by selective growth of GaN shells over these pillars using Hydride Vapor Phase Epitaxy (HVPE). The most significant aspect of the study is the demonstration of the sidewall facet control in the shells, ranging from: a) truncated hexagonal pyramids with the {1-101} semi-polar sidewalls, to b) hexagonal prisms with the {1-100} non-polar sidewalls, by employing a post-ICP chemical etch and by tuning the HVPE growth temperature. Optimization of both ICP etching and epitaxial overgrowth reduced dislocation density in the GaN shells, thus enhancing transport and optical characteristics of these device platforms.
Photo- and cathodoluminescence showed substantial reduction of parasitic yellow luminescence as well as strain-relaxation in the core-shell structures, supported by Raman scattering, electron-backscatter-diffraction (EBSD) and X-ray-diffraction measurements. Transmission electron microscopy revealed improved crystal quality with reduced dislocation density in the epitaxially grown shells.
This study demonstrates the feasibility of selective epitaxy on micro/nano-engineered templates for realizing high-quality GaN-on-Si devices such as LEDs and p-i-n photodetectors.
References.
F. Limbach et al., Nanotechnology 23 (2012) 465301
S.L. Howell et al., Nanoletters 13 (2013) 5123
S.G. Aluri et al. IEEE Sensors Journal 13 (2013) 1883
9:00 AM - S3.06
Optical Characterization and Structural Investigation of GaN Nanorod Arrays and its Based InGaN/GaN MQWs Core-Shell Nanoarchitecture Arrays
Byung Oh Jung 1 Si-Young Bae 1 Sang Yun Kim 2 Masataka Imura 3 Yoshio Honda 1 Hiroshi Amano 1
1Nagoya University Nagoya Japan2Korea Advanced Institute of Science and Technology (KAIST) Daejeon Korea (the Republic of)3National Institute for Materials Science (NIMS) Tsukuba Japan
Show AbstractThree dimensional (3D) GaN nanorod and InGaN/GaN coaxial nanoarray structures are promising candidates for high-efficiency lighting devices, as they have several advantages over the general planar structure. Therefore, in this study we carried out a scalable process for the precise position-controlled selective-area growth of GaN nanoarchitecture arrays by metalorganic chemical vapor deposition (MOCVD). In particular, we report a detailed investigation of the structural and optical characteristics of the GaN nanorod arrays and their core-shell nanoarrays containing InxGa1-xN/GaN multiple-quantum-wells (MQWs). The structural properties of GaN nanorod and InGaN/GaN core-shell architectures are examined by high-resolution transmission electron microscopy (HR-TEM) in this study. By optical observation, it was determined that the yellow band (YL) emission nature of the GaN nanorods changed with their growth conditions. We believe that this is associated with an increase in the formation of defect-impurity complexes such as VGa - ON caused by the low V/III ratio, which depends on the NH3 injection time under pulsed-mode MOCVD growth. In addition, for a detailed understanding of the optical properties of InGaN/GaN core-shell arrays, we performed various photoluminescence (PL) measurements such as the excitation power and temperature dependences of the PL. The excitation power dependence of the PL was measured at 300 K. The lack of a peak shift is decisive evidence that the light emission of InGaN/GaN core-shell arrays mainly originates from nonpolar sidewall {1-100} m-planes. In addition, the relative internal quantum efficiency (IQE) of the GaN-nanorod-based InGaN/GaN coaxial arrays was also estimated from the temperature dependence of the PL. The IQE of the InGaN/GaN coaxial structure was estimated to be 35.73% from the ratio of the PL intensity at room temperature (300 K) to that at a low temperature (10 K).
9:00 AM - S3.07
Facile Assembly of Highly Ordered Si Nanowires by Transfer Printing and its Application in Field-Effect Transistors (FETs)
Yaorong Su 1 2 Caiming Sun 2 Xiaohua Chen 2
1The Chinese University of Kong Kong Hong Kong China2NAMI Shatin Hong Kong
Show AbstractThe highly ordered assembly of Si nanowires on substrates is of technique importance for their applications in the Si based electronics. Here, we demonstrated a facile method to align the Si nanowire parallelly on large scale. The single crystal Si nanowires were fabricated by Ag-assisted chemical etching (B-doped Si wafer, 1 - 15 Omega;cm) with length of ~80 mm, as characterized by SEM and TEM. After dispersing in ethanol, the suspension was dropped into a petridish containing HCl solution (5 mol/L) to form a closely packed Langmuir-Blodgett (LB) like Si nanowire film. The LB film was transferred onto a PDMS film and then aligned by stretching the PDMS film. Therefore, highly parallel alignment of Si nanowires can be obtained by pressing the PDMS film onto another receiving substrate. Top-gate, top-contact field-effect transistors (FETs) with 20 by 20 arrays were demonstrated by depositing the Ag source-drain (S/D) electrodes, dielectric and Ag gate electrode successively via screening printing. The channel length can be as small as 20 um. The resulted devices showed an average mobility of ~ 20 cm2/Vs. Further study revealed that the electrical performance of the device can be optimized by modifying the surface property of the receiving substrates, and the packing density of highly ordered Si nanowires can be tuned by the stretching distance. Our findings suggest that the simple method for highly aligned Si nanowires might advance the development of Si based electronic circuits.
9:00 AM - S3.08
Growth of Self-Assisted InAs Nanowires for InAs/GaSb Core-Shell Heterostructures
Heidi Potts 1 Goezde Tuetuencueoglu 1 Federico Matteini 1 Martin Friedl 1 Anna Fontcuberta i Morral 1
1EPFL Lausanne Switzerland
Show AbstractInAs nanowires have received considerable attention for electronic transport due to the high spin-orbit coupling, large g-factor, and high electron mobility of InAs [1]. Self-catalyzed growth is preferred over gold-catalyzed growth in order to avoid the risk of gold incorporation in the nanowire. However, using a self-catalyzed process, achieving precise control over the crystal structure remains a challenge. We study the growth conditions of InAs nanowires without a foreign catalyst on GaAs(111)B using molecular beam epitaxy. Our results show the existence of a pure WZ stem in InAs nanowires with a small diameter, and we have found pure ZB sections in InAs1-xSbx nanowires. We are therefore pursuing two approaches to reduce the defect density along the full wire: 1) increase the length of the pure WZ stem of InAs nanowires by reducing the diameter or 2) move towards pure ZB nanowires by optimizing the antimony content in InAs1-xSbx nanowires. We will present an extensive study on the growth conditions for InAs and InAs1-xSbx nanowires followed by an analysis of how their crystal structure depends on the growth conditions. The wires are also contacted electrically in order to study their field-effect mobility.
Using our knowledge about InAs nanowire growth, we further investigate the growth of InAs/GaSb core-shell heterostructures. The interest in InAs/GaSb heterostructures arises from the peculiar broken band alignment with the valence band of GaSb being 150 meV higher than the valence band of InAs. Recent experiments have confirmed the existence of a topological insulator phase in two dimensional InAs/GaSb heterostructures as predicted theoretically [2,3]. To the best of our knowledge InAs/GaSb core-shell heterostructure nanowires have not been studied yet, but it has been shown theoretically that a mini-gap can open for certain core-shell radii [4]. We will present the growth conditions for InAs/GaSb core-shell nanowires and the first electrical measurements.
[1] V. Mourik, K. Zuo, S. M. Frolov, S.R. Plissard, E. P. A. M. Bakkers, and L. P. Kouwenhoven, Science 336, 1003 (2012)
[2] E. M. Spanton, K. C. Nowack, L. Du, G. Sullivan, R.-R. Du, and K. A. Moler, Phys. Rev. Lett. 113, 026804 (2014)
[3] C. Liu, T. L. Hughes, X.-L. Qi, K. Wang, and S.-C. Zhang, Phys. Rev. Lett. 100, 236601 (2008)
[4] V. V. R. Kishore, B. Partoens, and F. M. Peeters, Phys. Rev. B 86, 165439 (2012)
9:00 AM - S3.09
Free-Standing InP Nanowire Array and Their Optical Properties toward Resource Saving Solar Cells
MuYi Chen 1 Eiji Nakai 1 Katsuhiro Tomioka 1 2 Takashi Fukui 1
1Hokkaido University Sapporo Japan2PRESTO, Japan Science and Technology Agency (JST) Kawaguchi Japan
Show AbstractIII-V compound semiconductor nanowire (NW) arrays have exhibited remarkable behavior in photovoltaic application [1]. The NW array structure has attractive advantages compared to traditional planar structures. In particular, a size- and position-optimized NW array can enhance absorption over a broad range of incidence angles. The lattice strain relaxation effect enables many material options for III-V compound semiconductor tandem solar cells (SCs) [2]. Nevertheless, the expensive production cost hinders the widespread commercialization for terrestrial application. Therefore, transferring the NW array to an inexpensive foreign substrate is of great importance. With the recycling of expensive III-V substrates, the amount of materials used to fabricate NW SCs can be reduced to 0.2% that of conventional III-V planar SCs. Furthermore, the NW array SCs are lightweight and flexible.
We have experimentally investigated the absorption of light in InP NW arrays in our efforts to develop such solar cells. The NWs were grown on patterned InP(111)A substrates partially covered with a SiO2 mask which were designed to be a periodic triangular array of openings. P-doped InP NW arrays were grown in a horizontal metalorganic vapor phase epitaxy (MOVPE) system. NWs were grown epitaxially at 650oC. Trimethylindium (TMIn) and tertiarybutylphosphine (TBP) were used as material sources, and diethylzinc (DEZn) was used as the p-type dopants. The NWs were properly aligned and highly uniform in length, diameter, shape, and position. The average height and diameter of the NWs were 1920 nm and 140 nm, respectively. We embedded the NW array in polydimethylsiloxane (PDMS), and peeled it off from the substrate to form a AuZn contact. We characterized its optical properties at three different states: before peel-off, after peel-off, and after electrode (AuZn) deposition. The sample with the optically thick substrate showed a very high average absorptance of 92%. However, when the array was peeled off from the substrate, the absorptance degraded, particularly in the longer wavelength region. Furthermore, after the AuZn was deposited on the back side of the NW array, the transmittance decreased, yet the reflectance did not exceed that of the NW array with the substrate, which poses the possibility that the AuZn absorbed some of the light. Through rear reflector optimization, this technology could open a new approach to NW-based photovoltaics with lower fabrication cost.
[1]J.Wallentin et al., Science 339(2013) 1057
[2] T. Fukui et al., Ambio 41(2012)119
9:00 AM - S3.10
Direct Bottom-up Synthesis of Inversely Tapered Silicon Nanowires
Stefan Thomas Jaeger 1 Amir Bani Hashemi 1 Steffen Strehle 1
1Univ of Ulm Ulm Germany
Show AbstractThe bottom-up synthesis of silicon nanowires is well known since the 60s when Wagner & Ellis introduced their metal catalyzed vapor-liquid-solid (VLS) concept [1]. VLS nanowires are commonly expected to grow as cylindrical structures with a diameter similar to the chosen catalyst size. However, one important aspect of the bottom-up paradigm is to gain full control over the nanowire synthesis including their morphology. Tapered nanowires can be readily obtained if the catalyst is consumed during nanowire elongation or if thermal overgrowth it present. Inversely tapered nanowires, with a top diameter larger than the bottom diameter, were in contrary only demonstrated with GaAs in a UHV system [2].
We demonstrate the synthesis of inversely tapered silicon nanowires with silan utilizing a non-UHV CVD system and thin VLS gold catalyst films. By accurately controlling the process parameters such as temperature, pressure and gas flow about 1 µm long inversely tapered nanowires with top diameters of almost twice the size of the bottom diameters and with side-wall angles in the range of 80° referring to the samples surface were grown. The dependency of the growth regime on the process parameters and the sample pretreatment (e.g. argon and hydrogen) was investigated. Our studies show also a transition from the inverse tapering growth regime to a cylindrical growth regime. This enables intrinsically to combine these different shapes together with tapering and kinking within one nanowire or in future to encode physical functions such as doping in the nanowire shape.
[1] Wagner, R. S. & Ellis, W. C. Appl. Phys. Lett., AIP, 1964, 4, 89-90
[2] Colombo, C.; Spirkoska, D.; Frimmer, M.; Abstreiter, G. & Fontcuberta i Morral, A. Phys. Rev. B, American Physical Society, 2008, 77, 155326
9:00 AM - S3.11
Surfactant-Assisted Chemical Vapor Deposition of High-Performance Small-Diameter GaSb Nanowires
SenPo Yip 1 Zaixing Yeung 1 Ming Fang 2 Hao Lin 1 Ho-Yuen Cheung 1 Chun-Yuen Wong 1 Johnny Chung Yin Ho 1
1City Univ of Hong Kong Hong Kong Hong Kong2City Univ of Hong Kong Kowloon Hong Kong
Show AbstractAlthough various device structures based on GaSb nanowires have been realized, further performance enhancement is still suffering from uncontrolled radial growth during the nanowire synthesis, resulting in non-uniform and tapered nanowires with diameters larger than few tens of nanometers. Here, we report the use of sulfur surfactant in chemical vapor deposition to achieve very thin and uniform GaSb nanowires with diameters down to 20 nm. In contrast to surfactant effect typically employed in liquid phase and thin-film technologies, the sulfur atoms are contributed to form stable S-Sb bonds on the as-grown nanowire surface, effectively stabilizing sidewalls and minimizing unintentional radial nanowire growth. When configured into transistors, these devices exhibit impressive electrical properties with the peak hole mobility of ~200 cm2V-1s-1, which is the best mobility value reported for any GaSb nanowire devices till now. All these evidently indicate the effectiveness of this surfactant-assisted growth for high-performance small-diameter GaSb nanowires.
9:00 AM - S3.12
Electrical Performance of a Columnar Liquid Crystal Deposited by Spin-Coating or Thermal Evaporation in a LED Device
Juliana Eccher 1 Gregorio Couto Faria 2 Harald Bock 3 Heinz von Seggern 4 Wojciech Zajaczkowski 5 Wojciech Pisula 5 Ivan Helmuth Bechtold 1
1Universidade Federal de Santa Catarina Florianoacute;polis Brazil2Universidade de Satilde;o Paulo Satilde;o Carlos Brazil3Centre de Recherche Paul-Pascal, CNRS Bordeaux France4Technische Universitauml;t Darmstadt Darmstadt Germany5Max-Planck-Institut for Polymer Research Mainz Germany
Show AbstractLiquid crystals (LCs) constitute a fascinating class of soft condensed matter characterized by the combination of fluidity and long-range order. Nowadays because of the spontaneous long-range order and facility of controlling their alignment over large areas, these materials are very attractive as organic semiconductors particularly in area of the organic electronics [1]. Disc-shaped LC molecules form self-assembled columnar mesophases, where the molecules are packed in stable columns due to overlap of the π-orbitals of their aromatic core, providing good charge carrier mobility along the columns. Because the conducting core of the discotic molecules is surrounded by insulating aliphatic chains the charge transport is expected to be almost one-dimensional [2]. Mobility strongly depends on the quality of the inter-and-intracolumnar alignment between the electrodes. In the homeotropic alignment or face-on orientation, the columns are oriented perpendicular to the electrodes. This configuration is ideal for applications in diode structures [3].
In this present work we investigated the electrical response of a columnar LC derivate of perylene-diimide-diester deposited either by spin-coating or thermal evaporation processes in a typical LED device. This material presents the columnar mesophase in a wide temperature range, including ambient temperature, making it attractive for practical applications. For both films the devices were submitted to the thermal annealing in order to get the homeotropic alignment. For the thin films produced by spin-coating the homeotropic alignment was induced, which enhanced charge carrier mobility significantly [4]. For the evaporated film the homeotropic alignment was not observed after annealing, but interestingly a high rectification degree was obtained even before annealing and its electrical response was rather similar to the aligned spin-coating film, indicating that the molecular packing in the evaporated film is more compact, favoring an efficient charge transport. A trap-limited space-charge-limited current model was utilized to calculate the carrier mobility directly from the current-voltage curves [4]. Grazing incidence wide angle x-ray scattering (GIWAXS) confirmed the homeotropic alignment of the annealed spin-coating film, while the columnar domains are mostly oriented parallel to the surface in the evaporated case. The evaporated film also exhibited a typical behavior of an n-type transistor when it was applied in bottom-gate bottom-contact geometry.
[1] Lagerwall, J. P. F.; Scalia, G. Current Applied Physics, 12, 1387-1412 (2012).
[2] O&’Neill, M.; Kelly, S. M. Adv. Mater., 23, 566-584 (2011).
[3] Sergeyey, S.; Pisula, W.; Geerts, Y. H. Chem. Soc. Rev., 36, 1902-1929 (2007).
[4] Eccher, J.; Faria, G. C.; Bock, H.; von Seggern, H.; Bechtold, I. H. ACS Appl. Mater. Interfaces, 5, 11935-11943 (2013).
9:00 AM - S3.13
Mechanical and Electrical Properties of Doped Silicon Nanowires
Rebecca Quardokus 1 Samuel Berweger 1 Thomas M. Wallis 1 Pavel Kabos 1 Sergiy Krylyuk 1 Albert V. Davydov 1 Frank DelRio 1
1National Institute of Standards and Technology Boulder United States
Show AbstractSemiconductor nanowires are promising candidates for use in nanowire-based devices such as sensors, batteries, and solar energy conversion. Varying the dopant and size of a nanowire affects both its mechanical and electrical properties. Scanning probe microscopes are appropriate tools for probing these properties at the nanoscale. Contact-resonance atomic force microscopy (AFM) is used to determine the mechanical properties of individual nanowires (i.e. elastic modulus), and ultra-high vacuum four-probe scanning tunneling microscopy (STM) is used to investigate the electrical properties (i.e. conductance). Through the use of AFM and STM, we are able to probe individual silicon nanowires and uncover the relationship between structure and performance.
9:00 AM - S3.14
Transport Properties of Topological Insulator Bi0.83Sb0.17 Nanowires
Leonid Konopko 1 2 Albina Nikolaeva 1 2 Tito Huber 3 Jean-Philippe Ansermet 4
1Ghitu Institute of Electronic Engineering and Nanotechnologies Chisinau Moldova (the Republic of)2International Laboratory of High Magnetic Fields and Low Temperatures Wroclaw Poland3Howard University Washington United States4Ecole Polytechnique Feacute;deacute;rale de Lausanne Lausanne Switzerland
Show AbstractWe have investigated the transport properties of topological insulator based on single-crystal Bi0.83Sb0.17 nanowires. The single-crystal nanowire samples in the diameter range 200 nm - 1.1 mu;m were prepared by the high frequency liquid phase casting in a glass capillary using an improved Ulitovsky technique; they were cylindrical single-crystals with (1011) orientation along the wire axis. In this orientation, the wire axis makes an angle of 19.5o with the bisector axis C1 in the bisector-trigonal plane. Bi0.83Sb0.17 is a narrow gap semiconductor with energy gap at L point of Brillouin zone ΔE= 21 meV. In accordance with the measurements of the temperature dependence of the resistivity of the samples resistance increases with decreasing temperature, but at low temperatures decrease in the resistance is observed. This effect, decrease in the resistance, is a clear manifestation of the interesting properties of topological insulators - the presence on its surface of a highly conducting zone. The Arrhenius plot of R in samples d=1.1 µm and d=200 nm indicates a thermal activation behaviour with an activation gap ΔE= 21 and 40 meV, respectively, which proves the presence of the quantum size effect in these samples. We found that in the range of diameter 1100 nm - 200 nm when the diameter decreases the energy gap is growing exponentially. We have investigated magnetoresistance of Bi0.83Sb0.17 nanowires at various magnetic field orientations. From the temperature dependences of Shubnikov de Haas oscillation amplitude for different orientation of magnetic field we have calculated the cyclotron mass mc and Dingle temperature TD for longitudinal and transverse (B||C3 and B||C2) directions of magnetic fields, which equal 1.96*10-2m0, 9.8 K, 8.5*10-3m0 , 9.4 K and 1.5*10-1m0 , 2.8 K respectively. The observed effects are discussed.
9:00 AM - S3.15
Size Dependent Strain Relaxation in InAs/III-As Core-Shell Nanowires
Caroline Anna Helmi Lindberg 1 Peter Krogstrup 1 Erik Johnson 1 Jessica Bolinsson 1 Jesper Nygard 1
1University of Copenhagen Copenhagen Denmark
Show AbstractPassivating the surfaces of nanowires with higher band-gap materials has shown to play an important role in improving their electrical properties [1],[2]. Recent literature on the structural properties of InAs/GaAs core-shell nanowires has shown that the large lattice mismatch between GaAs and InAs, around 7%, induces lattice relaxation through periodic edge dislocations at the interface, and the magnitude of the relaxations depend on the core to shell thickness ratio [3],[4]. Kavanagh et al also showed that the relaxations are different in the axial direction compared to the radial direction. Here, we present a study of passivating InAs nanowires with higher band-gap shell materials: GaAs and AlAs. The AlAs is a part of a double-shell system where it is passivated with a thin layer of GaAs to prevent oxidation of the shell when exposed to air. Whereas GaAs and AlAs have almost the same lattice constants, the differences in their strain relaxation properties rely on intrinsic differences in chemical bonding and the ability to strain elastically. The level of strain and relaxation is evaluated by analysing Moiré patterns obtained with transmission electron microscopy as well as the double diffraction spots originating from the different lattice spacings. Other materials systems that might be addressed for comparative purposes are GaSb and AlSb. Potentially, one can identify combinations of the core and shell which lead to dislocation free passivation.
[1] J W W van Tilburg, R E Algra, W G G Immink, M Verheijen, E P A M Bakkers and L P Kouwenhoven, Semicond. Sci. Technol. 25 (2010) 024011 (7pp)
[2] J. V. Holm, H. I. Joslash;rgensen, P. Krogstrup, J. Nygaring;rd, H. Liu & M. Aagesen, Nat. Comm. 4, 1498 (2013)
[3] K L Kavanagh, J Salfi, I Savelyev, M Blumin, H E Ruda, Applied Physics Letters 98, 152103 (2011)
[4] K L Kavanagh, I Savelyev, M Blumin, G Swadener, H E Ruda, Journal of Applied Physics 111, 044301 (2012)
9:00 AM - S3.16
Synthesis and Characterization of Ruthenium Complexes for Electro-Optical Applications
Cristian Salla 1 Hugo Braga 1 Bernardo Souza 1 Hugo Gallardo 1 Ivan Helmuth Bechtold 1
1Universidade Federal de Santa Catarina Florianoacute;polis Brazil
Show AbstractOrganic materials have been intensively investigated in the last years due to the promising applications in electro-optical displays. The use of transition metal complexes is a possible route to obtain intense light emission with a theoretical upper limit of inner quantum efficiency close to 100% due to mixing of singlet and triplet excited states via spin-orbit coupling [1]. Well known phosphorescent emitters with these characteristics are iridium, platinum, cupper, osmium and ruthenium complexes [2], being the ruthenium complex of particular interest due to its versatility and easiness of further modifications [3].
In this work, the synthesis and characterization of three ruthenium complexes is presented, one well known in the literature (Ru(bpy)3) and two new (Ru(LSe)3, (Ru(LS)3). The optical properties (absorption and photoluminescence) in solution and thin solid films are in the visible range and consistent with results of similar systems in the literature. Films were produced by spin-coating the solution with the complex and also by using it as a dopant in a polymeric matrix. Their morphological properties were investigated with an atomic force microscope, demonstrating to be adequate for device applications. Finally, diode structures were fabricated with the ruthenium complexes as active layer, showing pronounced electro-optical properties.
[1] Y. Kawamura, K. Goushi, J. Brooks, J. J. Brown, H. Sasabe, C. Adachi, Appl. Phys. Lett. 86 (2005) 071104.
[2] E. Holder, B.M.W. Langevelt, U.S. Schubert, Adv. Matt. 17 (2005) 1109-1121.
[3] Frank G. Gao and Allen J. Bard, J. Am. Chem. Soc. 122 (2000) 7426-7427.
9:00 AM - S3.17
Electrically Pumped Sb-doped p-type ZnO Nanowire based Random Laser Diode
Sunayna Binte Bashar 1 Mohammad Suja 1 Muhammad Monzur Morshed 1 Fan Gao 1
1University of California Riverside Riverside United States
Show AbstractRandom lasers have been a hot research topic recently. Here we report an electrically pumped Sb-doped ZnO nanowires/Ga-doped ZnO p-n homojunction random laser diode. Catalyst free Sb-doped ZnO nanowires were grown by chemical vapor deposition method on high-quality Ga-doped ZnO thin film on silicon (100) substrate. The morphology of the as-grown ZnO nanowires was characterized by using a scanning electron microscope (SEM), which reveals that most of the nanowires were randomly tilted by 10-15 degrees with respect to the normal of the substrate surface. The average length and diameter of these ZnO nanowires are around 1.5 mu;m and 100 nm, respectively. X-ray photoelectron spectroscopy (XPS) was used to demonstrate the dopant incorporation in the ZnO nanowires. The peak located at 540.8 eV corresponds to the electronic state of Sb 3d3/2, which suggests that Sb atoms substitute Zn atoms (SbZn). The p-type doping of the nanowires was confirmed by photoluminescence measurements at various temperatures and the acceptor activation energy is estimated to be 0.12 eV above the valence band. Current-voltage measurements showed a typical rectifying pminus;n diode characteristic and a threshold voltage of about 7.5 V. This result further confirms the effective p-type conductivity of ZnO : Sb nanowires. In addition, very good photo-response was observed in the UV region through photocurrent measurements operated at 0 V and different reverse biases, which again indicates that pminus;n junction has been formed. Lasing electroluminescence between 370 nm and 410 nm was observed at room temperature with a threshold current around 12 mA. The lasing peaks become stronger and sharper with increasing injection current. However, the spacing between the neighboring emission peaks and the peak positions between different measurements are not fixed, indicating typical random lasing. The output power was measured to be 150 nW at a drive current of 30 mA. The lasing mechanism is exciton-related recombination and the feedback is provided by coherent multiple scattering among the random-growth oriented nanowire.
9:00 AM - S3.18
Electrical Tuning of Electrode-Electrolyte Interface for Contiguous and Non-Contiguous Biosensors
Vikramshankar Kamakoti 1 Anjan Panneer Selvam 1 Shalini Prasad 2
1The University of Texas at Dallas Richardson United States2The University of Texas at Dallas Richardson United States
Show AbstractThe concept of affinity bio sensing involves the detection of target analyte in the sample through binding to a capture probe. The target analyte is present in an electrolyte and the capture probe is bound on to the electrode. The target analyte binds with the capture probe which would be immobilized at the surface of the electrode. The ability to investigate and evaluate the changes that take place at the sensor electrode/electrolyte interface is of significant value, as this information enables the design of ultra-sensitive and ultra-specific biosensors.
In this project we establish the design rules that drive the understanding of the modifications to the electrode/electrolyte interface that influences the biosensing action of the sensor. Our strategy involves the investigation of role of contiguous and non-contiguous electrodes and the associated double layer capacitance developed at the electrode-electrolyte interface. We will investigate the electrode performance due to the influence of varying concentration of the electrolyte. The electrical parameters would be varied to capture the specific and non-specific interactions between the capture probe and the target species. The variation of electrical parameters causes change in the height of the double layer at the electrode electrolyte interface. Thus the performance of the sensor would be evaluated in terms of specificity and selectivity of the biosensor for both contiguous and non-contiguous electrodes. The contiguous electrodes find application in rigid biosensors and the non-contiguous electrodes find application in flexible biosensors. The development of the device model would facilitate in investigating the performance of the biosensor. The interactions would be demonstrated for both silicon and non-silicon systems. The electrode-electrolyte interactions would be studied by varying the design of the electrodes and the concentration of the electrolytes. The dimensions of the electrode would be varied and the effect of varying electrode dimensions would be analyzed to study the effect on the changes of double layer capacitance at the electrode and electrolyte interface. The concentration of the target analyte in the electrolyte would be quantified as changes in the electrical parameters. These changes would enable to understand the optimum configuration of the electrode and the concentration of the electrolyte to be used in the biosensing applications for accurate detection of target analytes in a biosensor.
9:00 AM - S3.19
Self-Seeded GaAsP Ternary Nanowire Growth by MOCVD
Sema Ermez 1 Eric Jones 1 Silvija Gradecak 1
1Massachusetts Institute of Technology Cambridge United States
Show AbstractGaAsP nanowires are of interest due to band gap control between 1.42 eV and 2.26 eV by adjusting the phosphorus content. A dual junction tandem solar cell, with materials of 1.1 eV (i.e. Si) and 1.7 eV (i.e. GaAsP) band gap can have theoretical efficiencies of 34% at 1 sun AM0 illumination. Furthermore, composition dependent band gap and band alignment properties of nanowires are crucial in excitonic hybrid solar cells, in which nanowires are combined with polymers or quantum dots. Exciton dissociation efficiency of hybrid solar cells depends on the band edge offset between nanowire and polymer/quantum dot. Bottom-up ternary nanowire growth can be achieved by self-seeded nanowire growth mechanism, where one of the elements of the nanowire is used as seed particle, and possible contamination from foreign metal seed particles is eliminated. Self-seeded GaAsP nanowires grown via molecular beam epitaxy have been reported in literature, but metal-organic chemical vapor deposition (MOCVD) has not been explored so far despite the fact that MOCVD is an industrial-scale technique that can yield high growth rates and high nanowire throughput.
In this study, GaAsP ternary nanowires were grown by MOCVD using in-situ deposited Ga droplets as seed particles. Self-seeded nanowire growth is realized by a two-step approach: (1) in-situ Ga droplet formation, where Ga precursor is deposited at high temperatures (500°C - 600°C) to form Ga droplets on the GaAs substrate, and (2) nanowire growth at lower temperatures (~420-460°C) using Ga droplets as seed particles. We focus on the homogeneity of ternary nanowire composition across the nanowire length. Furthermore, we explore the effect of growth temperature and ratio of group V precursors (arsine and phosphine) on the composition of GaAsP nanowires.
9:00 AM - S3.20
Modulation of Silicon Nanowires by Combination of Gas Flow and Metal Catalyst
Dong-jea Seo 1 Jaejun Lee 1 Sung Wook Kim 1 Heon-Jin Choi 1
1Yonsei University Seoul Korea (the Republic of)
Show AbstractSilicon nanowires (SiNWs) have potentials for many applications including electronics, sensors, energy conversions and photonic devices owing to their novel physical and chemical properties. Meanwhile, structural modulation of SiNWs is critical to exploit the potential and to realize the devices with advanced performance. Si NWs are generally grown by the vapor-liquid-solid (VLS) mechanism with assist of metal catalysts. The mechanism is simple and versatile to prepare Si NWs, however, structural modulation with the mechanism is difficult because the liquid droplet confines the shape of NWs in a form of rod and limits for further modulation.
We grow silicon nanowires (SiNWs) using metal catalysts of gold (Au), titanium (Ti), manganese (Mn), iron (Fe) under high flow rate of hydrogen. It has revealed that such a combination of types of catalyst and high flow rate of gas makes possible to grow various SiNWs, including kinked SiNWs (with Au), ultra-thin Si NWs with diameters about 5 nm (with Ti), rough surface SiNWs (with Mn), and ribbon shape SiNWs with tens of micron of width (with Fe). The high flow rate of gas in each case affect unusual way, for example, creation of unstable vapor-liquid-solid (VLS) interfaces(Au), active etching of metal catalyst (Ti), activation of rather inert metal catalyst (Mn), and continuous dissolving catalytic element into liquid alloy droplet in the VLS mechanism (Fe). Our results demonstrate combinatorial approach for the structural modulation of Si NWs via VLS mechanism.
9:00 AM - S3.21
Observation of Anisotropy in Thermopower in Pure and Sn - Doped Bi Nanowires Induced by Confinement Effect and Elastic Deformation
Albina Nikolaeva 1 2 Leonid Konopko 1 2 Tito Huber 3 Anna Tsurkan 1 2 Oxana Botnari 1
1D. Ghitu IEEN Chisinau Moldova (the Republic of)2International Laboratory of High Magnetic Fields and Low Temperatures Wroclaw Poland3Howard University Washington United States
Show AbstractMeasurements of the thermopower of the complete set of pure and Sn-doped Bi wires as a function of diameter, crystallographic orientation, magnetic field, and elastic deformation have been conducted in a range of 2.1-300 K.
Single-crystal Bi and Bi-Sn wires in a glass capillary with the (1011) orientation were prepared by the liquid phase casting method. The wires with the trigonal orientation along the wire axis were prepared by seeded zone recrystallization.
It is shown that low magnetic fields, Sn doping, size quantization effects, and elastic tension lead to a significant increase in the absolute value of thermopower and its anisotropy at 250-300K. This is an important result for applications of long (~10 m) nanowires in a glass capillary for anisotropic thermoelectric generators.
9:00 AM - S3.22
Magneto- Thermoelectric Properties and Quantum Oscillations in Bi1-xSbx Nanowires in Semimetal, Gapless and Semiconductor Region
Albina Nikolaeva 1 2 Leonid Konopko 1 2 Tito Huber 3 Jean-Philippe Ansermet 4 Pavel Bodiul 1 Ivan Popov 1
1D.Ghitu IEEN Chisinau Moldova (the Republic of)2International Laboratory of High Magnetic Fields and Low Temperatures Wroclaw Poland3Howard University Washington United States4ICMP, Ecole Polytechnique Feacute;deacute;rale de Lausanne(EPFL) Lausanne Switzerland
Show AbstractWe have studied the electric transport and magnetothermoelectric properties of Bi1-xSbx nanowires with different diameters in semimetallic, gapless, and semiconductor states. Single- crystalline Bi1-xSbx nanowires in a glass coating have been prepared by the liquid phase casting method. High-quality Bi-2at%Sb nanowires undergo the transition into a semiconductor state due the quantum size effect at five times higher diameters than in the case of pure Bi.
The effect is accompanied by the thermopower sign inversion and the presence of negative magnetoresistance in a transverse magnetic field at low temperatures.
In a gapless state (x = 0.05-0.06), the Bi1-xSbx wires exhibit a sharp abnormal change in the thermopower from -100 to +200 mu;V/K in a very narrow range of weak magnetic fields.
We observe a complex behavior in R(T), α(T) and ShdH oscillations of the Bi1-xSbx nanowires in the semiconductor region (x = 0.15-0.17), which provides the presence of a surface state in topological insulators.
This work was supported by project Mold-Belarusian 13.820.05.12BF.
9:00 AM - S3.23
Architecture Dependent Electrical Property in Silver Nanowire Electrodes with Sol-Gel ZnO Layer
Dongwook Ko 1 Bongjun Gu 1 Jongbok Kim 1
1Kumoh National Institute of Technology Gumi Korea (the Republic of)
Show AbstractTransparent and flexible electrodes are essential to achieve wearable electronics, which are usually fabricated with metal nanowire networks. Here, we construct such electrodes with silver nanowires and enhance their electrical properties via introducing sol-gel ZnO layers. Specifically, we explore four different architectures to improve electrical properties and to study their improvement mechanism in silver nanowire based transparent electrodes; after preparing sol-gel ZnO on silver nanowire network and silver nanowire network on sol-gel ZnO, we measure electrical resistance using the electrodes on their top or bottom. Reference silver nanowire electrodes without ZnO layer show the linear resistance of approximately 150 ohm. Then, introducing ZnO layer on the top or bottom of silver nanowire network lowers electrical resistance. First, when measuring electrical resistance with top electrodes, coating ZnO on silver network lowers electrical resistance by 20%. Adopting ZnO layer on the bottom of silver nanowires further decreases the resistance. Its resistance is around 60 ohm. However, it represents opposite trend that electrical resistance is measured with the electrodes on the bottom of functional layers. ZnO layer on top of silver nanowire network shows lower resistance than that of silver network on ZnO layer. Thus, we conclude that while supplementary role, where ZnO layer fills the empty space between the wires, is helpful for electrical flow, it can hinder electrical flow that ZnO layer covers all silver nanowires due to its high resistance compared to silver nanowires. In addition, we speculate the contribution ratio of several parameters to decrease electrical resistance via comparing electrical resistances of four different architectures. Finally, we introduce such silver nanowire electrodes with ZnO layers into organic solar cells. Silver nanowire electrodes coated with ZnO layer achieve the best device performance due to their high electrical conductivity.
9:00 AM - S3.24
Surface Enhanced near Band Edge Emission from ZnO Nanorod Arrays with Al2O3 Coating Layer and Au Nanoparticles
Yousong Gu 1 Tao Zhang 1 Yue Zhang 1
1University of Science and Technology Beijing Beijing China
Show AbstractA two steps surface modification method was employed to greatly enhance the near band edge emission (NBE) from ZnO nanorod arrays (NRAs). Firstly, Al2O3 nanocrystal coating layers were deposited by atom layer deposition (ALD) to form core-shell structures on ZnO NRAs synthesized using hydrothermal method. Secondly, Au nanoparticles were prepared on ZnO/ Al2O3 core-shell NRAs by direct anionic exchange (DAE) method. It is found that near-band-edge (NBE) emission is enhanced by 20 times and the green-yellow luminescence is almost completely suppressed after surface modification. This work showed a perfect means to obtain NBE in NRAs. Low temperature photoluminescence (PL) measurements were carried out to investigate the changes of electronic structures of the samples during surface modification process. Al2O3 shell prevents the formation of surface states due to oxygen absorption and resulted in a strong neutral donor bound exciton (D0X) emission. Au nanoparticles enhance surface plasmon and resulted in a strong free exciton (FX) emission. The two combined processes lead to the defect emission suppressed to noise level and NBE emission enhanced greatly.
9:00 AM - S3.25
Direct Bandgap Redshift of Strained Germanium Nanowires Measured by Photocurrent Spectroscopy
Kevin Guilloy 1 Nicolas Pauc 1 Pascal Gentile 1 Samuel Tardif 1 Francois Rieutord 1 Alban Gassenq 1 Vincent Calvo 1
1CEA, INAC-SP2M Grenoble France
Show AbstractGermanium has been highly investigated as a potential light emitting material for the integration of photonic devices on silicon-based electronics. However, due to the indirect nature of its bandgap, most attempts to fabricate an efficient group IV light source failed until the recent discovery that a Ge waveguide with convenient doping and strain state could achieve laser operation [1]. We present here an experimental study of the influence of tensile strain on the direct bandgap of Vapor-Liquid-Solid (VLS) grown germanium nanowires.
To probe the electrical properties of the germanium nanowires, p-i-n junction nanowires have been fabricated and single wires have been electrically analyzed using the photocurrent spectroscopy technique. This technique is an efficient tool to measure any strain-induced band edge shift in nanowires since the absorption threshold corresponds to the direct bandgap of germanium. Nanowires have been strained by applying a fixed elongation at their ends, inducing a uniaxial stress along the <111> direction, using a microfabrication process based on silicon nitride pre-stressed membranes. Photocurrent analysis has shown a 70 meV shift toward lower energies while X-Ray Laue microdiffraction measurements at ESRF synchrotron exhibit a 1.5% uniaxial strain along the axis of the nanowire. These results, according to deformation potential models [2], are in good agreement with the theoretical Γ-HH transition.
Références :
[1] Liu et al, Ge-On-Si Laser Operating At Room Temperature, Optics Letters, 35 (2010).
[2] Van De Walle, Band Lineups and Deformation Potentials in the Model-Solid Theory, Physical Review B, 39 (1989).
9:00 AM - S3.26
Template-Free and Low Temperature CVD Synthesis of Vertically Aligned 1-D ZnO Nanostructures for Photovoltaic Devices by Precursor Oxidation Protection
Taehoon Lim 2 1 Alfredo A. Martinez-Morales 1
1CE-CERT Riverside United States2UC Riverside Riverside United States
Show AbstractZinc oxide (ZnO) is a crystalline material with diverse morphology, large bandgap and high visible light transparency. All of these properties make ZnO a suitable material for applications in optical devices such as photovoltaic cells and photodiodes. Particularly, for photovoltaic applications, it is necessary to grow ZnO on a transparent conducting oxide (TCO) substrate. In this work, vertically aligned 1-D ZnO have been synthesized on a TCO substrate through chemical vapor deposition (CVD). Although previously ZnO nanostructures have been synthesized at low temperatures through the use of a Zn powder precursor, oxidation of the precursor during synthesis remains a significant limiting factor, limiting the length of vertically aligned 1-D structures to below 6 um.
In this work, ZnO is synthesized under lower temperatures through the prevention of precursor oxidation and control of Zn vapor fluid dynamics. Partial pressure of Zn vapor—a significant factor in the morphology and quality of product—is controlled and maintained. In our results, we present the morphology and crystal structure of ZnO synthesized at different conditions observed by scanning electron microscopy (SEM) and x-ray diffraction (XRD). The growth mechanism of each structure in regards to the partial pressure of Zn vapor is discussed. We also demonstrate the fabrication of dye-sensitized solar cell (DSSC) with synthesized 1-D ZnO as a photoelectrode and analyze the photovoltaic characteristics and performance.
9:00 AM - S3.27
Thermoelectric Properties and Thermal Tolerance of Indium Tin Oxide Nanowires
Jose Alberto Hernandez-Perez 1 Jennifer Carpena-Nunez 1 Luis Valentin 1 Luis Fonseca 1
1University of Puerto Rico - Rio Piedras Campus San Juan United States
Show AbstractHigh crystalline quality Indium tin oxide (ITO) nanowires were grown via vapor-liquid-solid method at 850 oC, with Sn in the 3 - 5% concentration range. Pristine nanowires were annealed at 1300 oC in air environment for 30 minutes, to determine their thermal tolerance, as a promising transparent conducting oxide (TCO) that can operate in harsh environments. XRD and TEM-SAED suggest a body centered cubic structure with Ia3 (206) symmetry group, assuring that good crystalline quality is maintained during annealing. Their electrical and thermal conductivities, and the Seebeck coefficient were measured in each individual nanowire before and after annealing using specialized microdevice at different temperatures between 190 K and 370 K. The Seebeck coefficients at room temperature of two samples before and after annealing were, 47; 53 uV/K and, 52; 68 uV/K , respectively. Which confirms the stability of the nanomaterial at high temperatures and makes it a good candidate to develop temperature sensors and thermoelectric energy nanodevices, that can operate at temperatures above 1000 oC. Details will be given in the presentation
9:00 AM - S3.28
Synthesis, Luminescence and Micro-Raman Study of In2Ge2O7 and Nanobelts and Nanowires
Pedro Hidalgo Alcalde 1 Yanitza Wilson 1 Yanicet Ortega 1 Javier Piqueras 2
1Fac. Ciencias Fiacute;sicas - Univ. Complutense de Madrid Madrid Spain2Univ Complutense-Madrid Madrid Spain
Show AbstractIndium germanate (In2Ge2O7) nanobelts and nano- and microwires have been synthesized by a thermal evaporation-deposition method and their luminescence properties have been investigated. High-resolution transmission electron microscopy shows the growth direction of the nanobelts. Cathodoluminescence in the scanning electron microscope and photoluminescence show the existence of a broad complex emission band with several components in the range 1.7-2.8 eV and a band at 3.2-3.3 eV. Comparison of the observed luminescence with the luminescence of GeO2 suggests that some of the components are related to the presence of Ge2O7 groups in the germanate crystal structure and not to the sheets of InO6 octahedra of the structure. Waveguiding behaviour of In2Ge2O7 nano- and microwires has been demonstrated under excitation with 325 nm light. Raman spectra of the nanobelts have characteristic peaks which could enable to correlate specific Raman features with the presence of In2Ge2O7 and to use this technique for indium germanate assessment.
9:00 AM - S3.29
Synthesis and Spintronic Application of Room Temperature FeMnSi Nanowires
Angel Roberto Ruiz-Reyes 1 Jose Alberto Hernandez-Perez 1 Luis Fonseca 1
1University of Puerto Rico. Rio Piedras Campus San Juan United States
Show AbstractWe report the synthesis of FeMnSi nanowires via chemical vapor deposition (CVD). Magnetic chatacterization indicates that the nanowires posses a remnanent magnetization of 2 x 10-03 emu at room temperature. The nanowires thickness ranged between 100nm and 200 nm. XRD and Electron Diffraction measurement were made to obtain the crystal properties of the nanowires. EDS characterization confirmed the presence of Manganese in the nanowires. Electrical test shows that the nanowires behave like a semiconductor featuring a resistance of ~307 W at 130 K and ~282 W at 282K. The comparison between the magnetic properties of these nanostructures and Mn-ion implanted FeSi samples is discussed.
9:00 AM - S3.30
Patterning Anodic Porous Alumina with Resist Developers for Patterned Nanowire Formation
SeungYeon Lee 1 Daniel Wratkowski 1 Jeong-Hyun Cho 1
1University of Minnesota, Twin Cities Minneapolis United States
Show AbstractCurrently, fabrication of thick anodic aluminum oxide (AAO) templates with patterning is of great interest since patterned porous alumina can be used as a template for semiconductor and metal nanowire synthesis. The synthesized nanowires, in turn, can be integrated into the development of sensors and optical devices. The conventional way of patterning an AAO template, which involves wet or dry etching processes to make desired nanowire patterns, requires multiple lithographic processes. The problems with the conventional way are it leads to low yield and high fabrication cost. Moreover, because the template usually requires a thick layer to form high aspect ratio porous structures, it is extremely hard to realize submicrometer scale patterns with a high aspect ratio using conventional lithography processes. In order to resolve these issues, we have developed a novel fabrication process which adopts two properties of resist developers; namely, developing a resist layer and etching metals. The developer makes resist patterns and then starts to etch the aluminum layer, resulting in regions (patterns) of varying thickness on the Al surface before anodization. This method allows to form nanoporous structures at early stages of the anodization on the patterned region and to grow semiconductor and metal nanowires through the nanopores template. This new approach does not require a metal oxide mask layer to protect the Al layer before an anodization process or to cover nanopores before metal nanowire growth. Therefore, it will reduce the cost of building metal nanowire-based sensors and devices.
9:00 AM - S3.31
Novel Electrical and Photoelectrical Properties of Isolated SnO2 Nanobelts: Hopping Conduction, Quantum Wires, Persistent Photoconductivity and Metal-to-Insulator Transition
Emilson R. Viana 1 2 Juan C. Gonzalez 2 Geraldo Mathias Ribeiro 2 Alfredo Gontijo de Oliveira 2
1Universidade Tecnoloacute;gica Federal do Paranaacute; Curitiba Brazil2Universidade Federal de Minas Gerais Belo Horizonte Brazil
Show AbstractA study of the electrical and photoelectrical properties of isolated SnO2 nanobelts is presented in this work. The nanobelts were grown by the vapor-liquid-solid method and, by using optical lithography, were fabricated field-effect-transistors in order to study the nanobelt's electrical transport in different temperatures and atmospheric conditions.
The electrical transport of individual nanobelts was measured in darkness by measuring the resistivity as a function of temperature. Several models were used to analyze the experimental data in different temperature regions: thermally activated conduction in the conduction band, nearest-neighbor hopping conduction in a defect band and variable range hopping conduction in a defect band. Through these studies it was possible to show that despite to the expected 1D transport in this thin nanoestructures, the nanobelts behave as a three-dimensional system from the hopping conduction point of view.
The conductivity of the nanobelts was also measured as a function of temperature under ultra-violet illumination. Calculations of the photo-induced charge density is so high that for temperatures higher than 150 K it exceed the Mott critical density and the nanobelt transit from the insulating or semiconducting state to the metallic state. This metal-insulator transition was experimentally observed at 240 K. The observation of this transition demonstrate the potential of these nanostructures for applications in new kind of electronic devices. This phenomena was attributed to the large degree of disorder in the nanobelts.
The effect of the quantization of the conduction in the conduction band of was observed in the nanobelts trough oscillations in the source current vs. gate voltage curve of the transistors, at low temperatures. The quantum confinement of electrons creates energy sub-bands that are filled by changing the Fermi level in the material with the gate voltage. A maximum energy separation of 5.5 meV was estimated between the sub-bands, in agreement with the flattening of the current oscillations for temperatures above 50 K.
The photoconductivity of SnO2 nanobelts was measured as a function of temperature and in different atmospheres: air, helium, vacuum. Under ultraviolet illumination it was observed a fast and strong enhancement of the photoconductivity. This effect is enhanced at low temperature and low oxygen concentrations in the atmosphere. When the light is turned off the induced photocurrent slowly decays with lifetimes up to several hours, characterizing the Persistent Photoconductivity effect. This effect was explained in terms of the adsorption and desorption of molecular oxygen at the surface of the nanobelts. The temperature dependence of the persistent photoconductivity was explained in terms of the thermal activation of holes from a shallow acceptor to the valence band of the material, with activation energy of 230 meV.
9:00 AM - S3.32
GaN, InxGa1-xN and InN Nanorods Coalescence in Plasma-Assisted Molecular Beam Epitaxy
Sergei V. Novikov 1 L. E. Goff 1 Anthony J. Kent 1 C. T. Foxon 1 A. Fischer 2 Fernando A. Ponce 2 R. Webster 3 D. Cherns 3
1University of Nottingham Nottingham United Kingdom2Arizona State Univ Tempe United States3University of Bristol Bristol United Kingdom
Show AbstractInxGa1-xN alloys are now actively studied worldwide for solar cell applications. InxGa1-xN alloys have a direct band gap from 0.7 to 3.4eV, which covers most of the visible spectrum. However, the material quality of the InxGa1-xN alloys with intermediate composition remains poor. The growth of nanorods promises a possible solution to the InxGa1-xN material problems.
The growth of InxGa1-xN nanorods by plasma-assisted molecular beam epitaxy (PA-MBE) has been investigated for over a decade. It is now well-established that 3-D growth leading to nanorods can be achieved over the full composition range by a low III:N ratio. The growth of discrete nanorods, which have been found to be mostly free of threading defects, is a catalyst-free process, thus promising good control over material quality and doping.
Together with the other groups we have demonstrated the growth of GaN nanorods by PA-MBE on (0001) sapphire surfaces under N-rich conditions. We have shown that, by changing from N-rich to Ga-rich conditions, the growth mode can be changed from vertical to lateral, leading to growth of a continuous overlayer. As a result of growing the nanorod layer, many of the threading defects present in the intermediate layer are eliminated, leading to coalesced GaN overlayers with lower threading defect densities.
Our current studies aim to establish InxGa1-xN as a basis for high efficiency solar cells, by using nanorod geometry to overcome materials limitations associated with high densities of threading defects and layer strains that affect continuous InxGa1-xN epilayers. We have studied PA-MBE growth of InN and InxGa1-xN on Si (111) and 6H-SiC substrates. We have established the MBE growth conditions required for the growth of InN and InxGa1-xN nanorods. We have investigated the MBE growth conditions required for efficient coalescence of InxGa1-xN nanorods over a wide composition range. Transmission Electron Microscopy (TEM) showed that the nanorods grew mostly as perfect crystals, and that, after coalescence, threading dislocations were mostly confined to grain boundaries. Photoluminescence (PL) and catholuminescence (CL) demonstrated unusually bright luminescence in the range 550-750nm for InxGa1-xN coalesced layers with x~0.5.
In the current presentation we will discuss differences and similarities in the coalescence processes of GaN, InN and InxGa1-xN nanorods by plasma-assisted MBE.
9:00 AM - S3.33
Large-Area Alignment of Tungsten Oxide Nanowires over Flat and Patterned Substrates for Room Temperature Gas Sensing
Wei Cheng 1 Yanrui Ju 1 Payam Payamyar 1 Darinka Primc 1 Jingyi Rao 1 Christoph Willa 1 Dorota Koziej 1 Markus Niederberger 1
1ETH Zurich Zurich Switzerland
Show AbstractAlignment of metal oxide nanowires over a large area of flat and patterned substrates is a prerequisite to use their collective properties in devices. As n-type semiconductors, tungsten oxides (WO3-x) have promising applications in gas sensors, electrochromic devices, or as photocatalysts. Ultrathin (diameter less than 10 nm) tungsten oxide nanorods and nanowires have already been synthesized and assembled for electrochromic applications. However, up to now, no successful attempts to align them for gas sensing applications have been reported.
In this work, we report the synthesis of colloidally stable, ultrathin W18O49 nanowires, their alignment with high directional order over a macroscopic area and their H2 sensing properties at room temperature. The nanowires are prepared by a facile solution method. They are single crystalline, with diameters of about 1.7 nm and aspect ratios larger than 100. Most importantly, they are highly dispersible in chloroform and chlorobenzene, enabling the use of these dispersions for the alignment experiments by Langmuir-Blodgett technique. The flexible ultrathin nanowires can be successfully oriented over a large scale and transferred to Si/SiO2 substrates patterned with Pt interdigitated electrodes. The aligned W18O49 nanowire thin films exhibit excellent sensing performance towards hydrogen in humid air, at room temperature, indicating their high potential for gas sensors. The strategy developed here represents one of the few examples, where nanoscale building blocks are successfully integrated in a macroscopic device.
9:00 AM - S3.34
Investigating the Defects Responsible for Water Wetting of ZnO Nanowires and Their Photoinduced Reversible Wetting Properties
Kavita Yadav 1 B. R. Mehta 1 J. P. Singh 1
1Indian Institute of Technology Delhi New Delhi India
Show AbstractSuperhydrophobic surfaces are often found on the plant leaves and insects wings in nature. Water beads up on these surfaces and it is extremely difficult to wet these type of surfaces. This interesting phenomenon has stimulated extensive research to make artificial superhydrophobic surfaces and to employ them for various practical applications such as protective coating for electronic circuit devices, self-cleaning and anti-contamination coatings for solar panels/smart windows and automobile industries.
ZnO nanowires (NWs) were synthesized by chemical vapor deposition method. The as-synthesized NWs are superhydrophilic with contact angle (CA) = 00 whereas the CA recovered to 155.70 with dark storage of the sample for about 50 days. The defects behind wetting properties of ZnO NWs are investigated by using Photoluminescence, Raman and FTIR measurements. Also, the transition in CA from superhydrophobic to superhydrophilic ZnO NWs is investigated in the presence of UV-light and in three different atmospheres; air, oxygen and hydrogen gases. The exposure of ZnO NWs samples to UV light in presence of oxidizing (O2)/reducing (H2) atmosphere affect the rate of transition of CA. The results show that superhydrophobic ZnO NWs samples show higher concentration of oxygen vacancy defects whereas the superhydrophilic ZnO NWs samples are rich with oxygen contents. Also, the CA transition rate on ZnO NWs is faster in presence of O2 atmosphere and slower in H2 atmosphere as compared to normal ambient atmosphere. The different rate of transition of CA from 155.70 to 00, clearly indicates that oxygen vacancies are not responsible for the superhydrophilicity of ZnO nanowires but the adsorbed oxygen at the surface of ZnO nanowires is responsible for their superhydrophilicity.
These superhydrophobic nanowires can be used for practical application. We have coated these superhydrophobic ZnO nanowires on different transparent substrates like PDMS, glass and quartz. It was found that the ZnO nanowires convert these surfaces as superhydrophobic without much affecting their transparency (>65%). Also these ZnO coated substrates show good conductivity. So, here we provided a clear idea about the defects responsible for wetting of ZnO nanowires and a facile route to prepare transparent, conducting and superhydrophobic surfaces which can be used in future nanodevices.
9:00 AM - S3.35
Thermionic Field Emission Transport at Nanowire Schottky Barrier Contacts
Kan Xie 1 Steven Allen Hartz 1 Virginia M. Ayres 1
1Michigan State University East Lansing United States
Show AbstractSemiconducting nanowires represent a new class of device building blocks with properties enhanced by their small size and their large aspect ratios. To harness their outstanding device potential, it is urgent to fully understand and to control the contacts. The high carrier concentrations typically reported for nanowire devices indicate that when Schottky barrier transport is present, it involves a significant quantum tunnelling component. This further indicates that transport through the controlling barrier occurs in the Thermionic Field Emission transport regime, with its substantial but not exclusive tunneling component. Thermionic Field Emission analysis of device performance is accurate but difficult due to the multivariate nature of this model. In recent work, we developed a mathematical stability approach that greatly simplified the evaluation of the multivariate thermionic field emission parameters and enabled a first-time analysis of the barrier heights, tunneling probabilities and potential drops for changes in the Schottky barriers of gallium nitride nanoFETs in a long-duration heavy ion radiation extreme environment. In the present work, we capitalize on these capabilities to investigate key questions regarding nanowire versus Schottky barrier potential drops as a function of effective electrical contact areas and the ratios of tunneling current to thermionic emission current.
9:00 AM - S3.36
Non-Linear Superresolution Microscopy Techniques for Nano-Materials
Ryan Beams 1 Stephan Stranick 1
1National Institute of Standards and Technology Gaithersburg United States
Show AbstractTo further characterize semi-conductor properties and devices requires measurement techniques capable of probing local interactions at the nano-scale. Historically this has been largely accomplished through a variety of scanning probe techniques. However, these techniques are generally limited to surface interactions. Recent advances in superresolution microscopy provide a suitable alternative for measuring the optical properties of materials at the nano-scale. While superresolution techniques have been pervasively applied to biological systems, many of the techniques rely on fluorescent markers, which are of limited use for semi-conductor materials. Here we demonstrate a general superresolution technique based on applying a phase-mask, known as a Toraldo filter, to the excitation beam. This approach allows for a variety of non-linear techniques to be applied to condensed matter samples, including four-wave mixing, coherent anti-Stokes Raman scattering, two photon luminescence, and second-harmonic imaging. We have applied this technique to gold nano-wires [1] as well as imaging the domains in poly-crystalline materials.
[1] H. Kim, G. W. Bryant, and S. J. Stranick, Opt. Express 20, 6042 (2012).
9:00 AM - S3.38
Surface Modification of the Electronic Structure of Sn Nanowires
Alfonso Sanchez-Soares 1 Sarah L.T. Jones 1 James C. Greer 1
1Tyndall National Institute, University College Cork Cork Ireland
Show AbstractSummary Semi-metallic alpha-tin is known to become semiconducting at low dimensions due to quantum confinement effects. Exploitation of this size-dependent variation in electronic properties has been proposed in the design of next generation electronic devices [1]. In this work, we employ density functional based methods to further explore the impact of crystal orientation, wire diameter, surface passivation and uniaxial stress on the electronic structure of Sn nanowires. In particular we find that control of the nanowire bandgap is possible by appropriate choice of surface termination. Our findings offer the potential to devise novel manufacturing schemes eliminating issues associated with dopants.
Beyond CMOS device concepts enable further scaling of complex logic devices for general use beyond the technological and economic limits of present CMOS technology. In particular, nanowire-based field effect transistors are a promising candidate for replacing or extending current CMOS technology as they exhibit enhanced electrostatic control and the potential for low power device operation. A device based on semimetallic α-Sn in which quantum confinement effects are exploited to create a semiconducting region has already been proposed [1]. In this work we further explore the effects of crystal orientation, surface chemistry, wire diameter and uniaxial strain on electronic structure. Understanding these fundamental physical properties will enable novel transistor designs on nanoscale lengths.
Nanowire (NW) structures have been modelled from bulk α-Sn (diamond) crystal structure as highly idealized infinitely long 1D periodic structures. We consider the effect of crystal orientation and surface passivation on the electronic properties of Sn NWs using density functional theory simulations [2-4]. We have chosen four terminating groups with differing electronegativities for this study: CH3, H, OH, F.
The Sn NW band gap is strongly dependent on crystal structure orientation and surface termination. Transition from semimetallic to semiconducting behaviour occurs at different diameters depending on the combination of crystal orientation and surface passivation. In addition to the possibility of engineering metallic / semiconducting interfaces by varying the diameter along a NW reported in [1], our findings also offer the prospect of Sn NW Schottky junctions through band gap modulation induced by varying the surface passivation along the NW length.
References
[1] Ansari, L., Fagas, G., Colinge, J.P., and Greer, J.C. (2012), Nano Letters, 12 (5)
[2] P. Giannozzi et al. (2009), J. Phys.:Condens. Matter 21 (395502)
[3] Ozaki, T. and Kino, H. (2004), Phys. Rev. B, 69 (195113)
[4] http://www.openmx-square.org/
9:00 AM - S3.39
Solar Energy-Driven Photoelectrochemical Biosensing and Cellular Interfacing Using TiO2 Nanowires
Jing Tang 1 Jun Li 1 Gengfeng Zheng 1
1Fudan University Shanghai China
Show AbstractThe solar energy-driven photoelectrochemical water splitting and hydrogen production have been continuing to drive substantial developments in new materials and methods for further optimizing the photoconversion efficiency and reducing the fabrication cost. Meanwhile, these studies have been inspiring many research efforts in utilizing the photoelectrochemical conversion platform to investigate the chemical/bio targets in life sciences. Here, we would like to present our recent works in designing and fabrication of several TiO2 nanowire-based hybrid structures that are capable of being tailored during synthesis and post-functionalized for molecular recognition and photoelectrochemical catalysis. Attributed to their suitable band alignment and good photoactivity, these TiO2 nanowire-based structures are capable of solar energy-driven, real-time, sensitive detection of a variety of biologically important molecules for cellular signaling and enzymatic functions. In addition, the excellent chemical stability biological benignity of TiO2 nanowire arrays allow for direct interfacing with live cells, thus enabling in-situ photoelectrochemical interrogation of many molecular targets. Further development of these hybrid TiO2 nanowire photoanodes may suggest new structures with enhanced photoactivity and sensitivity, which can lead to new exciting studies of the interfacing of nanomaterials and biomaterials.
9:00 AM - S3.40
Optical investigation of wurtzite GaP nanowires
Jos Haverkort 1 Simone Assali 1 Michiel de Moor 2 Marcel Verheijen 3 Ilaria Zardo 1 Erik Bakkers 1 2
1Eindhoven University of Technology Eindhoven Netherlands2Kavli Institute of nanoscience Delft Netherlands3Philips innovation Services Eindhoven Netherlands
Show AbstractTheoretical band structure calculations for Gallium Phosphide (GaP) predict a direct band gap when this material is grown with the wurtzite (WZ) crystal structure1-2, opening up new possibilities for white LED technology3. Here, we will discuss the direct nature of the band gap4 of the WZ GaP nanowires using Photoluminescence (PL) and Photoluminescence Excitation (PLE) measurements. We observe three very sharp exciton emission peaks at high excitation power, which can be attributed to transition between the Γ8c conduction band and the Γ9v heavy hole, the Γ7v light-hole and the Γ7v split-off bands. Surprisingly, the excitonic transition between Γ8c and the Γ7v split-off bands shows a 0.75 ns lifetime and efficient radiative recombination. The excitonic peaks are further investigated by using a correlation between the PL and PLE. In order to provide a deeper understanding of the band structure of the WZ GaP nanowires, polarization-dependent PL-PLE measurements on transferred nanowires will be discussed. This allows us to address the nature of the optical transitions with respect to the theoretical predicted values1-2 and the expected selection rules for the WZ crystal phase5.
References:
1 A. Belabbes, et al., Phys. Rev. B86, 075208 (2012)
2 A. De and C. E. Pryor, Phys. Rev. B81, 155210 (2010)
3 S. Nakamura, MRS Bull.34, 101minus;107 (2009)
4 S. Assali, et al., Nano Lett. 13, 1559 (2013)
5 P. Tronc, et al., Phys. Stat. Sol. 216, 599 (1999)
9:00 AM - S3.41
Static Electricity Powered Copper Oxide Nanowire Microbicidal Electroporation for Water Disinfection
Chong Liu 1 Xing Xie 2 Wenting Zhao 1 Jie Yao 1 Desheng Kong 1 Alexandria Boehm 2 Yi Cui 1
1Stanford University Stanford United States2Stanford University Stanford United States
Show AbstractSafe water scarcity occurs mostly in developing regions that also suffer from energy shortages and infrastructure deficiencies. Low-cost and energy-efficient water disinfection methods have the potential to make great impacts on people in these regions. At the present time, most water disinfection methods being promoted to households in developing countries are aqueous chemical-reaction-based or filtration-based. Incorporating nanomaterials into these existing disinfection methods could improve the performance; however, the high cost of material synthesis and recovery as well as fouling and slow treatment speed is still limiting their application. Here, we demonstrate a novel flow device that enables fast water disinfection using one-dimensional copper oxide nanowire (CuONW) assisted electroporation powered by static electricity. Electroporation relies on a strong electric field to break down microorganism membranes and only consumes a very small amount of energy. Static electricity as the power source can be generated by an individual person&’s motion in a facile and low-cost manner, which ensures its application anywhere in the world. The CuONWs used were synthesized through a scalable one-step air oxidation of low-cost copper mesh. With a single filtration, we achieved complete disinfection of bacteria and viruses in both raw tap and lake water with a high flow rate of 3000 L/(hmiddot;m2), equivalent to only 1 s of contact time. Copper leaching from the nanowire mesh was minimal.
9:00 AM - S3.42
Syntheses Shape-Controlled Au(Sn)-SnO2 Core-Shell Nanostructures
Chiu-Yen Wang 1
1National Taiwan University of Science and Technology Taipei Taiwan
Show AbstractAn one-step approach was developed to fabricate Au(Sn)-SnO2 core-shell nanowires via vapor-liquid-solid process. In-situ TEM was used to investigate the thermal expansion behaviors of the nanocable. Meanwhile, the fact that Au(Sn) core has a high value of thermal expansion coefficient (TEC), and the TEC of the shell layer is relatively low can be revealed. Furthermore, this kind of thermal property appear linear in the temperature region from 250 #8451; to 750 #8451;. Hence, Au(Sn)-SnO2 core-shell nanocable can be a good candidate of the high temperature nanothermometers. In addition, a growth model of this kind of heterostructure nanocable could be proposed.
9:00 AM - S3.43
Electrodeposition as Key Technology for Synthesis of III-V Nanowires
Reza Jafari Jafari Jam 2 Magnus Heurlin 2 Vishal Jain 2 Gaute Otnes 2 Alexander Berg Berg 2 Magnus Borgstrom 1 Lars Samuelson 2 Hakan Pettersson 2 3
1Lund Univ Lund Sweden2Lund University Lund Sweden3Halmstad university Halmstad Sweden
Show AbstractMaterial consumption is one of the main issues in nanowire (NW) technology. Both the cost of the III-V substrates and gold for seed particle definition (vapor-liquid solid process), contribute significantly to the cost of the final device. Conventional methods to define the NW seed particles include aerosol deposition, thermal evaporation and sputtering.
Gold electrodeposition is a high throughput and selective approach to deposit NW seed particles. This approach is selective to conductive areas and leaves any nonconductive areas free of deposited material. In this approach there is no requirement of resist undercut, which means that there is no limitation related to the pitch of the pattern. Furthermore, gold can be deposited at the bottom of high aspect ratio features which is a severe limit for conventional deposition methods. Gold seed particles can also be deposited using an inorganic mask which facilitates substrate reuse. In this work, the quality of NWs grown from electrodeposited gold catalysts is investigated at length. Arrays of seed particles were patterned on InP, GaAs and GaP substrates. Seed particles were also defined with different diameter and thicknesses using organic and inorganic masks. InP, GaAs and InGaP NWs were subsequently grown from these seed catalysts. Scanning electron microscopy inspection shows an excellent growth yield as compared to the defined pattern. Transmission electron microscopy and photoluminescence spectroscopy revealed that the quality of the grown NWs is comparable to that of NWs grown from seed particles defined by conventional thermal evaporation. This process is studied for different gold solutions, cyanide-based and non-cyanide solutions, as well as for pulsed and direct electrodeposition. The electrodeposition method works remarkably well with not only high quality and yield of grown NWs, but also with an impressive factor of 300 times less gold consumption compared to thermal evaporation. Based on these results, electrodeposition is proposed as a novel key technology for large-scale fabrication of NW-based devices.
9:00 AM - S3.44
Selective-Area Epitaxy of GaAs Nanostructures by Close-Spaced Vapor Transport
Ann L. Greenaway 1 Andrew J. Ritenour 1 Jason W. Boucher 2 Shaul Aloni 3 Shannon W. Boettcher 1
1University of Oregon Eugene United States2University of Oregon Eugene United States3Lawrence Berkeley National Lab Berkeley United States
Show AbstractThe development of III-V semiconductor nano- or microstructures may open a new route to effective solar energy conversion. While progress has been made in optimizing nucleation and growth of these structures, expensive metal organic chemical vapor deposition (MOCVD) growth processes dominate. Close-spaced vapor transport (CSVT) enables growth of high-quality III-Vs with controlled doping levels from a solid source at atmospheric pressure without the use of the toxic or pyrophoric gas phase precursors from MOCVD, potentially making it a scalable, inexpensive alternative.1,2 When coupled with selective area epitaxy (SAE), a powerful technique for directing nano- or microstructure position, CSVT may provide a direct route to the development of high electronic quality, well-defined nano- and microstructures for solar or photoelectrochemical applications. However, the growth of such structures has yet to be studied in CSVT systems.
We present a study of SAE nano- and microstructure growth using CSVT on single-crystal GaAs. Substrates were prepared by depositing SiO2 using electron beam evaporation, patterning the surface via electron beam lithography (for nanostructures) or contact photolithography (for microstructures), and subsequently etching with HF to expose GaAs beneath the dielectric mask. A two-step CSVT growth process was optimized in order to obtain consistent and controllable structures. Growth by CSVT on (100), (110), and (111) GaAs patterned surfaces resulted in several structure types; characterization by SEM showed clear faceting correlated to the orientation of the substrate. When grown on the (111)B facet, both nano- and microstructures show a low incidence of twin plane defects by TEM; this is especially notable when compared to the very high incidence of twinning in nanostructures grown by MOCVD. A model describing the growth modes of nano- and microstructures in CSVT will be presented and compared to existing models for MOCVD SAE. The low incidence of twinning and high fidelity of CSVT-grown structures by SAE also presents a promising route to low-cost integration of high electronic III-Vs on Si.
(1) Ritenour, A. J.; Cramer, R. C.; Levinrad, S.; Boettcher, S. W. Appl. Mater. Interfaces2012, 4, 69-73.
(2) Ritenour, A. J.; Boucher, J. W.; DeLancey, R.; Greenaway, A. L.; Aloni, S.; Boettcher, S. W. Energy Environ. Sci.2014.
9:00 AM - S3.45
Nanoscale Resistive Switching Memory Devices Fabricated by All-Solution Processes
Un-Bin Han 1 Jang-Sik Lee 1
1Pohang University of Science and Technology (POSTECH) Pohang Korea (the Republic of)
Show AbstractMetal-oxide-based resistive switching random access memories (ReRAM) have recently received significant attention as a potential candidate for future non-volatile memory technology due to their simple structure, fast switching speed, low operation voltage, high scalability, and good endurance/data retention properties. The device size scaling is very important for high-density memory devices. However, next-generation non-volatile memory technology with high density demands nanoscale device with 10 nm scale which exceeds the limit of current optical lithography tools. Therefore, the bottom-up approach using self-assembled nanostructures can be a promising solution for scaling down the memory devices.
In this study, we fabricated copper-based nanoscale ReRAM devices using self-assembled anodized aluminum oxide (AAO) as the template for bottom-up growth. Solution process was used to fabricate resistive memory devices with metal-insulator-metal (MIM) structure. The AAO templates and the nanoscale resistive switching memory devices were observed using field-emission scanning electron microscopy. The microstructure and crystal structure of the devices were analyzed using high-resolution transmission electron microscopy and x-ray diffraction, respectively. The electrical properties of the copper-based nanoscale memory devices were investigated using a semiconductor parameter analyzer. Metal-oxide-based nanodots were successfully grown with high density using well-ordered AAO templates. The ordered array of MIM-structured memory devices was synthesized with uniform dot size and thickness. In addition, nanoscale ReRAM device fabricated by all-solution processes showed a reproducible bipolar resistive switching behavior. This study provides the facile and versatile way to fabricate highly scalable ReRAM device with bottom-up process as well as to overcome the scaling limits of currently-used device fabrication methods. Detailed device fabrication and characterization of nanoscale resistive memory devices will be discussed in this presentation.
9:00 AM - S3.46
Optical and Structural Nano-Characterization of Ordered Core-Shell GaN Micropillars
Marcus Mueller 1 Peter Veit 1 Frank Bertram 1 Sergiy Krylyuk 3 4 Ratan Debnath 3 5 Matthew King 2 Jong-Yoon Ha 3 4 Baomei Wen 3 5 Abhishek Motayed 3 4 5 Albert Davydov 3 Juergen H. Christen 1
1Otto-von-Guericke University Magdeburg Magdeburg Germany2Northrop Grumman Electronic Systems Linthicum United States3National Institute of Standards and Technology Gaithersburg United States4University of Maryland College Park United States5N5 Sensors Inc., Rockville Rockville United States
Show AbstractThe controlled growth of GaN micropillars offers a potential benefit for achieving higher efficiencies of III-V based electronic and optoelectronic devices due to a reduced density of structural defects in comparison to planar heterostructures. Furthermore, the growth of heterostructures on non-polar and semi-polar crystal planes of micropillars minimizes the negative impact of polarization fields in reference to c-planar structures. For a clear understanding of the growth process of columnar heterostructures as well as the strain conditions within micropillars highly spatially and spectrally resolved cathodoluminescence spectroscopy and electron-backscattered-diffraction (EBSD) of individual micropillars are of high interest.
In this study we report on the approach of combining top-down principle and the bottom-up processes to fabricate ordered core-shell GaN micropillars. In a first step, Si-doped 0.8 µm thick n-GaN (0001) layers have been grown on Si (111) substrates by metal organic vapor phase epitaxy (MOVPE). Intermediate Al(1-x)GaxN buffer layers with varying x were grown on Si (111) prior to GaN growth. The GaN wafers were patterned using deep UV lithography and metal lift-off. Regularly ordered GaN micropillars were obtained on 5 mm x 5mm array by inductively coupled plasma (ICP) etching of a GaN/AlGaN/Si template. The GaN pillars were additionally etched in hot phosphoric acid. Subsequently, the GaN-on-Si micropillars were epitaxially overgrown with GaN shell layer by hydride vapor phase epitaxy (HVPE). A set of samples with undoped GaN shell, Si-doped n-GaN shell and Mg-doped p-GaN shell have been grown.
Scanning electron microscopy measurements of the samples reveal a homogeneous growth with a mean density of 8.8 x 105 cm-2. The HVPE overgrowth of the GaN pillars produced hexagonally shaped micropillars with vertical non-polar {1-100} sidewalls and (0001) top facet truncated by high vicinal facets. The strain tensors at selected regions of micropillars were analyzed, using electron-backscattered-diffraction (EBSD).
Direct correlation of the optical and structural properties of the core-shell GaN micropillars has been achieved using highly spatially resolved cathodoluminescence spectroscopy in a scanning electron microscope. CL mappings of the MOVPE grown GaN-bulk template, and the etched core-shell GaN heterostructures reveal a blue-shift of the donor-bound exciton emission due to a strain relaxation. To investigate the optical properties of a single core-shell micropillar in cross-section on nanometer-scale we performed cathodoluminescence spectroscopy in scanning transmission electron microscope at liquid helium temperature. The strain conditions of individual core-shell GaN micropillars examined with CL spectroscopy and EBSD will be discussed in detail.
9:00 AM - S3.47
Solution-Based Synthesis of Multidimensional Heterojunction for UV Sensing
Young Jae Park 1 Beo Deul Ryu 2 Min Han 1 Kang Bok Ko 1 Cuong Tran Viet 1 Jaehee Cho 3 Chang-Hee Hong 1
1Chonbuk National University Jeonju-si Korea (the Republic of)2Semiconductor Physics Research Center Jeonju Korea (the Republic of)3Chonbuk National Univ Jeonju Korea (the Republic of)
Show AbstractWe report a straightforward solution-based method to fabricate a multidimensional heterojunction composed of decorated cupric oxide nanoparticles (CuO NPs) on zinc oxide nanorods (ZnO NRs) vertically grown on a reduced graphene oxide (rGO) thin film. For a practical application of the synthesized heterojunction, the sensing property under ultraviolet (UV) irradiation at room temperature is investigated. Our results indicate that the decorated CuO NPs on ZnO NRs play an important role in creating numerous p-n heterojunctions at the interface and alleviating oxygen-related defects in ZnO NRs. Consequently, the presented multidimensional heterojunction shows higher sensitivity and faster response time as compared to ZnO NRs itself, demonstrating its potential application for UV sensors.
9:00 AM - S3.48
A Numerical Study of High Performance Silicon Nanowire Bipolar Phototransistors
Xingyan Zhao 1 Siew Li Tan 1 Yaping Dan 1
1SHANGHAI JIAO TONG UNIVERSITY Shanghai China
Show AbstractPhotodetectors are key components in optoelectronic integrated circuits. Modern optoelectronic integrated circuits require photodetectors to operate at high speed (tens of GHz) with high gain and low power. The most commonly used photodetectors are p-i-n photodiodes that are typically operated at a small reverse-bias voltage with unity gain and gain-bandwidth product (GBP) typically up to 1 GHz. Avalanche photodiodes (APD) produce a larger GBP but require a high reverse-bias voltage to cause avalanche multiplication. A bipolar junction phototransistor is an inherent combination of a p-n junction diode and an amplifying bipolar transistor. It can potentially detect light with a high GBP. However, conventional bipolar phototransistors have a large base-collector junction capacitance, which is difficult to minimize due to the nature of thermal diffusion or ion implantation that results in the random distribution of dopants over a wide range. In general, the large junction capacitance limits the cutoff frequency of the devices to around 1GHz.
In this work, we investigate the physics and properties of a bipolar phototransistor (BPT) based on a single silicon nanowire (SiNW) by numerical optoelectronic simulations (using the Lumerical FDTD and DEVICE software). The SiNW BPT consists of an n+-p-n structure along the nanowire axis. The small diameter of the nanowire will dramatically reduce the base-collector junction capacitance, which in return will potentially increase the cutoff frequency of the device to hundreds of GHz. Although the principle of conventional BPTs is well known, nanoscale BPTs may offer new physical insight. For instance, the minority carrier lifetime in nanoscale devices is not only dependent on the doping concentration, but also closely related to the device size due to the effect of surface recombination. As a result, the theoretical equations for predicting the gain, cutoff frequency and leakage current of nanoscale BPTs will differ from those of conventional BPTs, as will be shown in this simulation study. The simulation results further show that a minimum GBP of 100GHz can be achieved. Following device structure optimization, the maximum GBP approaches 1THz. This work allows us to establish new physical relationships that govern the behavior of nanowire BPTs. It also shows that nanowire BPTs are a promising candidate for applications in advanced optoelectronic integrated circuits.
9:00 AM - S3.49
Strengthening or Weakening Copper Nanowires by Tuning Twin-boundary Spacing and Diameter
Ching-Wei Liao 1 Wen-Dung Hsu 1
1National Cheng Kung University Tainan Taiwan
Show AbstractMetal nanowires have superior mechanical properties compared with their counter bulk material. Researches have shown that the strength of metal nanowire is inversely proportional to its diameter square. Twin boundaries that can impede dislocation sliding are another microstructure that can strengthen the nanowire. Besides twins are classic defects in FCC materials due to low formation energy and formation volume, especially for Σ3 coherent twin boundary (CTB). The coordination numbers of the atoms at Σ3 CTB are as same as those in FCC structure. Thus they are easily produced by insertion of external mechanical force or introduction of heat on the samples. However in some cases when the twin boundary formed in nanowire the weakening is observed. In this study, molecular static simulations based on embedded-atom-method (EAM) potentials were used to analyze how the coherent twin boundary space (TBS) and the diameter influence the tensile deformation of [111] oriented twinned copper nanowires. According to stress-strain behavior of cylindrical twinned copper nanowires, the yield stress increased with the decreasing of TBS and diameter, and there exists a critical TBS that the yield stress is the same as that of single-crystal one. In other words, to strengthen a particular size of nanowire the TBS should smaller than the critical TBS. Otherwise the weakening behavior is observed. The results show that the critical TBS is a function of diameter. Thus an equation has been derived to indicate the boundary of strengthening or weakening of cylindrical twinned copper nanowires.
9:00 AM - S3.50
Three-Dimensional ZnO/TiO2 Core/Shell Nanowire Networks Fabricated by Electrodeposition with Tailored Wire Interconnectivity
Liana Movsesyan 1 2 Anne Spende 1 2 A. Wouter Maijenburg 1 Ina Schubert 1 Christina Trautmann 1 2 Eugenia Toimil-Molares 1
1GSI Helmholtz Centre for Heavy Ion Research Darmstadt Germany2Technical University of Darmstadt Darmstadt Germany
Show AbstractNanostructured photo-electrodes and nanowire based solar cells are promising due to higher absorption of sun light and efficient charge separation and transport [1]. We present the synthesis of functional ZnO cylindrical and conical nanowire arrays, and nanowire networks by electrodeposition in polycarbonate membranes fabricated by ion-track technology at the GSI linear accelerator (UNILAC) in Darmstadt. Ion irradiation and chemical etching parameters are adjusted to tailor density, geometry, and diameter of the nanochannels in the template [2, 3].
ZnO nanowires with different lengths (up to 30 mu;m) and diameters (between 40 - 300 nm) were grown from aqueous solution of a 0.1 M zinc nitrate hexahydrate (Zn(NO3)2middot;6H2O). Different potentials and temperatures were applied and adjusted for the growth of each type of ZnO structure (cylindrical and conical wires, and 3D nanowire networks).
Morphology, composition and crystallinity of the nanowires were analyzed with high resolution scanning electron microscopy, energy dispersive X-ray analysis and X-ray diffraction as function of size and deposition conditions.
Integration density and wire diameter are optimized in order to obtain mechanically stable and self-supporting 3D networks after dissolution of the polymer template [4]. In some cases, the networks were subsequently coated with a thin amorphous TiO2 layer by atomic layer deposition. The ZnO and ZnO/TiO2 nanowire networks were employed as model system to study the photoelectrochemical performance of such hierarchical nanowire structures. These results were compared to those of ZnO and ZnO/TiO2 films. The reduced wire diameter, high surface-to-volume ratio, and the high degree of interconnectivity make these 3D systems very promising for photoelectrochemical cells due for example to the larger electrolyte-accessible surface area, and the improved transport properties of the photogenerated charge carriers to the wire surface.
[1] J. Schoonman, R. van de Krol, U.P.B. Sci. Bull., Series B, 73(4) (2011), 31-44.
[2] M. E. Toimil-Molares, Beilstein J. Nanotechnol. 3 (2012) 860 - 883.
[3] G. E. Possin, Rev. Sci. Instrum. 41 (1970) 772.
[4] M. Rauber, et. al., Nano Lett, 11 (2011) 2304-2310.
9:00 AM - S3.51
Imaging Axial p-n Junction in Nanowires Using Scanning Capacitance and Kelvin Probed Force Microscopies
Franck Bassani 3 1 Priyanka Periwal 3 1 Julien Morin 2 1 Nicolas Chevalier 2 1 Denis Mariolle 2 1 Olivier Renault 2 1 Bassem Salem 3 1 Thierry Baron 3 1
1Univ. Grenoble Alpes Grenoble France2CEA Grenoble France3CNRS Grenoble France
Show AbstractControlling the doping within semiconductor nanowires (NWs) is a key issue in order to produce high performance electronic devices with well-defined characteristics. Silicon NWs incorporating axial doping junctions have been proposed as basic building blocks for future nanoscale electronic devices such as Tunnel Field-Effect Transistors and Esaki Tunnel diodes in which abrupt junctions and high doping levels are involved.
In this work, different axial doping junctions in Au-catalyzed Si NWs were characterized using local probed techniques, i.e., scanning capacitance microscopy (SCM) and Kelvin probed force microscopy (KPFM). Particularly, we will discuss here the observed doping contrast on an axial p-n junction in Si NWs. SCM reveals an abrupt junction thanks to the beneficial use of HCl during the growth which prevents the conformal growth and therefore avoids the formation of a superdoped shell. The homogeneous distribution of dopants on both part is demonstrated and the relative doping is consistent with that expected from the dopants (B2H6 or PH3) over SiH4 flux ratios. On the other hand, a low doping contrast across the p-n junction is measured by KPFM. This is explained by the presence of surface states that modify the surface potential of the NW which is probed. In order to gain more insight of the resulting surface band bending, additional photoelectron emission microscopy measurements were performed on the same batch of NWs that enable us to draw the complete band diagram of the NW for both p and n-doped regions.
9:00 AM - S3.52
3-D Matrix Template-Assisted Growth of Oriented Zinc Oxide Nanowire Arrays using Glancing Angle Pulsed Laser Deposition
Domingo Mateo-Feliciano 1 Mahesh Hordagoda 1 Devajyoti Mukherjee 1 Sarath Witanachchi 2 Pritish Mukherjee 3
1University of South Florida Tampa United States2Univ of South Florida Tampa United States3University of South Florida Tampa United States
Show AbstractZinc oxide nanowires (ZnO NWs) are promising building blocks for many existing and emerging applications owing to their unique optical, electrical, and piezoelectric properties. However, the growth of oriented ZnO NWs on flexible substrates with controlled dimensions is still in progress. In this work, oriented ZnO NW arrays are grown on a 3 D matrix template using glancing angle pulsed laser deposition (GAPLD) technique. In GAPLD process the substrate is tilted with respect to the laser ablated plume creating enhanced ballistic shadowing of the deposited vapor flux. This facilitates the growth of nano-columnar structures irrespective of the type of substrate of deposition [1]. Here initially, a template layer was constructed by depositing a self-assembled monolayer of silica nano-spheres (SNSs) using the Langmiur-Blodgett (LB) technique on Si and flexible stainless steel substrates. Subsequently, ZnO was momentarily deposited on the hexagonal-close-pack patterned template layer using normal incidence PLD. By controlling the size of the SNSs we were successful in creating size-controlled nano-islands of crystalline ZnO in-between the voids created in the template layer. Removal of the SNSs templete layer using ultrasonication resulted in a 3 D matrix of ZnO nano-islands on both types of substrates. These ZnO nano-islands acted as the seeds for the subsequent growth of oriented ZnO nanowires arrays using the GAPLD process. X-ray diffraction revealed that the ZnO NW arrays were c-axis oriented with hexagonal wurzite structure. Cross-sectional electron microscopy of the nanostructured films revealed that the diameters and tilt-directions of the nanowires were critically dependent on the glancing angle used in GAPLD. High resolution transmission electron microscopy revealed that the NWs were single-crystalline with no observable defects. The work demonstrates a novel technique in the growth of oriented ZnO NWs on both rigid and flexible substrates with advanced control of nanowire diameter, composition, and defects. Detailed study on the optimization of the growth parameters using GAPLD process and structural characterization of the ensuing nanostructures will be presented.
9:00 AM - S3.53
Modulated Photoluminescence in ZnO Core-Shell Nanowires with Plasmonic Nanoparticles
Claire E Marvinney 1 Daniel Mayo 1 2 Jordan Hachtel 1 3 James R. McBride 1 Weizhen Liu 4 Haiyang Xu 4 Yichun Liu 4 Richard Mu 2 Richard F Haglund 1
1Vanderbilt University Nashville United States2Fisk University Nashville United States3Oak Ridge National Laboratory Oak Ridge United States4Northeast Normal University Changchun China
Show AbstractThe II-VI semiconductor ZnO has been widely studied for use in ultraviolet optoelectronic devices due to a sharply defined band edge peak at 3.37 eV and a high exciton binding energy of 60 meV corresponding to an exciton radius of 1.8 nm. Nanowire architecture facilitates optical confinement of transverse resonant modes within the faceted hexagonal structure of wurtzite ZnO, as well as a longitudinal, axial waveguiding mode. Coating the nanowire surface with plasmonic nanoparticles can enhance or quench the band-edge photoluminescence (PL) from the ZnO excitons via the Purcell mechanism. Controlling the plasmonic response and mode confinement play key roles in device and design performance.
The band-edge emission can be controlled through differences in growth, annealing, surface conditions, exciton-plasmon coupling, and architecture. In this paper, we compare the effects of these design parameters for two growth processes that both yield highly crystalline c-axis oriented ZnO nanowires. The first is a modified vapor-solid deposition on a ZnO seed layer substrate, producing narrow, mostly vertical nanowires. The second is a hydrothermal deposition on a p-GaN substrate, producing wider, vertically aligned, carpet nanowires. Optical confinement is varied by creating a core-shell structure with MgO or Al2O3 cladding by glancin-angle electron beam deposition (GLAD) and atomic-layer deposition. Strongly confined optical modes are seen in the narrow nanowires when coated in a MgO shell; COMSOL simulations show the coexistence of Fabry-Perot and whispering gallery modes in these nanowires, revealed experimentally by cathodoluminescence in a scanning-transmission electron microscope. In the wider carpet nanowires, the higher-order longitudinal modes are less efficiently confined and the deposition of a shell layer is less effective in enhancing transverse confinement, leading to an overall reduction in the axially transmitted PL.
Thin core-shell wires were coated with a uniform layer of Ag nanoparticles by GLAD, while the wide, carpet nanowires were coated less uniformly with Ag and Al nanoparticles by sputtering. Initial comparisons show that the uniformity and density of the plasmonic particles have dramatically different effects on exciton-plasmon coupling. Efficient, spatially uniform exciton-plasmon coupling in narrow core-shell nanowires with strong mode confinement leads to enhanced PL. On the other hand, preferential nanoparticle deposition at the ends of the wider carpet nanowires reduces waveguided PL. This demonstrates that the density, composition and uniformity of plasmonic nanoparticles, together with the optical mode structure of the nanowires all contribute to modulating the photoluminescence.
9:00 AM - S3.54
Engineering Ultrasharp Silicon Nanowires for Enhanced Field Ionization Properties
Kazim Gurkan Polat 1 Chen Zou 1 M. Saif Islam 1
1University of California, Davis Davis United States
Show AbstractThe ionization of gas molecules is important for many applications such as gas sensing and removal of pollutants in environment, building and power plants. Under high electric fields (~3e7 V/cm), field ionization (FI) process ionizes neutral gas molecules or atoms by tunneling of one of their valence electrons bound by a potential well into the vacuum or unoccupied states available at the surface of solid materials. Conventional gas ionization systems consist of metallic needles which are limited by their bulky architecture requiring high power consumption and risky high operating voltages. Silicon (Si) nanowires offers great potential for FI applications due to the well-established Si microfabrication methods combined with the favorable ionizing properties of Si. Band bending of semiconductors under applied electric fields increases the FI probability which is not possible with metal based counterparts. Scaling down the active material geometry from large needles to nanowires can increase FI efficiency by several orders of magnitude since the electric field is inversely proportional to the radius of the tips. However, maximum electric field at the tip of a single nanowire decreases by quenching effect of nearby nanowires. Since electric field is an important factor in the FI current, low electric field in the nanowires caused by field quenching requires higher operating voltages. In this work, optimization of Si nanowire geometries for improved FI efficiencies is explored by controlling the periodicity, diameter and height of the nanowires, as well as optimized distance between the electrodes. Our electrode design can enhance electric field by several orders of magnitude compared to the random nanowire geometries created by CVD growth and electroless etching. Areas that have sufficient electric field to ionize gas molecules have been increased more than several hundred folds in our optimized structures with 5% filling ratio of nanowires, compared to the electroless etched nanowires with 44% filling ratio and the CVD grown nanowires that exhibit 13% filling ratio.
9:00 AM - S3.55
Novel Sn-Catalyzed Germanium Nanowire Synthesis by Vapor-Liquid Solid Chemical Vapor Deposition
Gerentt Chan 3 Ann F. Marshall 2 Andrew Chengsi Meng 1 Paul C. McIntyre 1
1Stanford Univ Stanford United States2Stanford University Stanford United States3Stanford University Stanford United States
Show AbstractThe novel fabrication and characterization of tin-catalyzed germanium nanowires is described. Alternate catalysts for low-temperature Ge and Si nanowire growth prompt continued interest because of the tendency of the many highly-effective catalyst metals to produce electronic carrier traps either in the grown nanowires or in underlying silicon-based substrates and surrounding circuitry. Tin, an isoelectronic solute in Group IV semiconductor alloys that has a low temperature eutectic with Ge, has not, to our knowledge, been studied systematically as a Ge nanowire growth catalyst. This alloy system is of additional interest because Sn-Ge alloys can, with sufficient Sn incorporation (> 5 at%) exhibit a direct-band gap, enabling exciting applications in photodetectors and light emitters. In this work, Ge nanowires are grown via vapor-liquid-solid chemical vapor deposition, on a Sn-coated substrate. These nanowires are monocrystalline, with a preferred <110> growth axis and diameters typically in the range 5-10 nm for the growth conditions investigated. The wires also exhibit a high aspect ratio (>100). Prospects for tuning nanowire properties, such as composition, crystallinity, length and kinking, will be reported.
9:00 AM - S3.56
Passivation of Native Point Defects in ZnO Nanowires by SiO2 Sputtering Deposition
Caroline I. Lisevski Sombrio 1 Paulo L Franzen 1 Roberto M. S. Reis 2 Henri I. Boudinov 1 Daniel Lorscheitter Baptista 1
1Instituto de Fiacute;sica, Universidade Federal do Rio Grande do Sul, UFRGS Porto Alegre Brazil2Nacional Center for Electron Microscopy, LBNL Berkeley United States
Show AbstractZinc Oxide is a transparent semiconductor with a direct band gap of about 3.37 eV. Its large exciton binding energy (60 meV) makes it suitable for large wavelength optoelectronics devices operating at room temperature. However, native point defects such as VO, VZn, Oi and Zni give rise to many deep-level emissions (DLE) at optical visible range, suppressing the UV near-band-edge (NBE) luminescence. Thus, defects passivation strategies may be used aiming to maximize UV band-edge transitions. In this work, we report on the improvement of the UV emission in ZnO nanowires by SiO2 embedding process. ZnO nanowires were grown by vapor-liquid-solid (VLS) mechanism using sapphire as substrate. Subsequent SiO2 deposition was performed on the vertically aligned ZnO nanowires by rf-sputtering using SiO2 target and Ar atmosphere. Both as-grown and embedded ZnO nanowires samples were subjected to rapid thermal annealing (RTA) during 5 minutes in Ar atmosphere. Photoluminescence and Cs-corrected scanning transmission electron microscopy (STEM) measurements were used to characterize the nanowires. The PL measurements were carried out at room temperature using a CW 266 nm solid state laser as an excitation source. The results show a strong oxygen vacancies passivation after SiO2 surface deposition, resulting in a drastic suppression on visible light emissions in favor of UV ones. The UV and visible intensities ratio (IUV/IVis) increased from 0.5 to 4.4 for the as-grown and SiO2 embedded nanowires, respectively. On the other hand, annealing at increasingly higher temperatures favored the oxygen desorption and the increase of deep-level states for both as-grown and SiO2-coated ZnO nanowires. A detailed model describing the passivation dynamics is presented.
9:00 AM - S3.57
Chemical and Biological Detection Using Mass-Producible ZnO/a-Carbon Nanowire Field Effect Transistors
Chanseok You 1 Jintae Kim 1 Huichun Jeong 1 Junggeun Song 1 Yeonho Im 1
1Chonbuk National University Jeonju Korea (the Republic of)
Show AbstractSemiconductor nanowire field effect transistors (NWFETs) have attracted strong research interest as a platform for the construction of chemical and biological sensors. Despite a wide range of potential applications, designing a cost effective and mass-producible fabrication route remains a significant challenge. To address these issues, we developed a novel fabrication method consisting of sequential steps of metal oxide (ZnO) deposition, nanoimprint lithography, and low-damage dry etching. By using soft lithography and maintaining compatibility with conventional semiconductor processes, this process is a promising approach for mass production of NWFETs. The optimized ZnO NWFET device with effective surface functionalization (a-Carbon) is demonstrated to be effective for the real-time detections of chemical and biological species including pH, HCl, and cancer biomarkers. The electrochemical characteristics and sensing performance of NWFETs are shown to compare favorably with those of conventional bottom-up-based NWFETs. Finally, the pros and cons of this approach for the economical production of NWFET sensors are discussed.
9:00 AM - S3.58
Low-Temperature Growth of Aligned ZnO Nanowire Array Assisted by Self-Assembly Monolayers
Chia-Hao Yu 1 Kuan-Hung Chen 1 Sheng You Tsao 1 Cheng-Yen Wen 1
1National Taiwan University Taipei Taiwan
Show AbstractAlignment of functional nanowires is usually beneficial for improving the performance of applications. For example, ZnO has a direct band gap of 3.37eV and a high exciton binding energy of 60meV. When [0001]-textured ZnO nanowires are vertically grown on substrates, the solar water-splitting efficiency of ZnO can be further improved. To produce such aligned ZnO nanowire arrays, a two-step method is used. Firstly, a [0001]-textured ZnO seed layer of a few nanometers thick is prepared on a substrate by the sol-gel method at a temperature higher than 300°C. Aligned ZnO nanowires are subsequently grown using the hydrothermal method at 90°C. Such a seeded growth method has been applied on various kinds of substrates, but the disadvantage of this process is that the higher than 300°C growth temperature of the seed layer may not be very suitable for some soft substrates. In order to improve the hydrothermal growth method for more versatile applications, we attempt to use the amino-terminated self-assembly-monolayer (SAM), in replacement of the ZnO seed layer prepared at high temperatures, to assist the nucleation of ZnO nanowires with vertically aligned c-axis. The SAM layer is prepared on substrates at temperature below 80°C. The substrates are then placed in the hydrothermal reactor containing 1:1 molar ratio of zinc nitrate and hexamethylenetetramine (HMTA) solution added with polyethyleneimine (PEI) at 90°C for the growth of ZnO nanowires. It is found that the structure of the amino-terminated SAM layer plays an important role to determine the nucleation behavior and hence the orientation of the ZnO nanowires. With a suitable growth condition, about fifty percent of nanowires can be vertically grown on substrate with their c-axis aligned.
9:00 AM - S3.59
Multi-Scale Modeling of Electronic Properties of Hybrid Quasi-One Dimensional Nanostructures
Sunil Patil 2 Mohamed Shibl 1 Mahmoud Khader 1 M P Anantram 2
1College of Engineering, Qatar University Doha Qatar2University of Washington, Seattle Seattle United States
Show AbstractAligned one dimensional nanostructures (1DNs), such as nanowires, organic and inorganic nanotubes, promise for high strength, lightweight, stable, and thermally and electrically conducting elements at a lower cost. Therefore, considerable work has been directed towards growth and self-assemblies of alignment of these nanostructures. Furthermore, industrial-scale continuous processing of these nanostructures appears to be feasible [1,2]. While the electronic properties of isolated organic as well as inorganic nanostructures are relatively well known, important electronic characteristics of corresponding inter-structures are still obscure. The electronic conductivity of these systems is not ascertained and reported values may vary by orders of magnitude. Moreover, the exact transport mechanism through inter-1DN is not clear [3]. The inter-1DN crossed junction resistance is considerably larger in structural [3] as well as in conducting polymers [2]. The origin of which and approach to modify it, have not been theoretically investigated at the multi-scale. To address these issues we report the multi-scale modeling of electronic properties of aligned carbon based 1DN and its possible extension to polymer counterpart. Electronic and transport characteristics are investigated within first principle density functional theory and Landauer-Buttiker formalism respectively. The interaction among the nanostructures is treated as a perturbation and added to the independent 1DN's Hamiltonian and the overlap matrix. Within this approach, we determine the binding energy, conductance and their dependence on alignment. Our calculations indicate that these structures are more stable compared to the corresponding isolated counterparts. Finally we propose a possible extension of the multi-scale modeling methodology to study the electronic transport in the futuristic conducting polymeric 1DN.
1. Lars M. Ericson, et al., Science 305, 1447-1450 (2004).
2. Yun-Ze Long, Meng-Meng Li, Changzhi Gu, Meixiang Wan, Jean-Luc Duvail, Zongwen Liu, Zhiyong Fan, Progress in Polymer Sci. 36, 1415- 1442, (2011)
3. S. Badaire, V. Pichot, C. Zakri, P. Poulin, P. Launois, J. Vavro, C. Guthy, M. Chen, and J. E. Fischer, J. of App. Phys. 96, 7509 (2004).
9:00 AM - S3.60
Bandgap Tuning of GaAs/GaAsSb Nanowires and Effect of N Incorporation
Pavan Kumar Kasanaboina 1 Sai Krishna Ojha 1 Jia Li 2 Shifat Us Sami 2 Lewis Reynolds 3 Shanthi Iyer 1 2
1North Carolina Aamp;T State University Greensboro United States2North Carolina Aamp;T State University Greensboro United States3North Carolina State University Raleigh United States
Show AbstractGa assisted GaAs/GaAsSb core-shell structured nanowires (NWs) were successfully grown on chemically etched p-type Si(111) substrate by molecular beam epitaxy. Substrate preparation prior to the growth was found to be critical for achieving high NW density (8x108/cm2) and all vertical NWs. Growth temperature was found to have a strong influence on the Sb incorporation in the NWs and hence the NW morphology. Presence of X-ray diffraction peaks corresponding to (111) only and its higher order reflections attest to the vertical alignment of NWs. Strain in the NWs as estimated using Williamson-Hall isotropic strain model (W-H ISM) reveals a linear increase with Sb incorporation. This results in bending of the NWs with greater bending with increased Sb. Optical characteristics of the NWs as measured by 4K micro-photoluminescence (PL) exhibit a red shift to 0.9 eV with increasing Sb incorporation up to 26%. The blue shift in the PL peak energy observed with increasing incident laser intensity indicates type II heterostructure alignment. The Raman spectra of reference GaAs NWs exhibits TO and LO modes of zinc blende structure at 291 cm-1 and 267.8 cm-1, respectively. The red shifts of both TO and LO modes in conjunction with corresponding asymmetrical peak broadening with increasing Sb incorporation are attributed to the enhanced strain and disorder in the structure, which is consistent with the XRD data. When compared with segmented axial structured NWs of similar Sb composition, a core-shell structure was found to yield NWs of uniform structural features. Significant enhancement in the PL intensity was observed when a GaAs passivation layer was incorporated on both core-shell and axial structured NWs leading to room temperature PL emission. Structural characterization of the NWs by scanning transmission electron microscopy (STEM) will also be presented. GaAs/GaAsSbN shell structures with dilute amount of N have also been successfully grown. The NWs exhibit a distinct elongated hexagonal structure. On annealing in N2 ambient, the PL intensity is enhanced, a common characteristic of dilute nitrides due to the annihilation of non-radiative recombination centers. Although the PL intensity of the annealed NWs is less than the corresponding GaAsSb NWs, the reduction in the intensity of the PL with temperature is also considerably reduced. This suggests that the recombination mechanism is different from those of GaAsSb. The intensity dependence of the PL suggests a type I transition. Structural properties of these NWs using XRD and STEM will also be presented. Funding of this work from ARO Grant No. W911NF-11-1-0223 (technical monitor-William Clark) is acknowledged.
9:00 AM - S3.61
Controlled Hierarchical Growth of Nanowires by Surface Modification Using Atomic Layer Deposition
Ashley R. Bielinski 1 Eric Kazyak 1 Christian Schlepuetz 2 Neil P. Dasgupta 1
1University of Michigan Ann Arbor United States2Argonne National Laboratory Argonne United States
Show AbstractHeterogeneous growth of nanowire arrays on a surface depends on a variety of factors including the surface energy, crystallographic orientation relationship between the nanowires and the surface, and the kinetics of the nanowire growth process. By appropriate choice of substrate material and thermodynamic parameters, a wide range of nanowire diameters and orientations can be grown. However, control and repeatability of these geometric parameters is often limited by surface properties, and a fundamental understanding of the statistical variations in growth behavior is still limited by the available surface conditions. This is particularly important for hierarchical structures involving bottom-up nanowire growth, as control of nanowire size and orientation on arbitrary 3-dimensional surfaces is often limited by statistical variations that are difficult to control. One promising approach to gain improved control of the nanowire array morphology is to perform surface pre-treatments with an interfacial layer, which can guide the subsequent growth behavior with deterministic control of geometric parameters.
To demonstrate this concept, we have performed an in-depth study of the effect of surface modifications using Atomic Layer Deposition (ALD) to guide the morphology and orientation of ZnO nanowires grown by hydrothermal synthesis. By varying the conditions of the ALD seed layers, the nanowire orientation and diameter can be tuned and controlled in a highly repeatable manner, which has been evaluated through a statistical analysis of the resultant morphology using cross-sectional scanning electron microscopy (SEM), transmission electron microscopy (TEM), atomic force microscopy (AFM). We have also performed synchrotron-based x-ray diffraction (XRD) measurements at the Advanced Photon Source, which allow for a quantitative analysis of the nanowire texture and crystallographic properties, and reveal their orientation relationship with respect to the substrate and the interfacial seed layer through pole figure measurements. These complimentary characterization techniques allow us to observe the evolution of the structural and morphological parameters of the ALD seed layer, and quantitatively correlate them to the diameter and orientation dependence of the resultant nanowires.
To demonstrate the full power of this technique, hierarchical structures have been fabricated by growing highly conformal ZnO nanowires with controlled geometric parameters on high surface area 3-D templates including nanowires, microwires, paper fibers, and biological materials. The control of nanoscale morphology of these hierarchical and heterogeneous structures allows for tunable material properties, as demonstrated by control of contact angles of hydrophobic and superhydrophobic surfaces on a variety of substrates. These complex hierarchical materials can be fabricated using low-temperature, scalable, and completely bottom-up processing.
9:00 AM - S3.62
Candle Wax Seeded Ultrananocrystalline Diamond-Decorated Silicon Nanowires
Javier Palomino Garate 3 Rebeca Rivera 3 Deepak Varshney 1 Brad R. Weiner 4 Gerardo Morell 2
1Univ of Puerto Rico San Juan United States2Univ of Puerto Rico San Juan United States3University of Puerto Rico San Juan United States4University of Puerto Rico San Juan United States
Show AbstractVertically aligned silicon nanowires (VA-SiNWs) synthesized by thermal chemical vapor deposition (thermal-CVD), were uniformly decorated with ultrananocrystalline diamond (UNCD) by hot filament chemical vapor deposition (HF-CVD), via a novel route using candle wax as seeding source, which is more efficient in the creation of diamond nuclei than traditional methods. The synthesis of Si nanowires follows the VLS growth mechanism, with Au template layer as the catalyst. The template was achieved by polystyrene spheres (500 nm) spin-coated on Si substrates, then coated by Au film, followed by the removal of spheres leaving behind an Au pattern. The catalyst material forms liquid alloy droplets at high temperatures by adsorbing vapors of the source material (Si) achieving a super saturation stage, and the subsequent precipitation of the source material (Si) forming the nanowires. Decomposition of paraffin wax produces large amounts of sp3C-rich fragments that result in enhanced nucleation of UNCD. These active nuclei are ready for reacting with carbon species in the HFCVD environment to produce UNCD. Raman spectroscopy studies revealed the high crystallinity of SiNWs and established the presence of sp2 carbon at the grain boundaries of UNCD. These results were confirmed by electron microscopies, which revealed vertically aligned UNCD/SiNWs nanostructures with diameters ranging from 160 to 180 nm. HRTEM micrograph of UNCD/SiNWs determined the presence of SiNWs/SiOx core/shell structure and revealed that the UNCD is comprised of very small grains (~5 nm), with an inter-planar spacing of about 0.205 nm, consistent with the diamond (111) planes. Electron energy loss spectroscopy (EELS) verified the existence of thin shell of SiOx and confirmed the sp3C nature of UNCD by showing the second absolute band gap of diamond. These UNCD/SiNWs nanostructures are suitable as cold cathode materials with a turn-on #64257;eld of about 3.6 V/µm and current densities around 5 mA/cm2 at room temperature, and can be used as anode material to enhance the performance of lithium ion batteries.
S1
Session Chairs
Anna Fontcuberta i Morral
Qihua Xiong
Tuesday AM, April 07, 2015
Moscone West, Level 2, Room 2024
9:30 AM - S1.02
Understanding the Mechanism of Plasma-Assisted Silicon Nanowire Growth Based on Low Surface Tension Metals
Soumyadeep Misra 1 Linwei Yu 1 Wanghua Chen 1 Jean-Luc Maurice 1 Martin Foldyna 1 Jian Tang 1 Pere Roca I Cabarrocas 1
1LPICM, Ecole Polytechnique Palaiseau France
Show AbstractRadial junction thin film solar cells fabricated over silicon nanowire (SiNW) arrays benefit from an excellent light trapping and a reduced material consumption. A material efficient approach to grow the SiNWs on low cost substrates is a vapor-liquid-solid (VLS) method, where metal droplets assist the deposition of gaseous precursors and may play the role of catalysts depending on their nature. In order to optimize the device properties, it is very important to understand the growth mechanism of the NWs. Most of the studies available in the literature explain the mechanism for gold droplets, but gold is not a suitable candidate for making silicon electronic devices as it introduces deep band gap defects in silicon which act as recombination centres. On the other hand, low melting point metals, such as gallium (Ga), tin (Sn), indium (In), and bismuth (Bi) could be ideal choices for fabricating NWs at low temperature, but very few studies have been carried out to understand their exact role in the growth process. Moreover, they are not stable on the SiNW top and tend to wet the nanowire sidewall due to their lower surface energy than silicon. As a matter of fact, a thin metal layer on the nanowire sidewall could help to stabilize metal droplets on the top. We have carried out a series of experiments, studying the axial and radial growth of SiNWs during the plasma-assisted VLS process using Sn as a model system, and found that some of the experimental observations cannot be explained without the existence of the sidewall wetting layer. In this work, we will show how this wetting layer stabilizes the metal droplet on the top of the nanowire and even promotes the growth when the droplet from top is exhausted either due to the hydrogen radical assisted etching or the incorporation inside the nanowire.
9:45 AM - S1.03
Layer-by-Layer Solid-Liquid-Vapor (SLV) Etching of Semiconductor Nanowires
Ho Yee Hui 1 Michael A. Filler 1
1Georgia Inst of Technology Atlanta United States
Show AbstractThe synthesis of complex, functional objects, at any length scale, requires the synergistic combination of additive, subtractive, and patterning “process” steps. The need for multiple, orthogonal types of processing results from the imprecision and/or synthetic limitations of any individual method. Nowhere is this truer than at the nanoscale. While tremendous effort has been devoted to additive (i.e., bottom-up) steps, the rational subtraction and patterning of nanoscale structures remain in their infancy. Here, we demonstrate a new process for the former in nanowires - the layer-by-layer removal (i.e., etching) of semiconductor atoms via a solid-liquid-vapor (SLV) mechanism. Arrays of epitaxially aligned Ge nanowires are first synthesized via the vapor-liquid-solid (VLS) mechanism with a AuGe eutectic catalyst. An intentional modulation of nanowire diameter provides an internal reference point to quantitatively determine etch rate. The introduction of gaseous 2,3 butanedione, a diketone capable of complexing with semiconductors and metals, drives the etch process. In a window between 360 and 380 °C, 2,3-butanedione selectively extracts Ge atoms from the catalyst droplet, which results in droplet undersaturation and dissolution of additional Ge from the solid nanowire. Detailed studies of the etch process as a function of substrate temperature, etchant partial pressure, and nanowire diameter support a layer-by-layer SLV mechanism. Reaction and mass transport limiting regimes are also identified. Our findings highlight new opportunities to tune the structure of semiconductor nanowires via subtractive techniques. They also suggest a route to mitigate the commonly observed reservoir effect, thus enabling atomically abrupt dopant profiles and heterointerfaces.
10:00 AM - *S1.04
Group IV Nanowires as Model Systems to Explore Phase Behavior, Nucleation and Interface Dynamics in Nanoscale Systems
Stephan Hofmann 1
1University of Cambridge Cambridge United Kingdom
Show AbstractWith a focus on diverse applications in the electronics and display industry, we aim at developing integrated process technology for nanomaterials, like semiconducting nanowires. In order to go beyond empirical process calibrations, we systematically use in-situ metrology to reveal the mechanisms that govern the growth, interfaces and device behaviour of these nanomaterials in realistic process environments. This talk will focus on recent results for Si and Ge nanowires with a particular emphasis on fundamental aspects and the use of these structures as model systems to explore more generic aspects of phase behaviour, nucleation and interface dynamics in nanoscale systems.
Video-rate lattice-resolved environmental transmission electron microscopy (ETEM) of Au catalyzed Ge nanowire (Ge NW) growth allows us to directly observe the formation of metastable AuGe phases without quenching [1]. We rationalise the unexpected formation of these phases through a novel pathway involving changes in composition rather than temperature. The isothermal process provides both a new approach to growing and studying metastable phases, and a new perspective on their formation. ETEM of Ge NW growth also allows us to directly observe twin-mediated crystal growth. In contrast to the classic twin plane re-entrant mechanism (TPRE) for bulk crystals, we find that the nanowire geometry allows steady-state growth with a single twin boundary at the nanowire center [2]. Our data allows us to consistently interpret prior NW literature, and also provides an important insight into the TPRE growth process of bulk materials.
We also show that Si NWs grown on a conducting carbon-fibre support provide a robust model battery system that can be studied by 7Li in situ NMR spectroscopy [3]. The method allows the (de)alloying reactions of the amorphous silicides to be followed in the 2nd cycle and beyond. In combination with density-functional theory calculations, the results provide insight into the amorphous and amorphous-to-crystalline lithium-silicide transformations, particularly those at low voltages, which are highly relevant to practical cycling strategies.
Forming reliable contacts is crucial in particular for nano-scale electronic devices. We show that nanowires offer an ideal platform to study contact formation with ETEM, allowing a record of atomically resolved interface formation. We report on a new form of epitaxy that involves silicide phase formation via the catalyst particle and offers a generic platform for seeding new crystals and forming heterostructures.
[1] Gamalski et al., Phys. Rev. Lett. 108, 255702 (2012).
[2] Gamalski et al., Nano Lett. 14, 1288 (2014).
[3] Ogata et al., Nature Comm. 5, 3217 (2014).
[4] Panciera et al., submitted (2014).
10:30 AM - S1.05
In-Situ Observation of a Reactive Surface Intermediate during Semiconductor Nanowire Growth and its Influence on Catalyst Stability
Saujan Sivaram 1 Michael A. Filler 1
1Georgia Institute of Technology Atlanta United States
Show AbstractSemiconductor nanowires are promising building blocks in the areas of energy conversion, electronics, and photonics due to their unique and tunable optoelectronic properties. Yet, significant challenges in heterostructure formation, uniform doping, and even simple axial growth remain, and stem from a poor understanding of the chemical phenomena governing bottom-up nanowire synthesis. Here, we use in situ infrared spectroscopy to quantitatively probe, for the first time, the chemical bonding underlying the vapor-liquid-solid mechanism and show that surface hydrogen atoms are vital for stable nanowire growth below the eutectic. We employ a novel method using infrared absorption spectra to extract the coverage of surface hydrogen, a reactive intermediate species, for Ge nanowires grown below the AuGe eutectic with Ge2H6 at a range of process conditions (260 minus; 330 °C, 0.5 minus; 1.5 × 10-4 Torr). Surface hydrogen coverage, which dictates the nanowire sidewall energy and influences surface diffusion, is not a static value as commonly presumed, but instead varies dramatically over a narrow temperature and pressure range. Below a threshold coverage, the catalyst droplet destabilizes and growth abruptly terminates. To underscore the significance of sidewall chemistry on vertical nanowire growth, we adsorb -CH3 groups onto the sidewall that prevent this behavior and enable sub-eutectic growth beyond the process window of the Ge2H6 precursor. Our experiments elucidate a key chemical mechanism underlying nanowire growth and also identify surface chemistry as a route to robustly control dopant profile and heterostructure formation.
10:45 AM - S1.06
Straight and Vertically Aligned Palladium-Seeded GaAs Nanowires
Robert Hallberg 1 Sebastian Lehmann 1 Maria Messing 1 3 Kimberly Dick Thelander 1 2
1Lund University Lund Sweden2Lund University Lund Sweden3Lund University Lund Sweden
Show AbstractThe use of a foreign metal seed particle as a catalyst for nanowire growth is an established technique used to achieve growth for a wide range of growth temperature and precursor flows. The predominantly used material is gold and it is well known that it is suitable over a wide range of growth parameters. It enables growth of nanowires with a well-controlled diameter and length; in addition, it is possible to grow heterostructures and switch crystal structure. We are currently investigating the use of alternative seed particle materials to learn more about how the seed-particle affects the properties of the nanowire, such as its morphology, structure and growth mechanism. We will present our current findings of palladium seeded GaAs, where we have done a systematic study over a large growth parameter window.
The palladium particles were generated by an aerosol-phase deposition using a spark discharge generator (SDG), able to generate pristine spherical particles of metals with a high dose and size control. A closed coupled showerhead MOCVD from AIXTRON was used to grow the nanowires.The explored parameter space is, growth temperature 350-600°C, V/III ratio 0.1-73, growth time 5-30 minutes, particle size, 10-40 nm and particle dose in the range of 1-10 mu;m-2. The analysis is performed by high-resolution transmission electron microscopy (HREM), energy dispersive x-ray spectroscopy (XEDS) and scanning electron microscopy (SEM).
We will demonstrate how to grow vertically aligned wires. In addition, we will also demonstrate how the growth parameters affect typical growth characteristics such as nucleation, crystal structure, crystal growth direction, morphology and growth rate. Other groups have succeeded in growing straight wires in non-(111)B directions for InAs [1]-[3]. However, this is the first time that epitaxial (111)B GaAs nanowires seeded by palladium are reported. The XEDS analysis reveals that the gallium content in the seed particle after growth can be between 45-80 at%. High gallium content may allow for vapor-liquid-solid (VLS) growth at higher growth temperatures and is suspected to be the key to the epitaxial (111)B wires. This and other interesting discoveries will be discussed, such as how the particle composition is affected by growth parameters and how the palladium seeded growth compares to gold seeded growth.
References
[1] H. Xu, Y. Wang, Y. Guo, Z. Liao, and Q. Gao, “Defect-free< 110> zinc-blende structured InAs nanowires catalyzed by palladium,” Nano Lett., pp. 5744-5749, 2012.
[2] S. Heun, B. Radha, and D. Ercolani, “Pd-assisted growth of InAs nanowires,” Cryst. Growth hellip;, vol. 10, no. 9, pp. 4197-4202, Sep. 2010.
[3] R. Perumal, Z. Cui, P. Gille, J.-C. Harmand, and K. Yoh, “Palladium assisted hetroepitaxial growth of an InAs nanowire by molecular beam epitaxy,” Semicond. Sci. Technol., vol. 29, no. 11, p. 115005, Nov. 2014.
11:30 AM - *S1.07
Forming New Silicide Structures in Semiconductor Nanowires
Yi-Chia Chou 1
1National Chiao Tung University Hsinchu Taiwan
Show AbstractMany electronic devices, such as field-effect transistors, depend on achieving precise control of both a semiconductor nanostructure and its contact with the larger scale circuit. Growth by self-assembly techniques, such as the vapor-liquid-solid (VLS) method, provides the opportunity to form semiconductor nanostructures beyond the lithography limit. However, achieving precise structural control of the nanowires requires careful tuning of the growth conditions combined with a good understanding of the growth mechanisms involved. Furthermore, control of the contact between nanowire and circuit is a key step that involves integrating different types of materials and bridging between length scales.
In this presentation we focus on some interesting problems associated with making contacts between nanowires and bulk. Microelectronic contacts involve formation of a silicide. In Si nanowires, we show that silicide formation can occur through a point contact reaction and we demonstrate that the reaction shows different kinetics from those already known in thin film silicide technology. Such nanowires have an oxidized surface and this controls the reaction pathway and kinetics. However, if we examine silicide formation in VLS grown Si nanowires immediately after growth in ultra high vacuum, we find a different sequence of reactions, useful both for contact formation and also for modifying nanowire properties in new ways.
We carry out nanowire growth in an ultrahigh vacuum transmission electron microscope (UHV-TEM). This allows us to measure kinetics and assess the effects of changing growth parameters. We first describe an unexpected sequence of events that takes place when Ni is evaporated onto a nanowire and its catalytic droplet. Ni disilicide forms as a small crystal within the liquid AuSi droplet; this crystals attaches at the nanowire growth front and is eventually incorporated into the nanowire during continued growth. The result is a nanowire with an epitaxial silicide inclusion, and it is possible to repeat the process to form multiple inclusions. The result is similar whether the Ni is evaporated or supplied via a chemical vapor deposition gas. This technique can be applied to other metals, and we will show the formation of Mn silicides, which follows different reaction pathways and crystal shapes that can be understood through the phase diagrams of the materials involved. We finally apply this approach to create silicide inclusions near heterojunctions such as Si nanowire segments grown on GaP nanowires. The variety of nanostructures that can be formed using this silicide reaction potentially raises the chances for further device applications.
12:00 PM - S1.08
Strong White-Light Emission from Silicon-Oxycarbide Nanowire Systems Prepared by Electron Beam Lithography and Reactive Ion Etching
Vasileios Nikas 1 Brian Ford 1 Natasha Tabassum 1 John Hartley 1 Mengbing Huang 1 Spyros Gallis 1 Alain E. Kaloyeros 1
1SUNY Polytechnic Institute Albany United States
Show AbstractSilicon-oxycarbide thin films synthesized by thermal chemical vapor deposition (TCVD) can intensively luminescence in a broad emission spectral range that spans the ultraviolet, the whole visible and even the near-infrared (NIR) spectrum, when doped with erbium. Herein, we report pertinent results pertaining to room-temperature white luminescence (red, green, and blue emission) from TCVD-grown silicon oxycarbide (SiCxOy) nanowire systems fabricated by electron beam lithography and reactive ion etching. Two different types of nanostructured systems were synthesized; namely sub-100 nm diameter nanowires and nanopillars. Their light emitting properties and underlying mechanisms for light emission in the visible/ ultraviolet range (1.5 - 4.0 eV) were explored in conjunction with data from their thin films counterparts, which were also used as a baseline comparison metric. Furthermore, their structural and optical characteristics were studied by Fourier transform infrared spectroscopy (FTIR), ultraviolet-visible spectroscopic ellipsometry (UV-VIS-SE) and electron paramagnetic resonance (EPR) measurements. Using a combination of correlation and comparison of the photoluminescence (PL) microspectroscopy, PL excitation and EPR measurements for samples with and without post-fabrication passivation treatment (forming gas (H2 5 at.%, N2 95 at.%) or oxygen ambient) mechanisms involving structural defect centers typically seen in oxides were ruled out from being associated with the observed white light emission from the SiCxOy nanosystems (e.g. Si-related oxygen deficiency centers and/ or neutral oxygen vacancies). Additionally, the emitted luminescence intensity exhibited direct correlation to the Si-O-C bond density and drastically increased at excitation energies coinciding to the E04 band gaps of the materials. These results suggest that the emitted white luminescence from SiCxOy nanosystems may be attributed to radiative recombination of photo-excited carrier generation in electronic states associated with C-Si/ C-Si-O bonding configurations. An attempt to correlate the structural/ optical properties to the PL and imaging optical spectroscopy characteristics of single-isolated SiCxOy nanowires will be also presented.
12:15 PM - S1.09
Phase-Selective Cation-Exchange Chemistry in Sulfide Nanowire Systems
Dandan Zhang 1 4 Andrew Barnabas Wong 2 4 Yi Yu 1 4 Sarah Brittman 1 4 Jianwei Sun 1 4 Anthony Fu 1 4 Brandon Beberwyck 5 4 3 A. Paul Alivisatos 1 4 3 Peidong Yang 1 4 3
1University of California Berkeley Berkeley United States2UC Berkeley Berkeley United States3Kavli Energy Nanoscience Institute Berkeley United States4Lawrence Berkeley National Laboratory Berkeley United States5University of California Berkeley Berkeley United States
Show AbstractAs a cation-deficient, p-type semiconductor, copper sulfide (Cu2-xS) shows promises for applications such as photovoltaics, memristors and plasmonics. However, these applications demand precise tuning of the crystal phases as well as the stoichiometry of Cu2-xS materials for specific applications. Here, a detaied transformation diagram of cation-exchange chemistry from cadmium sulfide (CdS) into copper sulfide (Cu2-xS) nanowires is reported. By varying the reaction time and the reactants' concentration ratio, the progression of the cation-exchange process was captured, and the tunable crystal phases of the Cu2-xS are achieved. It is proposed that the evolution of Cu2-xS phases in nanowires system is dependent on both kinetic and thermodynamic factors. The reported data demonstrate that cation exchange can be used to precisely control the structure, composition, and crystal phases of nanowires, and such control may be generalized to other material systems for a variety of practical applications.
12:30 PM - *S1.10
High Performance Nanopillar Optical Antenna Avalanche Diodes
Diana Huffaker 1
1University of California Los Angeles Los Angeles United States
Show AbstractThis talk will focus on the design, fabrication and electro-optic characterization of a novel detector architecture "3D Nanopillar Optical Antenna Avalanche Detectors" (3D-NOAADs) for shrinking both the absorption and multiplication volumes using III-V nanopillars, while enhancing the optical absorption via a self aligned 3D plasmonic antenna. Wavelength tuning and hybridization of the optical absorption via Surface Plasmon Polariton Bloch Waves (SPP-BWs) and Localized Surface Plasmon Resonances (LSPRs) will be discussed. Photo-generated carrier transport from the absorption region into the multiplication region and subsequent impact ionization will also be discussed. Single pixel 3D-NOAADs exhibit substantially lower excess noise factors (keff ~ 0.13) compared to bulk, low breakdown voltages ~ 8 V and gain-bandwidth products ~ 200 GHz.
For background, Avalanche photodetectors (APDs) are essential components in active imaging systems requiring both ultrafast response times to measure photon time of flight and high gains to detect low photon fluxes. APDs improve system Signal to Noise Ratio by combining photon detection and amplification eliminating the need for front end amplifiers. An emerging trend in active imaging focal plane array technologies is reducing the pixel pitch (detector volume) for higher resolution images. However, there is an inherent trade-off between reduced detector volume and APD figures of merit.
Symposium Organizers
Jordi Arbiol, ICREA and Institut Catala de Nanociencia i Nanotecnologia (ICN2)
Kimberly Dick Thelander, Lund University
Michael Filler, Georgia Institute of Technology
Anna Fontcuberta i Morral, EPFL
Qihua Xiong, Nanyang Technological University
Symposium Support
Applied Materials, Inc.
S5
Session Chairs
Hadas Shtrikman
Erik Bakkers
Wednesday PM, April 08, 2015
Moscone West, Level 2, Room 2024
2:30 AM - *S5.01
Shape Engineering from the Synthesis of Simple Semiconductor Nanowires to Advanced Nanostructures
Philippe Caroff 1
1The Australian National University Canberra Australia
Show AbstractCurrently most semiconductor nanowire applications are still based on rather simple designs and geometries, such as single core-shell heterostructures or simple axial heterostructures (A/B or A/B/A), using hexagonally facetted <111> oriented nanowire cores/stems.
Due to the free-standing nature of these nanostructures and of facet-related growth anisotropy, it is possible to depart from the hexagonally-shaped rod-like geometry and explore different shapes to serve as a new basis for original heterostructures. These anisotropies originating from differences in surface energies, surface reconstruction, polarity, adatom diffusion and nucleation kinetics, can be controlled by manipulating the crystallographic growth direction, crystal structure, addition of impurities/surfactants and standard growth parameters.
Here I will illustrate feasible routes and methodologies to synthetically encode novel functionalities in semiconductor free-standing nanostructures using nanoscale shape/facet engineering based on crystal-phase controlled nanostructures and patterned substrates. Growth is performed in a metalorganic vapour phase epitaxy reactor and examples include quantum wells in an octagonal-shaped nanowire, nanotrees, and various sheet/membrane geometries.
3:00 AM - S5.02
Selective-Area Growth of Vertical InAs Nanowires on Ge(111)
Katsuhiro Tomioka 1 2 Fumiya Ishizaka 1 Eiji Nakai 1 Takashi Fukui 1
1Hokkaido University Sapporo Japan2JST Kawaguchi Japan
Show AbstractIII-V nanowires (NWs) are promising materials for future electronics and photovoltaics because they have high electron mobility as well as good geometry for high-performance vertical surrounding-gate transistors [1] and efficient light absorber [2]. Combination III-V NW-based vertical transistor with p-channel Ge MOSFETs as III-V/Ge CMOS circuits actively reduce a power consumption of future CMOS. And the integrating III-V NWs on Ge suppress a formation of misfit dislocation owing to nanometer-scale footprint resulting high-efficiency tandem solar cells [3]. In this regards, direct integration, specifically selective-area growth of vertical III-V NWs on Ge, would promising co-integration technique. Heteroepitaxy of highly lattice mismatched system such as InAs NWs on Ge (lattice mismatch: 6.7%) and solution of the polarity mismatch between the InAs and Ge to align vertical InAs NWs, however, have not been reported so far. Here, we report on a selective-area growth of InAs NWs on Ge(111) and how to control the growth directions of InAs NWs on Ge(111) for the first time.
In experiment, p-type and n-type Ge(111) substrates were used. 20 nm-thick SiO2 or SiN was formed using plasma-enhanced CVD. Then, openings were formed using lithography and dry/wet etching. The openings were 90 nm in diameter. Finally, InAs NWs were grown by MOVPE with H2 carrier gas. The source materials were TMIn and AsH3. The growth temperature was 5400C with V/III = 256. We investigated controlling polarity of Ge(111) surface to align vertical InAs NW because (111)B-oriented surface is required for aligning vertical InAs NWs on Ge(111). The substrate was annealed at 600°C and cooled down to 400°C in H2 ambient, and AsH3 was supplied to form As-incoporated Ge(111) 1×1 surface for 5 min. Then, we used flow-rate modulation epitaxy (FME) to terminate In atom on the (111)B-oriented surface and the NW growth was resumed.
The yield of vertical InAs NWs strongly depends on the partial pressure of AsH3, [AsH3], during the AsH3 treatment at 4000C. The yield of vertical NW was 25% when the [AsH3] was zero, and increased 95% with [AsH3] = 7.5 × 10-4 atm. This indicates the Ge(111) surface can be modified to (111)B-polar surface during AsH3 treatment at 4000C. The grown NWs were hexagonal-shape pillar structure.The average diameter of the InAs NWs was same as that of openings, which means lateral growth was suppressed.
The vertical FETs using these InAs NWs on Ge demonstrated n-type enhancement mode FET with threshold voltage of 0.10 V. And, the device showed very high-on current with subthreshold-slope of 90 mV/dev. The on-current was 0.65 mA/mm at a drain-source voltage of 0.50 V. The on/off ratio was 104. Further characterization of electrical properties and crystallographic structure at the InAs/Ge heterointerface will be reported.
[1] K. Tomioka et al., Nature 488 (2012) 189
[2] L. Hu et al., Nano Lett. 7 (2007) 3249
[3] T. Fukui et al., AMBIO 41 (2012) 119
3:15 AM - S5.03
Axial and Radial InSb-Based Nanowire Heterostructures
Diana Car 1 Marcel Verheijen 1 3 Sebastien Plissard 2 Erik Bakkers 1 4
1Eindhoven University of Technology Eindhoven Netherlands2LAAS CNRS Toulouse France3Philips Innovation Services Eindhoven Eindhoven Netherlands4Delft University of Technology Delft Netherlands
Show AbstractInSb has superior transport properties compared to other semiconductors, such as high electron mobility and large g-factor. This makes InSb NWs an important platform for detection and manipulation of Majorana quasi particles in the solid state.[2] InSb nanowires are proven to be a system in which quasi-ballistic transport is possible[3] with electron mobilities up to 4 x 104 cm2V-1s-1 at low temperatures[4] - significantly lower than the bulk value. For more advanced transport devices, higher mobilities are required. To improve the carrier mobility of nanowires passivation of the surface with a larger band gap material is a common route, such that the carriers are confined to the core. But, due to the extreme lattice parameter of InSb compared to the other III-V materials, growing a homogenous radial heterostructure is a challenge. Here, we develop a route to cap the InSb NWs with AlInSb, and GaInSb shells. We investigate the structural and transport properties of these systems. In addition, we fabricate axial GaInSb segments in the InSb wires, which can serve as a tunnel barrier. By tuning the composition and the length of the GaInSb segment we are able to tune the barrier height and width. Goal is to use the InSb-GaInSb-InSb heterostructure as a building block of a fast resonant tunnel diode. Moreover, employing a heterostructure tunnel barrier (as opposed to gate-defined tunnel barrier) in tunneling spectroscopy devices is expected to improve the resolution of the measurements.
[1] A. Yu. Goldbery, “Handbook Series on Semiconductor Parameters”, 1996, vol.1, M. Levinshtein, S. Rumyantsev and M. Shur, ed., World Scientific, London
[2] V. Mourik et al., Science 2012, 336, 1003
[3] I. van Weperen et al., Nano Lett. 2013, 13, 387
[4] S. R. Plissard et al., Nano Lett. 2012, 12, 1794
3:30 AM - *S5.04
Nanowires and Nanoplates of Two-Dimensional Layered Materials
Yi Cui 1 2
1Stanford University Stanford United States2SLAC National Accelerator Laboratory Menlo Park United States
Show AbstractTwo-dimensional (2D) layered materials host many interesting physical and chemical phenomena. Their nanowires, nanoplates and nanofilms represent novel candidates to host those phenomena. Here we present our study on chemistry and physics of 2D layered nanowires and other nanostructures. First, we have synthesized a range of morphologies and their heterostructures. Second, we have developed a new method of zero-valent intercalation which allows unprecedented high levels of various metal intercalants inserted into the van der Waals gaps. The resulted optical properties and electrical conductance change drastically. Third, we have fabricated single nanostructure electrical transport devices and demonstrate novel interesting electornic properties. Lastly, we also demonstrate the interesting tunable catalytic property.
4:30 AM - *S5.05
Advances in Flow-Solution-Liquid-Solid Nanowire Synthesis: From Fundamentals and Controlled Heterostructuring Toward Applications
Jennifer Hollingsworth 1 Rawiwan Laocharoensuk 1 2 Genqiang Zhang 1 Kumaranand Palaniappan 1
1Los Alamos National Laboratory Los Alamos United States2National Science and Technology Development Agency Khlong Luang Thailand
Show AbstractThe solution-liquid-solid (SLS) method for semiconductor nanowire synthesis is an important method for synthesizing high-quality, single-crystalline anisotropic nanomaterials that was first described by the Buhro group in 1995. Numerous examples of single-crystalline semiconductor nanowires have since been reported, including III-V (InP, InAs, GaP, GaAs), II-VI (CdSe, ZnTe, ZnSe), IV-VI (PbS, PbSe), IV (Si, Ge), and even ternary I-III-VI (CuInSe2; first described by our group a few years ago) compounds. As a technique, SLS growth has much in common with colloidal quantum-dot synthesis, as chemical precursors are injected into a hot surfactant solution to initiate processes of nucleation and growth. Mechanistically, however, SLS amounts to the solution-phase analogue of vapour-liquid-solid (VLS) growth. Like VLS, SLS requires the presence of molten metal nanoparticles to catalyse the initial nucleation of the solid-phase semiconductor and subsequently to facilitate the layer-by-layer growth of the single-crystalline nanowire. SLS is distinct from VLS in that the chemical precursors are introduced to the metal catalysts through a solution phase rather than in a vapor. Also, in SLS precursors are added in a ‘one-off&’ fashion to a flask, whereas in VLS they are carried by a flow of gas through a reaction chamber, with by-products easily and dynamically removed in this gaseous flow. Observing that the dynamic control afforded by VLS over both the introduction of reactants and removal of by-products enables more controlled growth, we aimed to develop a method that incorporated these benefits into the SLS approach, while retaining SLS attributes of low-temperature growth, electronic/chemical passivation of surface ‘dangling bonds&’ (by way of surface ligands), and solution-processibility. We showed that SLS synthesis can be transformed from a static “batch” process into a continuous technique using a microfluidic reactor (Laocharoensuk et al. Nature Nanotechnology 2013). The resulting flow-based SLS (flow-SLS) platform allows us to slow down the synthesis of nanowires and capture mechanistic details concerning their growth in the solution phase. We discovered that, for II-VI CdSe and ZnSe systems, a combined-growth model involving both Gibbs-Thomson and diffusion-limited growth is at play in SLS synthesis. Significantly, as in VLS growth it is possible to tune nanowire diameter by modifying reactant partial pressures (to influence supersaturation and so-called ‘cut-off&’ diameters), we showed that precursor ‘concentration&’ could play a similar role in flow-SLS. By controlling concentration (either of the reactant solution or through solution flow rate), we were able to tune nanowire diameters to below 10 nm. Here, I will review the flow-SLS method and its fundamental implications and show recent results directed at new axial heterostructures and novel dot-in-wire quantum emitters.
5:00 AM - S5.06
Solution Phase van der Waals Epitaxy of ZnO Wire Arrays
Yue Zhu 1 Yong Zhou 1 M. Iqbal Bakti Utama 1 Maria de la Mata 3 Yanyuan Zhao 1 Qing Zhang 1 Bo Peng 1 Cesar Magen 2 Jordi Arbiol 3 4 Qihua Xiong 1 5
1Nanyang Technological University Singapore Singapore2Univ de Zaragoza Zaragoza Spain3Institut de Ciegrave;ncia de Materials de Barcelona Bellaterra Spain4Institucioacute; Catalana de Recerca i Estudis Avanccedil;ats Barcelona Spain5Nanyang Technological University SIngapore Singapore
Show AbstractVan der Waals epitaxy enables the growth of well-crystalized material even in the presence of large lattice mismatch. Thus, the incommensurate epitaxy has been exploited in the vapor-based synthesis of planar and non-planar nanostructures from various compounds on layered substrate, where the heterointerface is primarily connected by van der Waals interactions [1,2,3]. Here we report the van der Waals epitaxy of ZnO wire arrays from a seedless solution phase synthesis on phlogopite mica [4]. Incommensurate heterointerface is observed even without complete wetting of ZnO onto the substrate. Interestingly, the imperfect contact of the heterointerface does not a#64256;ect the crystalline and optical properties on other portion of the wires. Additionally, we present patterned growth of an ordered array with hexagonal facets and in-plane alignment via laser interference lithography. These results further demonstrate the versatility of van der Waals epitaxy regardless of the crystallization method. We also anticipate that our seedless and catalyst-free solution synthesis with van der Waals epitaxy to be widely applicable in other materials and structures. References: [1] Nano Lett 2011, 11, 3051. [2] Nano Lett. 2012, 12, 2146. [3] Nanoscale 2013, 5, 3570. [4] Nanoscale 2013, 5, 7242.
5:15 AM - S5.07
Multi-Segment Growth of Silicon-Germanium Axial Heterostructure Nanowires from Low Solubility Liquid Catalysts in a Solvent Vapor Growth System
Grace Flynn 1 Martin Sheehan 1 Kevin M. Ryan 1
1University of Limerick Limerick Ireland
Show AbstractSi and Ge nanowires (NWs) are very promising materials for their use in applications such as energy storage, transistors and photovoltaics. Compound semiconductor NWs are of particular interest for their potential use in high performance devices. Herein, we present the high density growth of multi-segment Si-Ge axial heterostructure NWs in a versatile, low cost glassware system, where the vapour phase of a high boiling point solvent acts as the growth medium. A variety of heterostructure NW combinations can be grown using this system, including Si-Ge, Ge-Si, Si-Ge-Si, Ge-Si-Ge as well as double and triple Si-Ge, with minimum alloying observed at the Si-Ge interfaces. Low solubility type B catalysts (In, Sn and Bi) are chosen for the growth of these NWs as highly abrupt interfaces between the Si and Ge segments can be formed. The length of each Si and Ge segment can be controlled using this system by carefully controlling the reaction time. These NWs are characterised using high resolution transmission electron microscopy (HRTEM), dark field scanning transmission electron microscopy (DF-STEM) and energy dispersive X-ray analysis (EDX), with aberration corrected scanning transmission electron microscopy allowing for determination of the interfacial abruptness between the Si and Ge segments.
5:30 AM - S5.08
Solution-Derived ZnO Homojunction Nanowire-Films on Wearable Substrates for Self-Powered Gesture Recognition
Wenzhuo Wu 1 Ken Pradel 1 Yong Ding 1 Zhong Lin Wang 1 2
1Georgia Institute of Technology Atlanta United States2Beijing Institute of Nanoenergy and Nanosystems, Chinese Academy of Sciences Beijing China
Show AbstractEmerging applications in wearable technology, pervasive computing, human-machine interfacing and implantable biomedical devices demand an appropriate power source that can sustainably operate for extended periods of time with minimal intervention. Self-powered nanosystems, which harvest operating energy from its host (i.e. the human body), may be feasible due to their extremely low power consumption. Here we report materials and designs for wearable-on-skin piezoelectric devices based on ultrathin (2 mu;m) solution-derived ZnO p-n homojunction films for the first time. The depletion region formed at the p-n homojunction effectively reduces internal screening of strain-induced polarization charges by free carriers in both n-ZnO and Sb-doped p-ZnO, resulting in significantly enhanced piezoelectric output compared to a single layer device. By systematically designing and testing different material configurations and treatments, we were able to find the optimum materials design, and the resulting output is in agreement with fundamental theories on the working mechanism of piezoelectric NGs. The synthesis method presented in this work for producing densely packed NW films may serve as viable alternatives to conventional sputtered thin-films owing to its very low synthesis temperature. While this study only focused on bilayer structures, it shows the potential to produce more intricate n-p-n or p-n-p bipolar junctions for more complex electronics.
The p-n structure can be further grown on polymeric substrates conformable to a human wrist. The presented ultra-thin wearable piezoelectric device based on ZnO homojunction nanostructures is capable of detecting small-scale subdermal movement from the tendons in the human wrist and distinguishing different motions and hand gestures. The electronic output signals from our devices after processing the encoded information in ambient stimuli such as the muscle movement may make possible the control of embedded electronics and sensors for intelligent and adaptive operations in human-electronics interfacing, bio-probes and therapeutic devices. The ZnO homojunction piezoelectric devices may have applications in powering nanodevices, bio-probes and self-powered human-machine interfacing.
References
Pradel, K. C. *, Wu, W. Z. *, Ding, Y. & Wang, Z. L. Solution-derived ZnO homojunction nanowire-films on wearable substrates for energy conversion and self-powered gesture recognition. Nano Lett (2014).
5:45 AM - S5.09
Introducing the II-V Family at the Nanoscale with High Quality Zn3As2 and Zn3P2
Tim Burgess 1 Philippe Caroff 1 Yuda Wang 2 Bekele H. Badada 2 Howard E Jackson 2 Leigh M Smith 2 Yanan Guo 1 Hark Hoe Tan 1 Chennupati Jagadish 1
1The Australian National University Canberra Australia2University of Cincinnati Cincinnati United States
Show AbstractThe reliance of many current generation optoelectronic technologies on chemical elements of low earth abundance raises questions of sustainability. In this work we describe the use of standard MOVPE precursors to grow various nanostructures of the novel earth abundant semiconductors Zn3As2 and Zn3P2. We go on to establish the superior optoelectronic performance of these nanomaterials at 1.0 eV and 1.5 eV respectively, energies relevant to both optical communications and photovoltaics. Reporting on a wide growth parameter space and variety of seed materials, we introduce both nanowire (1D) and nanoplatelet (2D) geometries that are free from planar defects. Room temperature, single nanostructure emission is demonstrated and in the case of Zn3As2 its efficiency is quantified by transient Rayleigh scattering methods. Single Zn3As2 nanostructure devices show photodetection with an onset around the same energy as peak photoluminescence. Two new optoelectronic nanomaterials are thus introduced into the MOVPE growth repertoire. As members of the large but relatively unexplored II-V family of semiconductors, Zn3As2 and Zn3P2 represent an exciting new direction for nanotechnology research using established epitaxy techniques.
S4
Session Chairs
Alois Lugstein
Heike Riel
Wednesday AM, April 08, 2015
Moscone West, Level 2, Room 2024
9:00 AM - *S4.01
Towards Efficient Water Splitting with Nanowires
Erik Bakkers 1 2 Anthony Standing 1 Lu Gao 1 Jos Haverkort 1 Marcel Verheijen 1 3
1Eindhoven University of Technology Eindhoven Netherlands2Kavli Institute of Nanoscience, Delft University of Technology Delft Netherlands3Philips Innovation Services Eindhoven Eindhoven Netherlands
Show AbstractThe production of hydrogen from solar energy and water offers a sustainable route for fuel production and energy storage. Both photovoltaic and electrolysis systems are large-area technologies and it is therefore natural to integrate them to reduce losses and cost. Challenge is to identify materials with the best photoelectrochemical properties. Gallium Phosphide (GaP) is a semiconductor of particular interest, since it is one of the few materials that have ideal band positions for full water splitting. It, however, has an indirect band gap. GaP nanowires can be grown in the wurtzite crystal structure with a direct band gap improving solar light absorption. We report water reduction on p-type wurtzite GaP nanowire arrays. High efficiencies for this material are achieved by optimization of wire geometry, reducing resistance and enhancing absorption and active surface area. In addition, a new approach for catalyst deposition has been developed, and by combining this with the optimized wire geometry, current densities are obtained, which are close to the theoretical maximum for a material with this band gap, and are an order of magnitude higher than best-reported results.
9:30 AM - S4.02
Optical Design for near Unity Absorption in Sparse Arrays of III-V Nanowire
Katherine T. Fountaine 2 James S. Fakonas 2 Shaul Aloni 3 Harry A. Atwater 1
1California Inst of Technology Pasadena United States2California Institute of Technology Pasadena United States3Lawrence Berkeley National Lab Berkeley United States
Show AbstractGaAs and other III-V compound nanowire (NW) arrays represent an approach to enable high-quality, lattice-mismatched growth via radial strain relaxation, and also to reduce material usage and cost of photovoltaic devices without compromising external quantum yield. At low area fill fractions, NW arrays exhibit strong absorption due to light trapping. We report here on: (i) the strong, yet spectrally-dependent light absorption properties of sparse arrays of uniform III-V NWs that arise from efficient coupling into resonant leaky waveguide modes, (ii) optical design methods to achieve near unity broadband absorption via morphological and geometric optimization of NW arrays, (iii) fabrication and optical characterization of GaP NW arrays varying in geometry and wire morphology to validate theoretical results.
Previously, we determined that coupling into resonant leaky waveguide modes is responsible for absorption enhancement in sparse GaAs NW arrays via experiment, simulation and analytic theory. For light incident parallel to the NW axis, the absorption cross section enhancement factor of a single NW (r=80 nm, L=3 mu;m) exceeds 25 at the resonant TM11 wavelength, ensuring near unity absorption at resonant wavelengths even for very sparse arrays (<5% fill fraction).
To achieve near unity broadband absorption in sparse arrays, we pursued two optical design techniques using Lumerical FDTD: (i) introducing multiple wire radii within a small unit cell array to increase the number of resonant wavelengths, and (ii) tapering of NWs to introduce a continuum of radii, creating a range of resonant wavelengths. Both approaches result in improved broadband absorption over a uniform array, and for the case of optimized GaAs NW arrays, the geometrically modified arrays achieve a 15% absorption enhancement over a uniform array, with predicted absorption current density approaching that of the single junction world record cell.
To experimentally demonstrate the predicted effects of geometrically alterations, GaP NW arrays were grown on a Si {111} substrate with a PECVD-deposited thin SiNx mask layer that was patterned via e-beam lithography and reactive ion etching. Self-catalyzed growth of GaP NWs was carried out at 425°C on the patterned substrates using in-situ nucleation of Ga droplets using triethylgallium (TEG) in the patterned holes and subsequent introduction of the phosphorous precursor, tertiarybutylphosphine (TBP) to induce vertical growth of GaP NWs. The V/III ratio was used to control the wire morphology, with large V/III ratios resulting in strong tapering. The absorption of various morphologies of NWs was measured, revealing a reduction in spectral dependence for tapered NWs, as predicted from theory.
Ultimately, optical design methods were used to achieve broadband absorption enhancements in III-V NWs; these results were validated via experimental fabrication and optical characterization of MOCVD-grown GaP NW arrays.
9:45 AM - S4.03
Radial Heterojunction Crystalline Silicon Nanowire Solar Cells with 11.8% Conversion Efficiency
Olindo Isabella 1 Andrea Ingenito 1 Fai Tong Si 1 Robin Vismara 1 Miroslav Zeman 1
1Delft Univ of Technology Delft Netherlands
Show AbstractNanowires (NW) solar cells with radial junctions benefit from the orthogonalization of the light&’s path with respect to the direction of carrier collection. Other beneficial effects are light scattering from an individual NW to its neighbours and the anti-reflective (AR) effect generated by the particularly high aspect ratio. However, NW solar cells are subject to a delicate interplay between NWs dimensions and opto-electrical losses due to the unavoidable presence of passivating, doped and contact layers. Here we present a radial heterojunction (HTJ) NW solar cell based on a crystalline silicon (c-Si) absorber, an intrinsic a-Si:H passivation layer and a p-type high band gap SiOx:H emitter layer. This structure combines the beneficial light trapping effects of NWs and takes advantage of better optical and electronic properties of c-Si.
The NWs were made via mask-less dry etching on a 280-µm thick p-type c-Si wafer. The height and diameter of the NWs were roughly 2000 nm and 200 nm, respectively, while the average distance between the NWs was 750 nm. The surface of c-Si wafer with NWs was coated with intrinsic a-Si:H (30 nm), p-type SiOx:H (30 nm) and In2O3:Sn (100 nm). The back side of the wafer was phosphorus-implanted and subsequently coated with 300 nm thick Ag in the role of back contact/reflector. A flat device, without NW and with the same c-Si bulk and supporting layers, was also fabricated for comparison. The extremely high aspect-ratio of the NWs, combined with their optimal transport properties, led to substantially higher spectral response at short wavelengths (AR effect between 300 and 450 nm) and larger light absorption around the c-Si band gap compared to the flat device (950 - 1200 nm). In the remaining wavelength range (450 - 950 nm) the NW solar cell suffered from increased optical losses in supporting layers as well as higher recombination. Our radial HTJ c-Si NW solar cell exhibited an unprecedented conversion efficiency of 11.8% (device area = 4 x 4 mm2).
Next to the performance of the fabricated device, 3-D optical simulations were run to analyse the effect of the geometrical parameters of NWs with hexagonal cross-section on the implied photocurrent density (JPH) of the device, the optical losses in supporting layers and the total reflection. A sweep of NWs&’ height and duty cycle was done for different angles of incidence of light, while the thickness of bulk absorber was kept constant. Results show that higher aspect ratios of the NWs cause a reduction in the reflection, but also an increase of losses in the supporting layers. For a fixed period of 800 nm and a duty cycle of 25%, the optimal NWs height was found to be 3000 nm, balancing the optical losses in the supporting layers and total reflectance. The values of JPH remain unchanged for a wide range of angles of incidence up to 60°, suggesting that NW solar cells can have a good and constant performance for a wide range of illumination conditions.
10:00 AM - *S4.04
One-Dimensional TiO2 Nanowires for Solar to Electric and Solar to Fuel Conversion
Bin Liu 1
1Nanyang Technological University Singapore Singapore
Show AbstractTitanium dioxide (TiO2) is one of the most widely used semiconductors in photovoltaics and photocatalysis because it is nontoxic, abundant, stable and photoactive. However, the wide bandgap, low electron mobility and short minority carrier diffusion length of TiO2 limit its quantum efficiency in these applications. In this talk, we present a solution chemical approach for making TiO2 nanostructures (including rutile TiO2 nanowires on fluorine-doped tin oxide substrate, anatase TiO2 nanorods/nanoflakes on fluorine-doped tin oxide substrate, core-shell TiO2 nanowires made of beta-phase core and anatase shell, and C-doped TiO2 mesoporous microspheres) for improving the performance of TiO2 in dye-sensitized solar cells (DSSCs), photoelectrochemical cells (PEC) and photocatalysis.
10:30 AM - *S4.05
Nanowire-Based Bulk Heterojunction Solar Cells
Silvija Gradecak 1
1MIT Cambridge United States
Show AbstractEmerging PV devices based on solution-processable materials offer opportunities for the production of low-cost solar cells. To obtain high efficiencies of exciton dissociation and high photocurrent, it is desirable to have an interpenetrating network of electron-donor and electron-acceptor components within the device, referred to as a bulk heterojunction (BHJ). However, current limitations of these devices are inefficient hopping charge transport through the discontinuous percolation pathways in the BHJ films, and therefore modest power conversion efficiencies or non-competitive cost.
We have developed an alternative type of nanowire-based solar cells that are based on organic/inorganic hybrid device structures and demonstrated two distinct hybrid BHJ architectures with enhanced power conversion efficiencies. Furthermore, we have developed a simple method to grow high-quality ZnO nanowires on graphene via the hydrothermal method. Our method is enabled by an interfacial modification that preserves the structural and electrical properties of both the nanowires and the graphene. Based on the graphene/ZnO nanowire structure, we have demonstrated graphene cathode-based hybrid solar cells by using two different solution-processed photoactive materials - PbS quantum dots (QDs) and poly(3-hexylthiophene) (P3HT) conjugated polymers - and ZnO nanowires as hole and electron transport layers, respectively. We have also identified several critical parameters to further boost the device efficiency and enable scalable, cost-efficient production, and these will be discussed.
11:30 AM - *S4.06
Electron and Hole Transport in Radial GaSb-InAs Nanowire Junctions
Claes Thelander 1
1Lund University Lund Sweden
Show AbstractThe electrical properties of GaSb-InAs core-shell nanowires with different core-shell configurations has been investigated. The heterojunction is a Type II-broken gap, which is of relevance to various device concepts such as tunnel field-effect transistors, but also to fundamental studies of electron-hole interaction [1].
The radial heterojunction gives rise to gate-controlled ambipolar transport along the length of a wire, where a transition from electron dominated transport, to hole-dominated transport, is confirmed by studying the sign of a thermoelectric voltage upon applying a heat gradient. Top-gated nanowires with a pronounced symmetry in the ambipolarity are used to demonstrate frequency doubling [2].
From low temperature studies we find that the band alignment in the heterojunction can be tuned by quantum confinement, and that a transition to a staggered junction occurs for an InAs shell thickness below around 5 nm [3]. Short core-shell segments behave as core-shell quantum dots at low temperatures, where sequential filling of core (hole) states, followed by shell (electron) states is possible going from negative to positive gate voltages. In quantum dots based on nanowires with thicker shells, it is possible to study the electrostatic interaction between confined electrons and holes.
We have also begun investigations of the reverse system, with an InAs core and a GaSb shell. Here, the radial (shell) growth can be locally enhanced, and supressed, by controlling the InAs crystal phase. Such epitaxially designed core-shell quantum dots, with well-defined InAs wurtzite tunnel barriers [4], and a zinc-blende quantum dot, allows for improved control of the dot properties. In agreement with observations from the GaSb-InAs core-shell system, it is found that hole transport can be significantly enhanced by surrounding the GaSb with a very thin InAs outer shell.
[1] Knez I, Du R-R, Sullivan G. Phys. Rev. Lett. 107, 136603 (2011)
[2] Ganjipour B, Sepehri S, Dey AW, Tizno O, Borg BM, Dick KA, Samuelson L, Wernersson L-E, Thelander C. Nanotechnology 25, 425201 (2014)
[3] Ganjipour B, Ek M, Borg BM, Dick KA, Pistol ME, Wernersson LE, Thelander C. Appl. Phys. Lett. 101, 103501 (2012)
[4] Dick K A, Thelander C, Samuelson L, Caroff P. Nano Lett. 10, 3494 (2010)
12:00 PM - S4.07
Excitonic Structure of Shell-Quantum Dots in GaAs-AlGaAs Core-Shell Nanowires
Yannik Fontana 1 Pierre Corfdir 4 Barbara van Hattem 4 Luca Francaviglia 1 Eleonora Russo-Averchi 1 Martin Heiss 1 Cesar Magen 2 Jordi Arbiol 3 Richard T. Phillips 4 Anna Fontcuberta i Morral 1
1Ecole Polytechnique Feacute;deacute;rale de Lausanne Lausanne Switzerland2Univ de Zaragoza Zaragoza Spain3ICREA-ICMAB Bellaterra Spain4University of Cambridge Cambridge United Kingdom
Show AbstractSince their emergence, quantum structures have triggered a sharp interest as they offer the possibility to shape electronic states with an extended degree of freedom. Doubtlessly, harnessing quantum phenomena for technological purposes is enticing; potential outcomes range from communication and computation to sensing and energy management. In this context, nanowires (NWs) offer a flexible platform for material combination and can host a variety of quantum structures. Owing to their geometry, NWs beneficiate from a pronounced and adjustable interaction with light, which is an important characteristic for tomorrow&’s photonic applications.
Here, we propose to discuss the quantum light emission stemming from core-shell GaAs-AlGaAs NWs. The NW cores have been grown by molecular beam epitaxy using gallium as a catalyst. The growth conditions have then been adjusted to wrap the cores in epitaxial AlGaAs shells. Primarily meant as passivation layers, AlGaAs shells present rich nanostructures [1] and profoundly impact the optical properties of the cores [2-3]. We demonstrate that AlGaAs shells can host bright single photon emitters in the form of Ga-rich AlGaAs quantum dots (QDs) [4]. We show that the QDs emission originates from different excitonic complexes evolving with a fast dynamic. The exact nature of these complexes is revealed by magneto-photoluminescence (MPL) experiments, and important parameters such as the exchange interaction splittings and g-factors can be quantified [5].
Finally, using three-dimensional MPL, we obtain further information on the shape of the QDs and on the angular dependence of the g-factors [6]. In particular, we show that the tuning of the g-factors is possible and can lead to a situation where the Zeeman splitting between the bright excitonic transitions can be fully cancelled. This case is hardly encountered in other types of QD and represents a peculiar characteristic of our shell-QDs.
[1] Zheng et al., Nano Lett. 13, 8 (2013)
[2] Hocevar et al., Appl. Phys. Lett. 102, 191103 (2013)
[3] Dhaka et al., Nano Lett. 13, 8 (2013)
[4] Heiss et al., Nature Mater. 12 , 5 (2013)
[5] Fontana et al., Phys. Rev. B.90, 075307 (2014)
[6] Corfdir et al., in preparation
12:15 PM - S4.08
Transport Characteristics of SiGe/Si/SiGe Multishell Nanowire Heterostructures
Jinkyoung Yoo 1 Yung-Chen Lin 1
1Los Alamos National Laboratory Los Alamos United States
Show AbstractOne of the most important characteristics of semiconductor nanowire heterostructures is modulation of composition and dopant profiles along either radial and axial directions. Radial nanowire heterostructures provide ideal platforms for high-mobility electronic devices, highly efficient photovoltaic applications, and energy storage. However, the details of band structure engineering in radial nanowire heterostructures and realizing devices based on highly engineered radial nanowire heterostructures have rarely been studied since the study requires integrated approach from epitaxy in nanoscale to sophisticated device fabrication to transport measurements under various conditions such as variable temperature and optical excitation. Here, we present the growth and transport characterization of SiGe/Si/SiGe multishell nanowire heterostructurs. SiGe/Si/SiGe multishell heterostructurres can have several carrier transport paths: inserted Si tubular layer, outmost SiGe shell by change of bias applications.
SiGe/Si/SiGe multishell nanowire heterostructures were prepared by low-pressure chemical vapor deposition. The core SiGe nanowires were grown by Au-catalyzed vapor-liquid-solid process with silane and germane. The Au catalysts at the tips of SiGe nanowires were removed. Subsequently, Si and SiGe multishell layers were epitaxially grown by chemical vapor deposition. By controlling the ratio of silane and germane the composition of SiGe was controlled. The electrical conductivity type and doping concentration of core SiGe NWs and outmost SiGe shells were controlled by introducing diborane and phosphine. Top-gated single nanowire heterostructure devices with multi-terminals were fabricated by e-beam lithography and metallization processs. Transmission electron microscopy was employed to characterize the structural properties of the interfaces between adjacent layers. Fully single crystalline SiGe/Si/SiGe multishell nanowire heterostructures were successfully prepared. The transport characteristics under low temperature (80 to 200 K) will be discussed in detail.
12:30 PM - S4.09
Scaling down the Field Effect Transistors Based on Individual InAs Nanowire
Mengqi Fu 1 Tuanwei Shi 1 Dong Pan 2 Jianhua Zhao 2 Xiaoye Wang 2 Tao Yang 2 Qing Chen 1
1Peking University Beijing China2Institute of Semiconductors, Chinese Academy of Sciences Beijing China
Show AbstractSilicon industry has been doing very successfully in the last decades mainly by scaling down the silicon devices. InAs nanowires (NWs) are competitive candidates for high performance n-type devices owing mainly to their high electron mobility. However, the ability to improve the performance of InAs NW field effect transistors (FETs) through scaling down has not been studied a lot. To make things complicated, InAs NWs may have zinc blend (ZB) or wurtzite (WZ) phases, or even mixture of ZB and WZ phases when their diameters are different. Our previous studies demonstrate excellent off characteristics and enhancement-mode in FETs based on ultrathin (with sub-10 nm diameter) InAs NWs having pure WZ structure.[1, 2] High Ion/Ioff ratio up to 108, and subthreshold swing of 120 mV/decade have been observed. Diameter-dependent property changes have been studied and quantum confinement effects have been considered. Here, we study the effect of shortening the channel length of the InAs NWs FETs. FETs with the channel length down to tens of nanometers are fabricated and studied. Ballistic transport is observed in short channel devices. The effects of different phases are also studied.
Reference:
[1] Mengqi Fu, Dong Pan, Yingjun Yang, Tuanwei Shi, Zhiyong Zhang, Jianhua Zhao, H. Q. Xu and Qing Chen*, Appl. Phys. Lett. 105 (2014) 143101.
[2] D. Pan, M. Q. Fu, X. Z. Yu, X. L. Wang, L. J. Zhu, S. H. Nie, S. L. Wang, Q. Chen, P. Xiong, S. von Molnár, J. H. Zhao, Nano Lett., 14(2014), 1214.
12:45 PM - S4.10
Modifying Electrical and Optical Properties of Solution Derived ZnO Nanorods via Surface Doping
Xin Zhao 1 Yuan Gao 1 Shijie Wang 3 Hilmi Volkan Demir 2 Handong Sun 1
1Nanyang Technological University Singapore Singapore2Nanyang Technological University Singapore Singapore3A*STAR Singapore Singapore
Show AbstractZnO nanostructures have attracted tremendous attention in the field of ultraviolet (UV) optoelectronic devices for their wide band gap and large exciton binding energy. Various methods have been applied to gain high quality ZnO nanorods (NRs) array. However, these methods usually require complex set-up and the product yield is low. Besides growth, intentionally incorporating dopant to manipulate the electrical performance of the UV devices based on ZnO NRs is of great significance. Nevertheless, the dopants introduce structural defects in the core of NRS, impairing the UV emission. To address above noted challenges, we propose a two-step methodology of growing Ga doped core-shell ZnO NRs with enhanced optical property.
Firstly, undoped ZnO NRs array is grown on p-GaN wafer by low-cost hydrothermal method in our experiments. Successively, the NRs array is annealed and serves as template to be coated with gallium doped zinc oxide (GZO) thin film by pulsed laser deposition. The structure is designed to show two advantages. On the one hand, the Ga doped shell builds up high concentration electron layer to prevent the holes from diffusing to the surface and suppresses their recombination with deep energy levels. On the other hand, surface doping could enhance the n-type conductivity and circumvent the doping deficiency of hydrothermal method.
Scanning Electron Microscopy shows that samples are well aligned and free of entanglement. High resolution transmission electron microscopy images clearly resolve the core-shell structure of the NRs and the thickness of the shell is measured.
Temperature dependent photoluminescence are studied. In near band edge region, defect-related shoulder near 3.33 eV (10 K) is largely suppressed in the core-shell NRs. Two electron satellite of donor bound exciton peak emerge in the spectrum of the coated sample, from which the dopant ionization energy is calculated to be 56 meV, fairly close to the 54.5 meV ionization energy of Ga. As for deep level emission (DLE), an asymmetric broad peak dominates the visible region from 10K up to room temperature. The relative intensity of DLE (DLE/NBE) reduces by 57% after 5 nm GZO coating, indicating the improvement of the optical property. Furthermore, the broad DLE peak is decomposed to two components by Gaussian fitting. In contrast to the red-shift in the control sample, both the green component (c.a. 2.44 eV) and the orange-red peak (c.a. 2.12 eV) shift to higher energy due to Burstein Moss effect (BM) in the core-shell rod between 200 and 300 K, implying additional donors (e.g. Ga) are incorporated into the sample.
X-ray photoelectron spectroscopy is conducted and further confirms the existence of Ga. A detailed study on the components of the element oxygen is implemented. The high energy component of O 1S reflects the amount of surface absorbed O. This component is largely reduced in the core-shell samples, indicating the decrease of surface depletion.
Symposium Organizers
Jordi Arbiol, ICREA and Institut Catala de Nanociencia i Nanotecnologia (ICN2)
Kimberly Dick Thelander, Lund University
Michael Filler, Georgia Institute of Technology
Anna Fontcuberta i Morral, EPFL
Qihua Xiong, Nanyang Technological University
Symposium Support
Applied Materials, Inc.
S7
Session Chairs
Qihua Xiong
Michael Filler
Thursday PM, April 09, 2015
Moscone West, Level 2, Room 2024
2:30 AM - *S7.01
Accurate Ultrafast and Contact-Free Measurements of Charge Carrier Transport and Dynamics in III-V Nanowires
Hannah Jane Joyce 1 Patrick Parkinson 2 Nian Jiang 3 Jennifer Wong-Leung 3 Chaw Keong Yong 2 Callum Docherty 2 Qiang Gao 3 Hark Hoe Tan 3 Chennupati Jagadish 3 Laura Herz 2 Michael Johnston 2
1University of Cambridge Cambridge United Kingdom2University of Oxford Oxford United Kingdom3The Australian National University Canberra Australia
Show AbstractIII-V nanowires exhibit outstanding potential as nanocomponents for future electronic and optoelectronic devices. In order to develop these nanowire-based technologies further, it is essential to control the electronic properties of the nanowires, and to understand the limitations on charge carrier transport and dynamics. Unfortunately, measuring nanowire electronic properties using traditional contact-based techniques has proved challenging, because forming electrical contacts to nanoscale structures is technically difficult and introduces artefacts.
To avoid these problems, non-contact probes of nanowire conductivity are highly desirable. Optical pump-terahertz probe (OPTP) spectroscopy is one such non-contact probe, capable of measuring carrier transport and dynamics with sub-picosecond temporal resolution. It is therefore ideally suited to studies of nanowires at room temperature. OPTP spectroscopy provides two principal measurements: photoconductivity decays and spectra. Photoconductivity decays provide information on the charge carrier lifetime and surface recombination velocity. Photoconductivity spectra of nanowires exhibit a pronounced surface plasmon mode, and from these spectra, electron mobilities can be readily extracted.
This study spans a variety of technologically important III-V nanowires and heterostructure nanowires, including GaAs, InAs, InP, and GaAs/AlGaAs core-shell nanowires. Of all nanowires studied, InAs nanowires exhibited the highest electron mobilities of over 6000 cm2V-1s-1. InP nanowires exhibited the lowest surface recombination velocity of just 170 cm/s. This makes InP nanowires promising for applications which require long charge carrier lifetimes, such as photovoltaics. However, the electron mobility, measured as below 600 cm2V-1s-1, was strongly limited by the high density of stacking faults in these predominantly wurtzite InP nanowires. This points to the importance of controlling the crystal phase of InP nanowires for future device applications.
Bare GaAs nanowires featured the highest surface recombination velocities (> 105 cm/s), and photoconductivity lifetimes below 5 ps. Bare GaAs nanowires also exhibited significantly lower charge carrier mobilities than their bulk GaAs counterparts, due to carrier scattering at the nanowire surface. To improve the lifetimes and mobilities, we investigated engineering the GaAs nanowire surface by overcoating with AlGaAs shells. This increased the photoconductivity lifetime in the GaAs core by almost 3 orders of magnitude to up to 1.6 ns. These GaAs/AlGaAs core-shell nanowires achieved room temperature electron mobilities above 2500 cm2V-1s-1 in the GaAs core. This electron mobility is significantly higher than in bare GaAs nanowires, and is approaching values typical of high quality bulk GaAs. The long photoconductivity lifetime and high electron mobility suggest the immediate suitability of these nanowires for optoelectronic devices.
3:00 AM - S7.02
Defect Dependence of Electron Transport in InAs Nanowires Studied by Electron Holography
Vadim Migunov 2 Zi-An Li 1 Marina Spasova 1 Michael Farle 1 Rafal Dunin-Borkowski 2
1University of Duisburg-Essen Duisburg Germany2Ernst Ruska-Centre, Peter Gruenberg Institute, Research Centre Juelich Juelich Germany
Show AbstractThe correlation of atomic structure with electron transport is of great importance for understanding the properties of nanoscale devices. For example, defect-free semiconductors are required for photovoltaic and solar cell applications to avoid the recombination of charge carriers. In III-V nanowires, which are of interest for high power applications and fast electronics as a result of their direct band gaps and good crystallinity, the influence of defects such as changes between wurtzite (Wz) and zincblende (ZB) polytypes [1] on electron transport is still not fully understood. Although the presence of spontaneous polarization at Wz/ZB interfaces is thought to influence optical properties and electron transport [2], this has never been proved experimentally.
Here, we use in situ transmission electron microscopy (TEM) to study the dependence of electron transport on defect density in epitaxially-grown InAs nanowires. The atomic structures of individual nanowires are characterized in the TEM prior to resistivity measurements. The lattice polarities in the nanowires are found to be unchanged across Wz/ZB interfaces, while the resistivities of the nanowires are found to increase with defect density.
In order to establish the mechanism that is responsible for changes in nanowire conductivity, we have performed electron holography on electrically biased nanowires in situ in the TEM. We make use of differences between electron-optical phase images recorded at difference applied bias voltages to eliminate contributions to the recorded signal from mean inner potential and dynamical diffraction effects. The resulting phase difference images reveal local changes in potential inside the nanowires with sub-nm spatial resolution, thereby providing valuable information about the influence of planar defects in InAs nanowires on electron transport.
[1] Kimberly A. Dick, Claes Thelander, Lars Samuelson, and Philippe Caroff, Nano Lett.10 (2010) 3494 - 3499
[2] Shadi A Dayeh, Semicond. Sci. Technol.25 (2010) 024004
3:15 AM - S7.03
Confinement in GaAs Polytype Quantum Dots
Neimantas Vainorius 1 Sebastian Lehmann 1 Daniel Jacobsson 1 Anders Gustafsson 1 Lars Samuelson 1 Kimberly Dick Thelander 1 2 Mats-Erik Pistol 1
1Lund University Lund Sweden2Lund University Lund Sweden
Show AbstractIII-V semiconductor nanowires are promising building blocks for next generation electronic and optoelectronic devices. One attractive characteristic of such nanostructures is that they can be grown in both wurtzite (WZ) and zinc blende (ZB) crystal phases, even though only the latter can be found in bulk. Rapid development of nanowire growth techniques now allows switching from one crystal phase to another with high precision and in a controlled way, which paves the way to form heterojunctions along the nanowires by alternating crystal phase, rather than type of material, thus alleviating effects of strain induced by lattice-mismatch. However, very little is known about the WZ crystal phase and even less about WZ-ZB heterostructures.
We have developed a technique to produce nanowires containing exactly one polytype quantum dot with thickness control. In this work we report the results of comprehensive investigation of the optical and structural properties of a large set of individual ZB GaAs quantum dots embedded in WZ GaAs nanowires, as well as the inverse system with atomically sharp interfaces. We have cross-correlated the power dependent photoluminescence measurements of all dots with high resolution transmission electron microscopy which allows an extremely precise correlation between the geometry of the dot and the emission energy. The main emission peak is shifting with excitation power density in a way that is typical of a type II band alignment. We find that short (4-20 nm) ZB GaAs segments/dots in WZ GaAs confine electrons and that the inverse system confines holes. Thus, we report that the conduction and valence bands of WZ GaAs are both energetically higher than the corresponding bands in ZB GaAs with the offset of 115 meV, with the bandgaps of the two polytypes, by coincidence, being very similar. By varying the thickness of the dots we find strong quantum confinement effects which allow us to extract the effective mass of the carriers. The holes at the top of the valence band have an effective mass of approximately 0.45 m0 in WZ GaAs according to our investigation. The thinnest WZ dot corresponds to a twin plane in ZB GaAs. This twin plane gives efficient photoluminescence and binds an exciton with a binding energy of roughly 50 meV. The important fundamental band structure parameters extracted from our findings will be of general interest for future research and band structure engineering.
3:30 AM - S7.04
Crystal phase Quantum Dots in GaP Nanowires
Jos Haverkort 1 Thuy Vu 1 Simone Assali 1 Nika Akopian 1 2 Maaike Bouwes Bavinck 2 Klaus Jons 2 Marcel Verheijen 1 3 Val Zwiller 2 Erik Bakkers 1 2
1Eindhoven University of Technology Eindhoven Netherlands2Kavli Institute of Nanoscience Delft Netherlands3Philips Innovation Services Eindhoven Netherlands
Show AbstractOne distinctive advantage of nanowires is that they enable the growth of both zincblende (ZB) and wurtzite (WZ) nanowire sections. Due to the band gap difference, a ZB/WZ/ZB nanowire section provides crystal phase quantum dots [1] (CPQD) in a chemically homogeneous nanowire. The atomically flat interfaces results in extremely high quality quantum dots, which can be exploited as building blocks for solid state quantum systems. However, a precise control of crystal structure down to an atomic layer is notoriously challenging.
In this work we demonstrate for the first time the controlled growth of atomically sharp WZ [2] and ZB GaP nanowire sections, resulting in the formation of CPQDs along the nanowire length. We will discuss the band alignment between ZB and WZ GaP with special emphasis on the internal electric fields due to the spontaneous polarization in WZ GaP. The resulting nanowire CPQDs show narrow emissions (~50 µeV) at visible wavelengths in between the bandgaps of WZ and ZB GaP. Our results open up an opportunity for realization of more complex solid-state quantum system based on crystal phase quantum structures.
References
1 N. Akopian, et al., Nano Lett.10, 1198 (2010).
2 S. Assali, et al., Nano Lett.13, 1559 (2013).
3:45 AM - S7.05
Generic Approach to Fabricate Single-Crystalline Lonsdaleite Silicon and Germanium
Hakon Ikaros T. Hauge 1 Marcel Verheijen 1 Ang Li 1 Simone Assali 2 Erik Bakkers 2
1Eindhoven University of Technology Eindhoven Netherlands2TU Eindhoven Eindhoven Netherlands
Show AbstractNanowires (NWs) have developed into a fertile scientific field in recent years due to the ability to control their dimensions, their doping and to form novel crystal structures. Bulk Silicon (Si) and Germanium (Ge) grow in the diamond-cubic crystal structure and have notorious indirect bandgaps. On the other hand, Ge with the Lonsdaleite (LD) crystal structure is predicted to have a direct bandgap whilst LD Si is predicted to have a conduction band minimum at the Γ point1, 2, 3, 4. LD which is a hexagonal crystal structure refers to group IV crystals and has atomic positions equal to wurtzite (WZ). Here we develop an approach to fabricate LD Si and LD Ge structures by taking advantage of WZ Gallium Phosphide (GaP)5, 6 NWs. The ability to grow LD Si and LD Ge, their promise of new optical and electrical properties and their eventual integration as photon sources to existing Si technology are thus of high technologic interest. The influence of the growth conditions is presented while advanced structural and optical characterization is performed. Lastly, the possibility of applying strain on LD Si could potentially lower the conduction band minimum resulting in direct band-gap silicon.
References:
1 Joannopoulos & Cohen, Phys. Rev. B (1973), 7, 2644-2657
2 De A. and Pryor C. E., J. Phys. Condens. Matter 26 045801
3 Raffy C. et al., Phys. Rev. B 66, 075201 (2002).
4 Persson & Janzén, J. Phys. Condens. Matter (1998), 10, 10549-10555
5 Algra R. et al., Nano Lett. (2011), 11, 1690-1694
6 Assali S. et al., Nano Lett. (2013), 13, 1559-1563
4:30 AM - *S7.06
Group III-Nitride Nanowire Heterostructures: Model System for Material Physics and Environmental Probes
Martin Eickhoff 1 Joerg Teubert 2
1Justus-Liebig-Universitaet Giessen Giessen Germany2Justus-Liebig-Univ Giessen Giessen Germany
Show AbstractGroup III-nitride (III-N) nanowires (NWs) and nanowire heterostructures (NWHs) are a topic of intense current research. Part of these activities is motivated by the possibility of realizing novel, nanoscaled optoelectronic devices with improved stability and efficiency or the perspective of improving electronic devices due to the low density of structural defects. We report on recent results concerning the growth of (Al,In,Ga)N/GaN nanowire heterostructures and their properties as a model system for the effect of internal electric fields on the relation between structural and optical properties of axial NWHs.
We demonstrate the presence of strain-induced radial and polarization-induced internal electric fields and show that the axial internal electric field can be probed by studying the effect of external electric fields on the photoluminescence properties of electrically contacted complex NWHs. Efficient n-type doping of GaN NWs can be achieved with Germanium as a donor. Temperature-dependent analysis of the Seebeck coefficient for single NWs yields a free carrier density of up to 4x1019 cm-3 and Ge-doping of optically active GaN NDs in AlGaN/GaN NWHs facilitates electrostatic screening of polarization-induced internal electric fields. Due to the high carrier density in the NDs we also observed infrared absorption due to intersubband transitions.
We further show that the photoluminescence properties of GaN- and InGaN-NWs in electrolyte solutions sensitively depend on the applied bias and the pH-value. We discuss this behavior in terms of photoactivated hole-transfer to RedOx-levels in the electrolyte, facilitating the application as spatial-resolved electrochemical sensors and biophotonic probes.
5:00 AM - S7.07
InGaN/GaN Tunnel Junction Dot-in-a-Wire Light Emitting Diodes
Sharif Md. Sadaf 2 Yong-Ho Ra 1 Hieu P. T. Nguyen 3 Junjie Kang 1 Mehrdad Djavid 1 Zetian Mi 1
1McGill University Montreal Canada2McGill University Montreal Canada3NJIT NewYork United States
Show AbstractGaN nanowire based light emitting diodes (LEDs) have emerged as a strong contender for solid-state lighting applications because of the drastically reduced dislocation densities and polarization fields compared to planer III-nitride quantum well based LEDs[1]. Such bottom-up nanowires grown on Si substrates is particularly attractive from different device processing and application perspectives.However, the device performance, including output power, resistance, and efficiency droop needs to be drastically improved[2].Moreover, embedding multiple-active-region self-organized quantum dots in vertically aligned nanowires has long been a technological constriction. In this context, we have integrated GaN/InGaN/GaN polarization engineered tunnel junction in dot-in-a-wire LEDs, which enables us to solve these issues by and large. We have demonstrated p-GaN top contact free dot-in-a-wire structureswith significantly reduced device series resistance. Unique to this work, we also realized nanowire LEDs incorporating multiple-active-regions connected by tunnel junctions that enables multiple photon emission for a single electron injection, thereby significantly enhancing the light output.This unique designalso promises low current, high voltage operation, and offers the flexibility for voltage agility and lumen output controllability depending upon the applications. Nearly white light emission is achieved in this unique multiple-junction LEDs by varying the In content in different junctions. In this study,GaN/InGaN dot-in-a-wire structureswere grown on n-Si (111) substrate using radio frequency plasma-assisted molecular beam epitaxy (MBE). These vertically aligned nanowires contain 10 self-organized InGaN/GaN quantum dots. For multiple wavelength emission we have varied the substrate temperature to achieveoptimum In incorporation in different active regionsfor blue, green and red emission. Detailed TEM studies further confirmed the presence of tunnel junction and multiple-active regions in the nanowires.
5:15 AM - S7.08
Direct Imaging of Axial and Radial p-n Junctions in GaN Core-Shell Wires
Pierre Tchoulfian 1 2 3 Fabrice Donatini 1 2 Francois Levy 3 Amelie Dussaigne 3 Pierre Ferret 3 Julien Pernot 1 2 4
1University of Grenoble Grenoble France2CNRS Grenoble France3CEA Grenoble France4Insitut Universitaire de France Paris France
Show AbstractWhile core-shell wire-based devices offer a promising path toward improved optoelectronic applications, their development is hampered by the present uncertainty about essential semiconductor properties in these three-dimensional (3D) structures. Because of this 3D geometry, the full promise of core-shell wire devices relies on a nanoscale spatially resolved understanding of their properties along the axial (c-plane) and radial (m-plane) p-n junctions.
A combination of scanning electron beam probing techniques such as electron beam induced current (EBIC) and secondary electron (SE) voltage contrast (VC) was employed to directly image the 3D p-n junction present in a cleaved GaN core-shell microwire[1].
Core-shell GaN microwires with buried p-n junction were grown using catalyst-free MOVPE on N-polar GaN free standing substrate and n-type (100) silicon substrate. After collective contact process of a wire group on its growth substrate, a simple manual cross-sectional approach provided access to the 3D buried junction and was found to be successful for GaN substrates as well as for Si substrates.
EBIC measurement demonstrates the presence of a radial junction along the non polar m-plane sidewalls even on uncleaved wires while inspection of cleaved wires also reveals the existence of an axial junction along c-plane at the top of the wire. This demonstrates the interest of the cross-sectional approach to readily image the p-type shell presence all around the n-type core and the p-n junction activity in core-shell wire-based devices.
Thanks to a controlled ebeam exposure on wires without p-GaN activation annealing, EBIC mapping was also evidenced to dynamically infer Mg dopant ebeam activation. This provides a probe to locally check the success of an activation annealing. From EBIC profile, minority carrier/exciton diffusion length on n- and p-side was inferred to be Ln=20 nm and Lp=40 nm in the radial junction. Depletion width W was also determined in the range 50-60 nm for a bias voltage of 0 V while this value was found to follow a V1/2 dependence with applied reverse bias as expected in an abrupt p-n junction model.
VC technique is shown not only to delineate the 3D p-n junction but also to locally map the electrostatic potential in its vicinity. The depletion widths on both n-side Wn and p-side Wp were directly extracted from SE profiles. Then, by using classical p-n junction theory, both donor Nd and acceptor Na doping levels were spatially estimated.
The good agreement in measured W from EBIC and VC measurement demonstrates the consistency of these ebeam probing approaches, which paves the way to the development of more efficient core-shell wire-based p-n junction devices.
[1] P. Tchoulfian, F. Donatini, F. Levy, A. Dussaigne, P. Ferret, and J. Pernot, Nano Letters, 14 p 3491 (2014). DOI: 10.1021/nl5010493.
5:30 AM - S7.09
Tailoring the Diameter of Au-Free GaAs Nanowires for Photovoltaics
Federico Matteini 1 Vladimir Dubrovskii 2 3 4 Daniel Rueffer 1 Goezde Tuetuencueoglu 1 Heidi Potts 1 Yannik Fontana 1 Anna Fontcuberta i Morral 1
1EPFL Lausanne Switzerland2St. Petersburg Academic University St. Petersburg Russian Federation3Ioffe Physical Technical Institute of the Russian Academy of Sciences St. Petersburg Russian Federation4ITMO University St. Petersburg Russian Federation
Show AbstractSemiconductor nanowires are a promising platform for next generation solar cells. Within the wide range of possible materials, GaAs, with a direct bandgap of 1.42 eV, is one of the most promising in terms of performances. Furthermore, the integration of GaAs on Si platform by self-assembly would directly enable the formation of dual-junction cells. It has been shown that the realization of highly efficient nanowire-based solar cells requires the tailoring of the nanowire diameter to maximize the absorption cross-section, and tailoring of the density to maximize the absorption of the solar spectrum.
In the present work we demonstrate the importance of the exact nature of the native oxide for controlling Ga-assisted GaAs nanowire growth. In particular we show the role of its wetting/dewetting properties in the growth process. Subsequently, the correlation of diameter and density of self-catalyzed GaAs nanowires on Si(111) substrates is investigated. A novel archetype of the characteristic nucleation time controlled by group III flux and temperature is observed to determine diameter and density distributions of GaAs nanowires. This insight into the growth mechanism is then used to grow nanowire forests with a completely tailored diameter-density distribution. The effect of the latter properties onto reflectivity are shown. This work opens new opportunities for cost-effective and controlled fabrication of ensembles of self-catalyzed III-V nanowires.
References:
P. Krogstrup et al, Nature Photon. 2013, 6, 468-476; J. Wallentin et al Science 2013, 339, 1057-1060.
5:45 AM - S7.10
Tunneling Devices Based on InP/InGaAs Core/Shell Nanowires
Bahram Ganjipour 1 Ofogh Tizno 2 Magnus Heurlin 2 Magnus Borgstrom 1 Claes Thelander 2 Lars Samuelson 2
1Lund Univ Lund Sweden2Lund University Lund Sweden
Show Abstract[email protected]
Since the first demonstration of a negative differential resistance in heavily doped reversed biased germanium p-n junction (known as tunnel diode) in 19571, the tunnel diode has been the subject of numerous studies as building blocks for ultra-low power electronics in which high efficiency band to band tunneling is required2. Tunneling field-effect transistors (TFETs) have attracted a lot of attention for ultra low-power electronic applications because of superior OFF-state performance, which is due to the fact that the subthreshold slope (SS) can be steeper than 60mV/dec MOSFET theoretical limit at room temperature3. However, to date, TFETs suffer from poor-ON state, which can be addressed by increasing the tunneling area and electric field. In this respect, radial nanowire heterostructures are attractive candidates for boosting the ON-state and improving SS of T-FETs because the tunneling area and the electric field are proportional to LNWRNW and VGS/RNW . A Core/shell geometry also enables the gate electric field to align with the internal junction field which may result in an improved SS 4.
Electrical properties of heavily-doped InP/InGaAs core/shell nanowires with different shell doping concentration were studied. We observed a clear tunnel diode behavior with negative differential resistance region in forward direction. At room temperature the fabricated tunnel diodes exhibit peak-to-valley current ratios (PVCR) up to 11 and reverse current up to 10 µA. We have studied the effect of the shell doping concentration on band-to-band tunneling current. Both peak and reverse currents increase with increasing InGaAs shell doping concentration. A high PVCR in this material system indicate low defect density states, which make this material system a promising candidate for realization of steep-slope devices. Nanowire are processed into radial tunneling field effects by fabricating Omega-shaped top-gates.
[[1]] L. Esaki, Phys. Rev.109, 603(1958).
[3] A. M. Ionescu and H. Riel, Nature 479, 329(2011)
[2] D. Pawlik, M. Barth, P. Thomas, S. Kurinec, S. Mookerjea, D. Mohata, S. Datta, S. Cohen, D. Ritter, S. Rommel, Device Research Conference, page163-164(2010).
[4] Y.Lu, G. Zhou, R. Li, Q.Liu, Q. Zhang, T. Vasen, S. D. Chae, T. Kosel, M. Wistey, H. Xing, A. Seabaugh, P. Fay, IEEE Electron Dev Lett.33, 655 (2012).
S6
Session Chairs
Jennifer Hollingsworth
Frances Ross
Thursday AM, April 09, 2015
Moscone West, Level 2, Room 2024
9:00 AM - *S6.01
Guided Growth of Horizontal Nanowires: A General Approach to Structural Control and Large-Scale Integration
Ernesto Joselevich 1
1Weizmann Institute Rehovot Israel
Show AbstractThe large-scale assembly of nanowires (NWs) with controlled orientation on surfaces remains one challenge toward their integration into practical devices. Recently, we reported the vapor-liquid-solid growth of perfectly aligned, millimeter-long, horizontal NWs of GaN [1], ZnO [2] and other materials with controlled crystallographic orientations on different planes of sapphire, SiC [3], quartz [4], and spinel [5]. The growth directions and crystallographic orientation of the NWs vary with each surface orientation, as determined by their epitaxial relationship with the substrate, as well as by a graphoepitaxial effect that guides their growth along surface steps and grooves. We also demonstrated the feasibility of massively parallel “self-integration” of NWs into circuits via guided growth [6], including hundreds of single-NW based field-effect transistors made all at once, and complex logic circuits, such as a 3-bit address decoder. Here we demonstrate the generality of the guided growth phenomenon to a variety of semiconductor materials with interesting optical, electronic and optoelectronic properties, as well as to different types of substrates. These findings highlight the potential of guided growth as a general approach for the large-scale integration of NWs into a wide range of functional systems.
[1] D. Tsivion, M. Schvartzman, R. Popovitz-Biro, P. von Huth, E. Joselevich, Science, 333, 1003 (2011).
[2] D. Tsivion, M. Schvartzman, R. Popovitz-Biro, E. Joselevich, ACS Nano, 6, 6433 (2012).
[3] D. Tsivion, E. Joselevich, Nano Lett., 13, 5491 (2013).
[4] L. Goren-Ruck, D. Tsivion, M. Schvartzman, R. Popovitz-Biro, E. Joselevich, ACS Nano 8, 2838 (2014).
[5] D. Tsivion, E. Joselevich, J. Phys. Chem C118, 19158 (2014).
[6] M. Schvartzman, D. Tsivion, D. Mahalu, O. Raslin, E. Joselevich, Proc. Nat. Acad. Sci. USA, 110, 15195 (2013).
9:30 AM - S6.02
Large area infrared avalanche photodetectors based on InP/InAsP NWs
Vishal Jain 1 2 Magnus Heurlin 1 Lorenzo Bosco 1 Ali Nowzari 1 Magnus Borgstrom 1 Federico Capasso 3 Lars Samuelson 1 Hakan Pettersson 1 2
1Lund University Lund Sweden2Halmstad University Halmstad Sweden3Harvard University Cambridge United States
Show AbstractOptical communication systems benefit a lot from avalanche photodetectors (APDs) due to their increased photocurrent gain as compared to conventional photodetectors. An avalanche region in a high bandgap material is especially useful to avoid the tunneling leakage currents in smaller bandgap materials needed for absorption at 1.3/1.55 µm wavelengths. Self-assembled III-V semiconductor nanowires (NWs) have key advantages owing to the enhanced absorption due to optical resonance effects and strain relaxation facilitating monolithic integration of different III-V heterostructures on strongly mismatched substrates e.g. silicon.
Here, we present electrical and optical characteristics of large ensembles of InP/InAsP NWs, axially grown on p+ InP substrates. The NW base consists of an InP p-n junction acting as the avalanche region followed by an InP/InAsP absorption region, and ending with a top InP n+-segment. The 130nm diameter NW arrays are contacted in a vertical geometry using SiO2 as the insulating layer and ITO as the top contact. The n-doping in the avalanche region is varied to study it&’s influence on the avalanche mechanism. Also the bandgap in the absorption region is varied from pure InP to smaller bandgap InAsP by varying the As content. Clear interband signals from different crystal phases of InP/InAsP are observed in photocurrent spectroscopy. We also compare electrical results from single NWs contacted laterally from the same as-grown samples. The experimental results are further corroborated with simulations showing the dependence of avalanche bias on the doping and bandgap in the NW segments. These results give useful insight towards optimization of avalanche photodetector devices based on III-V NWs.
9:45 AM - S6.03
Doping Dynamics of Laterally-Grown p-n Junction GaAs Nanowires Revealed Using Scan-Probe Microscopy Techniques
Wonsik Choi 3 2 4 Parsian K. Mohseni 3 2 4 Eric Seabron 1 2 William Wilson 1 2 Xiuling Li 3 2 4
1University of Illinois - Urbana Champaign Urbana United States2Fredrick Seitz Materials Research Laboratory Urbana United States3Micro and Nanotechnology Laboratory Urbana United States4Beckman Institute Urbana United States
Show AbstractOver the last two decades, III-V compound semiconductor nanowires (NWs) have been the focus of extensive research efforts. III-V NWs are particularly attractive for a wide variety of next-generation nanoelectronics and optoelectronics applications, in part due to their proven potential for unprecedented freedom in bandgap engineering, monolithic heteroepitaxial integration, and materials cost reduction. Of further interest is the technologically relevant subset of laterally-grown or planar epitaxial NWs, which hold the promise for nanoscale transistor scaling beyond the limits of the current Si-based roadmap. However, to date, in-situ doping dynamics and the effects of non-steady state dopant incorporation on the morphology and structure of planar III-V NWs has remained relatively unexplored, partially based on the shortcomings of conventional dopant profilometry techniques. Using planar GaAs NWs containing multiple, laterally isolated p-n junctions, we show that microwave impedance microscopy coupled with atomic force microscopy (MIM-AFM) has the capability of non-destructively spatially mapping charge carrier density profiles with nanoscale resolution. Particular attention is given to a novel observation of cyclical Zn impurity incorporation enhancement during vapor-liquid-solid (VLS) growth of p-type NW segments, simultaneously associated with the formation of a laterally twinned planar NW crystal structure. Our results are validated through correlation of MIM-AFM data with near-field infrared spectroscopy (NFIR) measurements, which reveals chemical information with ~ 10 nm spatial resolution. A theoretical model of the nanoprobe-NW interaction for both scan probe techniques is presented, which allows for the qualitative correlation of the collected data to doping signatures. These techniques, coupled with helium ion microscopy (HIM) and conventional transmission electron microscopy (TEM), allow us to validate the impact of the doping process on the structural topology of the NWs. The above microscopy techniques are pivotal to developing a new understanding of the growth dynamics of lateral VLS multi-junction GaAs NWs, and are likewise applicable for the direct measurement of composition and dopant distribution profiles in a wide variety of inorganic nanostructures.
10:00 AM - *S6.04
Wired Quantum Dots
Alois Lugstein 1
1Vienna University of Technology Vienna Austria
Show AbstractIn order to fabricate future high-speed integrated circuits or optoelectronic devices based on common processing technologies, it is inevitable to search for new means of integrating compound semiconducting materials into existing silicon platform technology. The main obstacles facing towards reliable synthesis of such hybrid systems are related to the difficulties of direct growth of III-V semiconductors on Si e.g. polar/non-polar surface incompatibility and large lattice as well as thermal expansion coefficient mismatch. Therefore of particular interest and unique to nanowires is the potential of lateral strain relaxation, mitigating the limitations of material lattice compatibility and allow arbitrarily combined dissimilar materials unattainable in layered structures. The interactions within such quasi-one-dimensional heterostructures and their interfaces can give rise to electronic or photonic characteristics that are superior to those of planar geometries.
We will demonstrate a novel approach for compound-semiconductor/Si hybrid nanowire synthesis via millisecond range liquid-phase epitaxy regrowth using sequential ion beam implantation and flash-lamp annealing. We show that such highly mismatched systems can be monolithically integrated within a single nanowire.
For this study Si nanowires were grown epitaxial on silicon substrates in a low-pressure chemical vapor deposition system by the use of the gold assisted VLS process. After Au removal a thin layer of SiO2 or Al2O3 was deposited by PECVD or ALD respectively. In order to achieve uniform implantation along the whole nanowire lengths, III-V materials were implanted into the samples using a 45° tilted and continuously rotating specimen holder. Subsequently annealing of the fully amorphized samples was carried out with a 20 ms flash lamp annealing step. Due to this spike annealing, the nanowire core encapsulated by the oxide shell is molten and crystal regrowth via liquid phase epitaxy occurs. For optimized ion fluences, III-V crystallite sections were formed inside the nanowire core, leading to a Si/III-V/ Si nanowire heterostructure. HRTEM investigations prove the monolithic integration of InAs, InP or GaAs crystallites into the Si nanowire core with strain relaxations within a few atomic layers. Using an implantation hardmask individual nano crystallites could be achieved at predefined positions within the nanowire.
Photocurrent, mu;-Raman and photoluminescence spectroscopy was performed on individual nanowires, yielding a spatial mapping of III-V nano crystallites within the Si nanowire. Possible applications for device integration will be discussed.
10:30 AM - S6.05
Tunable Directionality and Polarization of Emission from Nanowire Arrays
Dick van Dam 1 Grzegorz Grzela 2 Ramon Paniagua-Dominguez 3 Jose Antonio Sanchez-Gil 3 Erik Bakkers 1 4 Jos Haverkort 1 Jaime Gomez Rivas 2
1Eindhoven University of Technology Eindhoven Netherlands2AMOLF Eindhoven Netherlands3CSIC Madrid Spain4Delft University of Technology Delft Netherlands
Show AbstractSemiconductor nanowires show great potential for application in LEDs due to efficient light generation and outcoupling. Control over the directionality and polarization of the emission can promote effective use of nanowire LEDs. Here we present directional photoluminescence (PL) measurements of InP nanowire arrays, imaged using Fourier microscopy1,2. We demonstrate tuning of the emission patterns from a doughnut-shape to narrow-angle beaming, when we decrease the diameter from about 300 nm to 180 nm and the period from 5 to 1.2 mu;m. We also see a fourfold-symmetric modification of the pattern due to the coupling of the emission to Bloch modes in the periodic two-dimensional nanowire array.
A simultaneous effect is seen in the polarization of the emission. When decreasing the diameter from 300 to 100 nm, the polarization shifts from perpendicular to parallel with respect to the nanowire axis. The far-field emission patterns of nanowires with small and large diameter look similar, but their polarization is opposite. The origin of this effect is in the different coupling of the emission to waveguide modes enabled by the cylindrical nanowire geometry. For the thick nanowires, the emission couples to the HE11 mode which is polarized perpendicular to the nanowire, while for thin nanowires, the emission couples to the TM01 mode polarized parallel to the nanowire. The coupling of the nanowire emission to waveguide modes determines the far-field polarization.
Our results provide guidelines for the optimal design for directional and polarized emitters, which can be of interest in applications such as LEDs and quantum optics.
1Grzela et al. (2012), Nano Lett. 12, 5481-5486.
2Fontana et al. (2012), Phys. Rev. B 86, 245303.
10:45 AM - S6.06
Growth and Electrical Characterization of Spatially Composition-Graded InAs1-xPx Multilayer Nanowire Heterostructures
Gustav Nylund 1 Kristian Storm 1 Sebastian Lehmann 1 Lars Samuelson 1
1Lund University Lund Sweden
Show AbstractNanowire heterostructures are inherently resistant to the formation of misfit dislocations due to strain relaxation in the radial direction, enabling growth of defect-free heterojunctions between highly lattice-mismatched materials in the axial direction. The ability to spatially vary the chemical composition along the length of a nanowire without lattice match constraints makes semiconductor nanowires ideal systems for realizing designed band structures custom made for specific applications and for exploring the limits of band-gap engineering beyond what is possible in bulk and thin film geometries.
Here we report the epitaxial growth and electrical characterization of multilayer nanowire heterostructures consisting of axially defined alternating segments of InAs and spatially composition-graded InAs1-xPx. Within each InAs1-xPx segment, the P content is controllably ramped up over a distance of 200 nm to produce a gradual transition from low-bandgap material (InAs) to high-bandgap material (InP), followed by an abrupt transition back to low-bandgap material (InAs). The resulting band structure along the nanowire axis has an asymmetric, sawtooth-shaped conduction band offset where the band edge discontinuity at the abrupt InP/InAs heterojunction rectifies charge transport in a ratchet-like manner; under the influence of a voltage bias, the spatial asymmetry of the composition gradient allows electrons to easily drift from the bottom of the nanowire to the top of the nanowire, but not in the opposite direction. Transport measurements indeed show a very strong asymmetry in the electrical conductivity of the nanowires, with almost ideal, diode-like current rectification even at room temperature. As temperature is decreased, the reverse current drops exponentially by several orders of magnitude while the forward current remains unaffected, in excellent agreement with theory and simulations of electron transport in a composition-graded band structure modeled based on quantitative analysis of the actual nanowire composition by energy-dispersive x-ray spectroscopy.
This type of quasi-one-dimensional, band-gap-engineered system is highly interesting for fundamental studies of charge transport in mesoscopic semiconductor heterostructures, as well as for advanced electronic and photonic devices, for instance as a staircase avalanche photodiode for low-noise optical detection [1].
[1] G. F. Williams, F. Capasso and W. T. Tsang, IEEE Electron Device Lett. 3(3), 1982.
11:30 AM - *S6.07
Nuclear Spin, Phonon, and Bandgap Engineering in Group IV Nanowires
Oussama Moutanabbir 1
1Ecole Polytechnique de Montreal Montreal Canada
Show AbstractIn this presentation, we will describe new strategies to expand the capabilities of group IV nanowires. First, we will demonstrate that the use of isotopically purified precursors to grow silicon nanowires with tailor-made isotopic compositions create a wealth of opportunities to create an entirely new class of quantum structures and devices. For instance, the precise control of the distribution of nuclear spin-full 29Si atoms in a nuclear spin-free 28Si nanowire paves the way to realize superradiant current through a quantum-dot spin valve relevant for a quantum memory and quantum information processing. Moreover, we also exploit the difference between isotopes in lattice dynamics to control phonon transport in nanowires. In this perspective, we will discuss the results of our investigations of the properties of phonon scattering in VLS-grown isotopically deliberately mixed 28Six30Si1-x nanowires having the highest mass disorder (x ~ 0.5). The phonon behavior of these 28Six30Si1-x nanowires were compared with isotopically pure 29Si nanowires using Raman spectroscopy. The two types of nanowires are found to behave differently. A heat transport mode is established to describe the observed disorder-induced enhancement in phonon scattering. In the second part of this presentation, we will focus on bandgap engineering in silicon-germanium-tin core-shell nanowires and elucidate their important optical and electronic properties.
12:00 PM - S6.08
Probing the Strain Effects on the Structural and Physical Properties of Si/Ge Heterojunction Nanowires
Sheng-You Tsao 1 Yun-Yi Tsai 1 Tzu-Hsien Shen 1 Cheng-Yen Wen 1
1National Taiwan University Taipei Taiwan
Show AbstractAxial heterojunction Si/Ge or Si/SiGe nanowires are potentially useful for a range of applications, such as tunnel field-effect transistors and thermoelectric devices. The key to get the optimal device performance is the formation of compositionally abrupt and defect-frees heterojunction interfaces. In such structures, the coherent strain can effectively modify the electronic properties of the materials. Therefore, fabricating heterojunctions of two materials with sufficiently perfection for probing the physical and electrical properties of the heterostructures are of great importance for future development of nanoelectronics. Recent nanofabrication greatly advances the progress of the related researches, e.g. the formation of Si/Ge (4.2% lattice mismatch), GaAs/InAs (7% mismatch), or group IV/group III-V heterojunctions can be realized in nanowire structures. For Si/Ge heterojunction nanowires in particular, abrupt interfaces can be fabricated using solid catalysts with low Si and Ge solubility via the vapor-solid-solid growth mechanism. In this study, we therefore use AgAu alloy catalysts for the growth of Si/Ge heterojunction nanowires in a cold-wall UHV-CVD reactor. The interfacial abruptness of the Si/Ge heterojunction is less than 2 nm. We use a transmission electron microscope equipped with a probe-type Cs-corrector to analyze the structure of the interface and use the geometrical phase analysis to evaluate the strain distribution near the heterointerface. Electron energy loss spectroscopy with the monochromated electron beam is used to measure the band structure change of the Si and Ge lattices with different degrees of strain.
12:15 PM - S6.09
Fabrication and electrical characterisations of Si/Si1-xGex nanowires Tunnel FET device : impact of Germanium concentration.
Virginie Brouzet 1 2 Bassem Salem 2 Priyanka Periwal 2 Thierry Baron 2 Franck Bassani 2 Pascal Gentile 3 Gerard Ghibaudo 1
1IMEP- LAHC Grenoble France2CNRS/LTM Grenoble France3CEA Grenoble Grenoble Cedex 9 France
Show AbstractTunnel Field-Effect Transistor (TFET) based on nanowires offers high potential to overcome the subthreshold slope (SS) limit of metal-oxide-semiconductor field-effect transistors (MOSFET), since TFET conduction is governed by the band-to-band tunnelling (BTBT) rather than thermionic injection. Moreover, the nanowire as channel of transistor is an adequate technological solution, enabling a high integrated device density and a good electrostatic control [1]. In addition, heterostructure TFET with small band gap material in the source region, such as SiGe [2], is one of the most promising candidates, providing on-state current and subthreshold slope theoretically enhanced in comparison to Si homostructure TFET.
In this context, we present the horizontal and vertical Si/Si/Si1-xGex heterostructure nanowire integration, with an in situ p(Si)-i(Si)-n(Si1-xGex) doping profile on TFET device. These nanowires were elaborated by Chemical-Vapor-Deposition using Vapor-Liquid-Solid mechanism with gold as catalyst. First, we highlight the bandgap engineering by the Germanium concentration change, giving rise to the band-to-band tunnelling thanks to the reduction of the bandgap of the source material. TFET devices with 30% Germanium source material reach an ION current of at least 3 µA/µm and ION/IOFF ratios up to 105, as well as an average SS less than 135mV/decade. Electrical measurements at low temperatures have also been performed to confirm tunnelling transport and will be presented.
Second, we will also present a 3D cylindrical numerical simulations of p-i-n Si nanowire Tunnel FET by solving the Poisson equation and integrating the BTBT generation current. The simulations well predict the subthreshold swing, transfer characteristics, including the impact of interface traps at the gate dielectric-channel interface.
Moreover, we demonstrate the possibility to integrate vertically several p-i-n nanowires tunnel FET devices. We will present the key engineering process steps (gate oxide, passivation or annealing) in order to keep a good electrostatic gate control and, by this way, a low subthreshold slope.
[1] A.M. Ionescu and H. Riel, Nature, vol. 479(7373) pp.329-337, 2011.
[2] A.S.Verhulst, W.G. Vandenberghe, K. Maex and G. Groeseneken, Appl. Phys. Lett. 104, 064514 (2008).
12:30 PM - S6.10
Hall Effect and Field Effect Measurements on a Single InP Nanowire
Olof Hultin 1 Gaute Otnes 1 Magnus Heurlin 1 Magnus T Borgstrom 1 Lars Samuelson 1 Kristian Storm 1
1Solid State Physics, Lund University Lund Sweden
Show AbstractSemiconductor nanowires are promising candidates for future high efficiency solar cells and light emitting diodes. [1,2] In order to achieve high efficiency devices, accurate control of the nanowire doping is necessary. However, nanowires are inherently challenging to characterize because of their one-dimensional nature and the most employed electrical measurement technique to investigate the doping, field-effect mobility measurements, have serious limitations concerning its accuracy. [3] Recently Hall effect measurements, the standard method of measuring doping concentration in planar semiconductors, have been realized also for nanowires. [4,5] Hall effect measurements make it possible to directly extract the carrier concentration and mobility from electrical measurements with high accuracy. It also enables characterization of complex geometries and allows measurements with high spatial resolution within a single nanowire. This work aims to experimentally compare Hall effect measurements and field-effect mobility measurements by carrying out the two techniques on the same single nanowire.
For this purpose, we have developed single nanowire characterization devices capable of spatially resolved Hall effect measurements, and back-gated and top-gated field-effect mobility measurements. N-type InP nanowires doped with sulfur (H2S) were chosen for the study because of their relatively well known growth and doping characteristics as well as their ability to form ohmic contacts. In order to quantify the differences between the measurement techniques, we characterize a series of nanowires with different growth conditions using both techniques, discuss the differences and evaluate the applicability of both methods. This comparison bridges the gap between the two methods and is an important step towards better understanding and more accurately controlling the doping in semiconductor nanowires.
References
[1] J. Wallentin, et al., Science 339, 1057-1060 (2013)
[2] H-W. Lin, et al., Applied Physics Letters 97, 073101 (2010)
[3] D.R. Khanal, J. Wu, Nano Letters 7, 2778-2783 (2007)
[4] K. Storm, et al., Nature Nanotechnology 7, 718-722 (2012)
[5] M. Heurlin, et al., Nano Letters 14, 749-753 (2014)
12:45 PM - S6.11
From Micro-Supercapacitor to Pseudo Capacitor Based on Functionalized Silicon Nanowires Electrodes
David Aradilla 2 Pascal Gentile 1 Dorian Gaboriau 2 Fang Gao 3 Georgia Lewes-Malandrakis 3 Wolfgang Mueller-Sebert 3 Thomas Schubert 4 Huelya Sahin 4 Gerard Bidan 5 Said Sadki 2 6
1CEA/INAC/SPMM Grenoble Cedex 9 France2CEA Grenoble France3Fraunhofer Freiburg Germany4IOLITEC Heilbronn Germany5CEA Grenoble France6UJF Grenoble France
Show AbstractSupercapacitor integration in micro-electronic circuits should improve portable devices efficiency1 and work easier with silicon (Si) electrodes. In our previous works we have reported highly doped Si nanowire (SiNW) micro-supercapacitor (µ-SC) electrodes showing quasi ideal capacitive behavior2,3. In this work we studied the functionalization of highly doped SiNW to improve the SCs properties by increasing the electrochemical window and/or by changing the charge storage mechanism. Within this context, supercapacitors are classified mainly in electrochemical double layer capacitors (EDLCs) while the pseudo-capacitors require redox reactions or electrochemical faradic reactions (slower than EDLCs). The latter allow an increase in the charge accumulation compared to EDLCs.
SiNWs are grown by VLS mechanism via gold catalysis in a CVD reactor, using highly doped Si as a substrate. The performance of the µ-SC electrodes was analysed by electrochemical impedance spectroscopy, cyclic voltammetry and galvanostatic charge/discharge cycles using an aprotic ionic liquid PYR13 TFSI ( IOLITEC, Germany) as electrolyte4. Two functionalizations are realized; i) electrodeposition PEDOT films in the presence of PYR13 TFSI, ii) coating with nanocrystalline diamond (NCD) thin films (<100nm) using microwave plasma chemical vapor deposition.
Recently, we have demonstrated the first and novel hybrid symmetric µ-SC based on PEDOT coated SiNWs5. The hybrid device was able to deliver a maximal power density value of 67 kW Kg-1 and a specific energy of 8 Wh Kg-1 at a cell voltage of 1.5 V. Moreover, a remarkable electrochemical stability after thousands of galvanostatic charge-discharge cycles was achieved (e.g. 80 % of the initial capacitance was retained after 3500 galvanostatic cycles). According to these preliminary results, the improvement of the capacitive properties compared with the bare SiNWs was attributed to the pseudo-capacitive behavior induced by the conducting polymer coating. On the other hand, with p-doped diamond-coated SiNWs, the wide potential window of diamond of 5.0 V gives rise to a significant enhancement of energy storage of 25 times compared to bare Si nanowires.
These preliminary results demonstrate what interest of the functionalization lies in SiNWs to improve the capacitive properties compared to bare SiNWs.
This project has received funding from:
the European Union&’s Seventh Programme for research, technological development and demonstration under grant agreement No : 309143, 2012-2015).
the “Direction Générale pour l'Armement”(DGA) for financial support
and the French Agency for Research (ANR) in the frame of the project ISICAP (2012-2015).
1J.R. Miller, P. Simon, Science, 321, 651 (2008)
2F. Thissandier, and al, Electrochem. Comm., 25, 109 (2012)
3F. Thissandier, and al, Nanoscale Res. Lett., 8, 38 (2012)
4D. Aradilla et al, Nano Energy(2014) 9, 273-281
5D. Aradilla et al, RSC Adv., 2014, 4, 26462
Symposium Organizers
Jordi Arbiol, ICREA and Institut Catala de Nanociencia i Nanotecnologia (ICN2)
Kimberly Dick Thelander, Lund University
Michael Filler, Georgia Institute of Technology
Anna Fontcuberta i Morral, EPFL
Qihua Xiong, Nanyang Technological University
Symposium Support
Applied Materials, Inc.
S9
Session Chairs
Jean-Christophe Harmand
Hannah Joyce
Friday PM, April 10, 2015
Moscone West, Level 2, Room 2024
2:30 AM - *S9.01
Self Assisted MBE Growth of InAs Nanowires on Graphene
Hadas Shtrikman 1 Jung-Hyun Kang 1
1Weizmann Institute of Science Rehovot Israel
Show AbstractMuch attention has so far been given to the growth of InAs nanowires for various mesoscopic physics experiments. The unique properties of these nanowires such as their high aspect ratio, high electron mobility, surface pinning in the conduction band, large spin orbit coupling and high Lande g-factor make them most suitable for such experiments, in particular possible observation of Majorana fermions. This along with our vast experience in growth of gold assisted InAs nanowires has inspired us to look into the growth of self-assisted InAs nanowires. Replacing the gold droplet with an indium one would first and foremost eliminate the risk of gold doping along the nanowires. Having some experience in self-assisted growth of both InAs and GaAs nanowires on SiO2/Si we looked for a substrate where the surface tension of indium will be higher to promote the growth of nanowires with a high aspect ratio. Self-assisted growth of InAs nanowires on SiO2/Si has been demonstrated by several groups resulting mostly in low aspect ratio nanowires and a typical highly twinned zinc blende crystal structure. The highly twinned structure has been related to the lack of a liquid droplet at the top of the nanowire, associated with the low surface tension of the In metal. We thus looked for a substrate that would allow a higher surface tension, hoping this will improve the aspect ratio on one hand and the crystal structure on the other hand. Following work at NTNU1Norway and by the Fukui group in Japan2 we pursued the growth of InAs nanowires on graphene which is quite easily facilitated. We studied the effect of the growth parameters such as nucleation conditions, In flux, group V/III ratio and substrate temperature, and in particular the properties of the high purity graphene layer, formed by evaporation of Si from SiC. We found that the use of the so called zero layer or buffer layer rather than a complete graphene layer facilitated the growth of much thinner nanowires. We studied the nanowires distribution and morphology by SEM and their crystal structure by TEM which also provides evidence for the presence of an indium droplet at the tip of the nanowires. This along with the small diameters obtained (40-60 nm) is not as yet sufficient to provide self-assisted InAs nanowires having a pure phase but rather show a mixed wurtzite/zinc blende structure including semi periodic regions along the growth direction. Basic conductance measurements carried out on the self-assisted nanowires will be presented showing a similar behaviour to the gold assisted ones.
1. A. M. Munshi, et al., Nano lett. 12, 4570-4576 (2012)
2. Y. J. Hong, et al., ACS-Nano 5, 7576-7584 (2011)
3:00 AM - S9.02
Spontaneous Formation of GaN Nanowires with High Structural Perfection on a Metallic Substrate
Martin Woelz 1 Christian Hauswald 1 Timur Flissikowski 1 Tobias Gotschke 1 Oliver Brandt 1 Holger T. Grahn 1 Lutz Geelhaar 1 Henning Riechert 1
1Paul-Drude-Institut fuuml;r Festkouml;rperelektronik Berlin Germany
Show AbstractOne of the most important advantages of nanowires (NWs) is that their quasi one-dimensional geometry facilitates the integration of dissimilar materials with high structural quality. More specifically, strain induced by lattice mismatch can elastically relax at the free sidewalls, and dislocations are likely to terminate there. Along this line, remarkable progress has been achieved in the growth and applications of III-V NWs on Si substrates. In this contribution, we take the level of dissimilarity between NW and substrate material to yet another level and demonstrate the growth of semiconductor NWs with high structural perfection on a metallic substrate. In this case, the two materials differ not only in lattice constant, but also in crystal structure and type of chemical bonding.
Our strategy is to employ the strong tendency of GaN to form under suitable growth conditions spontaneously single-crystalline NWs. On this basis, the vertical growth of GaN NWs has already been demonstrated on various semiconductors and also on the amorphous insulators SiOx and SiNx. As a substrate, we chose TiN because this material is chemically as well as thermally very stable and exhibits metallic conductivity. Also, TiN is known to form an Ohmic contact with GaN.
For sample growth, a Ti film was sputtered onto a sapphire wafer, and all further processes were carried out by plasma-assisted molecular beam epitaxy (MBE) under in-situ monitoring by reflection high-energy electron diffraction (RHEED). Upon exposure of the substrate surface to the N plasma, the RHEED pattern changed and indicated the conversion of Ti to TiN. The formation of TiN was confirmed ex-situ by spectroscopic ellipsometry, x-ray diffraction, and Raman measurements. Very importantly, the ellipsometry data also demonstrated the TiN film to be metallic.
After lowering the substrate temperature, GaN NWs grew epitaxially aligned in the c-direction on the TiN film as revealed by in-situ RHEED and ex-situ scanning electron microscopy. The NWs were typically 200 nm long and 20 to 40 nm in diameter. As an indicator of the structural perfection of these NWs, we employed low-temperature photoluminescence spectroscopy and compared the results to those of a state-of-the-art GaN NW reference sample grown on Si. In both cases, excitonic transitions dominated. Moreover, these transitions were virtually identical in spectral position, linewidth, and decay time for both samples. Therefore, the structural perfection of the GaN NWs grown on metallic TiN and on Si is equally high.
This study may pave the way to a number of advanced devices. Both for NW solar cells and light-emitting diodes, the metallic substrate could serve as an efficient electric contact and reflect light, thus increasing the external quantum efficiency. For NW transistors, several layers of NWs and metallic interconnects could be grown on top of each other, resulting in a truly three-dimensional architecture.
3:15 AM - S9.03
Traction Force Measurements of Normal and Cancerous Breast Cells Using Fluorescent Nanowires
Zhen Li 1 Henrik Persson 1 Karl Adolfsson 1 Alexander Berg Berg 1 Magnus Borgstrom 1 Stina Oredsson 2 Christelle Prinz 1
1Lund Univ Lund Sweden2Lund University Lund Sweden
Show AbstractMechanical forces exist in many cellular processes, including deformation, division and differentiation. In Addition, the transformation of normal cells to cancer cells also involves biomechanical processes. It is consequently important to investigate the mechanical properties of cells including determination of traction forces, in order to understand the physical mechanisms of cancer cell formation and develop novel strategies for cancer diagnostics and treatment.
Here we measure the deflection of fluorescent nanowires to assess cellular forces. Compared to other cellular force measurement methods such as optical tweezers, micropipette and micropillar arrays, nanowires have an ultra-small (<100 nm) diameter and could therefore improve both the spatial resolution and the resolution of the forces measurement, as well as detect more subcellular components details.
Previously, we have used gallium phosphide (GaP) nanowires labeled with antibodies (relying on specific absorption of proteins) for measuring cellular force during neuronal growth (Hällström, W. et al., 2010. Nano letters). However, one of the limitations in the approach is that the nanowires need to be labelled fluorescently using organic dyes for force detection.
Here we used nanowires with a GaP base and an inherently fluorescent gallium indium phosphide (GaInP) tip for performing cellular force measurements. Those do not require surface modification and are not prone to bleaching. We used a hexagonal pattern of GaP-GaInP nanowires (Adolfsson, K. et al., 2014. Nano Letters), made using electron beam lithography, metal evaporation and lift off for pattern definition, and vapor-liquid-solid growth in MOVPE for nanowires synthesis. The traction forces of MCF7 breast cancer cells and MCF10A normal breast epithelial cells cultured on the array were measured in situ using live cell imaging.
3:30 AM - *S9.04
Advanced III-V Nanowire Designs: Superconductor-Semiconductor Heterostructures for Quantum Electronics and Vertical Arrays for Cell Biology
Jesper Nygard 1 Jessica Bolinsson 1 Thomas Sand Jespersen 1 Peter Krogstrup 1 Anna Helmi Caroline Lindberg 1
1University of Copenhagen Copenhagen Denmark
Show AbstractMolecular beam epitaxy is well suited for manufacture of highly refined nanowire materials. In the spirit of this Symposium, we will review recent examples where careful design of the MBE grown III-V nanowires has enabled novel experiments in diverse fields; nanoscale superconductors and in-vitro cell biology, respectively.
Firstly, we present results on epitaxial growth of semiconductor-superconductor core-shell nanowires, composed of InAs/Al, which is shown to form epitaxially matched single plane interfaces. Using MBE, the metal can either form full crystalline shells or be deposited on individual nanowire facets. The method provides a new route to electrical contacting of nanowires and specialized applications such as superconducting nanoelectronics and topological superconductors. The latter is the key element in experiments on Majorana quasiparticles.
As a second example, we focus on arrays of vertical nanowires that have recently emerged as an attractive platform for manipulating and probing live mammalian cells with prospects for e.g. intracellular sensing and multiplexed drug screening. Here, large uniform arrays are required, and the precise nanowire dimensions and locations turn out to be crucial for understanding the interface between cells and the nanostructured substrate.
The applications of these materials have been developed in close collaboration with colleagues at the Center for Quantum Devices, Niels Bohr Institute (Charles Marcus and co-workers) and the Nanobiotechnology laboratory, Nano-Science Center (Karen Martinez and co-workers), both at the University of Copenhagen.
4:30 AM - *S9.05
Quantum Optics with Nanowires
Val Zwiller 1
1TU Delft Delft Netherlands
Show AbstractNanowires offer exciting opportunities in quantum optics. Using quantum dots in semiconducting nanowires, we demonstrate the generation of single photons as well as pairs of entangled photons. Making electrical contacts to semiconducting nanowires, we make a single quantum dot LED where electroluminescence from a single quantum dot can be studied. Superconducting nanowires also offer application in quantum optics: we demonstrate efficient single photon and single plasmon detection with superconducting nanowire single photon detectors. I will also address quantum circuits where quantum light sources, circuits and detectors are all combined on a chip.
5:00 AM - S9.06
Shockley-Queisser Detailed Balance Efficiency Limit for Nanowire Array Solar Cells: Nanowires Beat Bulk Cells
Nicklas Anttu 1
1Lund University Lund Sweden
Show AbstractIII-V semiconductor nanowires show promise as solar cells with a demonstrated efficiency of 13.8 % for an InP nanowire array [1]. Nanowires open up for combining lattice mismatched materials due to strain relaxation in the radial direction. Thus, the nanowire geometry gives a great freedom for the choice of materials in the active region of the solar cell and allows for the epitaxy of expensive III-V materials on cheaper lattice-mismatched substrates. Furthermore, the nanophotonic properties of nanowires can be used for tuning and designing the absorption and emission of light more distinctively than in bulk-like devices [1-6]. However, the theoretical efficiency limit for nanowire array solar cells is unknown.
Here, we calculate through electromagnetic modeling the Shockley-Queisser detailed balance efficiency limit [7,8] for InP nanowire array solar cells. This fundamental limit is derived by calculating how many photons the cell absorbs and how many photons the cell emits at a given voltage, yielding the number of photogenerated charge-carriers available for current extraction. In this way, the current-voltage curve of the solar cell is constructed, from which the efficiency is calculated. Importantly, for a nanowire diameter of 180 nm, nanophotonic resonances in the nanowires enhance the absorption of the normally incident sun light [1-3,6]. The nanowire array produces a short-circuit current of 95 % of that obtainable in a perfectly absorbing InP bulk cell, even though the nanowires cover only 10 % of the substrate surface. At the same time, a nanowire array solar cell emits considerably less photons than the bulk cell into angles outside of the incidence cone. This weaker emission allows for a 10 % higher open-circuit voltage than in the bulk cell. These two effects together give for the nanowire array solar cell a higher Shockley-Queisser efficiency limit than for the conventional bulk solar cell.
References
1. J. Wallentin et al., “InP nanowire array solar cells achieving 13.8% efficiency by exceeding the ray optics limit”. Science 339, 1057-1060 (2013).
2. N. Anttu and H. Q. Xu, “Efficient light management in vertical nanowire arrays for photovoltaics”. Opt. Express 21, A558-A575 (2013).
3. N. Anttu et al., “Absorption of light in InP nanowire arrays”. Nano Res. 7, 816 (2014).
4. N. Anttu and H. Q. Xu, “Coupling of light into nanowire arrays and subsequent absorption”. J. Nanosci. Nanotechnol. 10, 7183-7187 (2010).
5. N. Anttu et al., “Crystal Phase-Dependent Nanophotonic Resonances in InAs Nanowire Arrays”. Nano Lett. 14, 5650-5655 (2014).
6. N. Anttu, “Geometrical optics, electrostatics, and nanophotonic resonances in absorbing nanowire arrays”. Opt. Lett. 38, 730-732 (2013).
7. W. Shockley and W. T. Read, “Statistics of the Recombinations of Holes and Electrons”. Physical Review 87, 835-842 (1952).
8. S. Sandhu, Z. Yu, and S. Fan, “Detailed balance analysis of nanophotonic solar cells”. Opt. Express 21, 1209-1217 (2013)
5:15 AM - S9.07
Direct Hall Effect Measurement on a Single Vanadium Dioxide Nanowire
Ketaki Sarkar 1 Zheng Yang 1
1University of Illinois at Chicago Chicago United States
Show AbstractNanowires have been proposed as building blocks for various nanoelectronic and nano-photonic device applications, such as nanowire field-effect transistors (FETs), nanowire light-emitting diodes (LEDs), and nanowire solar cells. Carrier concentration of the nanowires is one of the most important physical parameters for these devices. The current approach employed to estimate the carrier type (i.e., electrons or holes) and concentration in nanowires is an indirect measurement, in which nanowires are fabricated into three-terminal FETs and the carrier type and concentration of the nanowires are determined from the polarity of the threshold voltage and calculated based on the transport characteristics (Ids-Vds at various Vgs) of the nanowire FETs, respectively. Comparing to a direct Hall effect measurement, the extrapolation of nanowire carrier concentration based on an indirect measurement leads to inaccuracy and inconvenience.
Vanadium dioxide is an attractive material undergo a sharp metal-insulator phase transition showing a 3-5 orders of magnitude resistance change around 340K accompanying with a structural transition from monoclinic to tetragonal phase. Besides the thermal-triggering, other excitations in forms of electrical, photo, strain can also trigger the phase transition. In recent years lots of efforts have been focused on how to utilize the metal-insulator phase transition in vanadium dioxide for device applications [ref: Zheng Yang et al, Annual Review of Materials Research 41, 337 (2011)]. How the free carrier concentration in the vanadium dioxide changes with temperature, especially the temperature regime across phase transition, is an indispensable to be clearly understood towards this motivation.
Here, we report our direct measurements of the carrier type, concentration, and mobility of a single oxide nanowire using Hall effect. The vanadium dioxide nanowires were grown using physical vapor transfer method with gas flow rates controlled by mass flow controllers. The nanowire Hall bar devices are fabricated using photolithography and e-beam lithography. The most challenging part of the proposed research is to minimize the position mismatch of the metal contacts in each transversal pair of the Hall bar. The Hall effect measurements are carried out in a home-built temperature-variable and magnetic-field-variable electro- and magneto-transport measurement system.
The experimental results are important to further improve the understanding of the phase transition mechanism in vanadium dioxide, facilitate the design vanadium dioxide devices, and pave the way for a direct measurement approach for carrier type and concentration in nanowires.
5:30 AM - S9.08
Nanowire/Nanoantennas: Unconventional Light Interaction
Alberto Casadei 1 Esther Alarcon-Llado 1 Emanuele Francesco Pecora 2 Jacob Trevino 3 Carlo Forestiere 4 Daniel Rueffer 1 Martin Heiss 1 Federico Matteini 1 Goezde Tuetuencueoglu 1 Eleonora Russo-Averchi 1 Luca Dal Negro 4 Anna Fontcuberta i Morral 1
1Ecole Polytechnique Feacute;deacute;ral de Lausanne Lausanne Switzerland2Stanford Univ Stanford United States3City Univ of New York New York United States4Boston University Boston United States
Show AbstractSemiconductor nanowires (NWs) have the ability to collect and trap the light into a sub-wavelength volume [1]. Even stronger light absorption ability is manifested by metallic nano-antennas that convert freely propagating optical radiation into localized energy [2]. The combination of these two systems opens a way to novel technological applications that use optical fields to manipulate and control the semiconducting properties of NWs.
Recently we have shown how optical absorption in nanowires can be enhanced or reduced by the interaction with metal nanoparticles positioned on the NW facets [3]. For a broad enhancement of light absorption, an accurate design of the nano-antennas is required [4,5]. In this work, the nano-antennas geometry around GaAs nanowires has been studied theoretically and experimentally. The nano-antennas have been fabricated around the NW with electron beam lithography (E-BEAM). We demonstrate enhancement in light absorption, as well as for second order phenomena such as the generation of second harmonics and Raman scattering [4]. We perform photoconductivity measurements demonstrating that a hybrid structure formed by GaAs NWs and an array of bow-tie antennas is able to modify the polarization response of a NW[6]. The large increase in light absorption for transverse polarized light changes the NW polarization response, including the inversion.
This study makes a step forward to the understanding of light coupling in engineered nanodevices and opens the way to a broad band of applications that aim to combine the plasmonic properties of metal nanostructures with the semiconducting properties of NWs. NWs and nanoantennas can constitute the basic elements of future high efficiency solar cells, optical switches and lasers.
References
[1]P. Krogstrup, et al., Nature Photonics, vol 7, 306-310 (2013)
[2]C. Forestiere, et al., Nano Letters, vol 12, 2037-2044 (2012)
[3]C. Colombo, et al., New Journal of Physics, vol 13, 123026 (2011)
[4]A. Casadei, et al., Nano Letters, vol 14, 2271-2278 (2014)
[5]S. Heeg, et al., Nano Letters, vol 14, 1762-1768 (2014)
[6]A. Casadei, et al, Scientific Report, (under review)
S8
Session Chairs
Kimberly Dick Thelander
Philippe Caroff
Friday AM, April 10, 2015
Moscone West, Level 2, Room 2024
9:00 AM - *S8.01
Layer-by-Layer Control of Structure and Kinetics in III-V Nanowires
Frances M. Ross 1
1IBM T. J. Watson Research Center Yorktown Heights United States
Show AbstractDesigning complex nanowire-based structures requires atomic level precision, for example in forming narrow quantum wells or switching between polytypes. But achieving this degree of control requires a detailed understanding of the physical processes at play during nanowire growth. Here we describe a layer-by-layer view of the growth of III-V nanowires, exploring the interplay between multiple species and crystal structures by using in situ electron microscopy techniques. We first discuss the strikingly dynamic nature of the growth interface. The geometry of the trijunction, where solid, catalyst and vapour meet, changes constantly as bilayers add to the growing nanowire. This phenomenon, also seen in group IV nanowire growth, arises from the coupling between interface energies and cyclic variations in droplet supersaturation. We next discuss measurement of growth rates, one layer at a time. We find circumstances under which the nanowire growth rate varies, even at constant pressure and temperature, and other circumstances under which layers add with clocklike regularity. This surprising behaviour, which we show for GaP nanowires, reflects the different pathways of the two species and the effect of crystal defects on chemical potential. It is useful in understanding the conditions under which atomic level control of growth is possible, for example when building heterostructures. We finally discuss the layer-by-layer control of crystal structure. For GaAs, changing the temperature and gas ratio can switch the structure between wurtzite and zinc blende. Real-time observations show that the gas ratio alters the droplet volume, and changes in contact angle and growth interface geometry are correlated with the switch between polytypes, offering clues to the mechanism of this key structural change. In situ microscopy provides a unique view of III-V nanowire growth mechanisms. We are excited by the possibilities for obtaining mechanistic information in a wider range of materials, and for building on this understanding of the elegant nanowire growth process to design new types of functional structures.
9:30 AM - S8.02
Effects of Short-Pulse TBAs-Injection and InP Growth With TBCL for Alternating InAsP/InP Heterostructure Nanowires
Kouta Tateno 1 2 Masato Takiguchi 1 2 Guoqiang Zhang 1 2 Hideki Gotoh 1
1NTT Basic Research Laboratories Atsugi-shi Japan2NTT Nanophotonics Center Atsugi-shi Japan
Show AbstractWe have been investigating InAsP quantum dots (QDs) in InP nanowires grown by VLS (vapor-liquid-solid) method in order to make nano-optical devices that are sensitive at long wavelengths of around 1.3 or 1.55 mu;m for optical communications. Recently, we have reported high-Q nanoresonators using Si photonic crystals embedding an alternating InAsP/InP heterostructure nanowire for optical gain [1]. Nanowires entirely composed of ternary InAsP alloy are difficult to make because the composition changes during the growth, which causes kinks or bends in them. We reported that the As memory effect is one factor involved in changing the InAsP composition and that it can be reduced by using tertiary-butyl arsine (TBAs) instead of arsine (AsH3) [2]. The diffusion properties of the adsorbed reaction species formed from them are thought to be different on the nanowire surface. Recently, for InP nanowires, we have reported that tertiary-butyl chloride (TBCl) effectively reduces the radial growth on the sidewall and that a wurtzite-structure with fewer stacking faults appear when the growth temperature is raised [3]. By using TBCl, it is expected that the residence time of As- and P- species on the surface decreases enough to prevent the As memory effect and that we can approach the formation of ideal InAsP/InP heterostructure nanowires.
The growth was carried out in a low-pressure metal-organic vapor phase epitaxy reactor [3]. Trimethyl-indium was the group-III source, and TBAs and tertiary-butyl phosphine (TBP) were the group-V sources. Au colloids were used as catalysts. We used a short-pulse (<1 s) TBAs-injection method under a low TBP flow rate for InAsP growth and successive InP growth with a TBCl flow. The nanowires contained 100 or 30 pairs of InAsP and InP. From high-angle annular dark-field scanning transmission electron microscopy images, energy dispersive X-ray spectroscopy line profiles, and strain mapping images, we found that the interface from InAsP to InP was abrupt while that from InP to InAsP was blurred, which was contrary to the previous results [2]. We suppose the mechanism is as follows. Under the low TBP flow and TBCl supply conditions, the density of the P species on the sidewall is low. At the moment the short-pulse TBAs is injected, the As concentration is low due to the co-supply of TBP. But the As species on the sidewall gradually become concentrated by the selective reduction of P species by TBCl and diffuse to the catalyst to make an InAsP layer with higher As content. And due to the fast diffusion of P#12288;species, As species are pushed to the catalyst effectively, which makes the abrupt interface. For the samples using this method, we confirmed photoluminescence at around 1.3 to 1.55 mu;m at room temperature.
[1] M. D. Birowosuto et al., Nature Mat. 13 (2014) 279.
[2] K. Tateno et al., Nano Lett. 12 (2012) 2888.
[3] K. Tateno et al., JCG 402 (2014) 299.
9:45 AM - S8.03
Novel GaP/GaNP Core/Shell Nanowires for Optoelectronics and Photonics
J E Stehr 1 A Dobrovolsky 1 S Filippov 1 Y J Kuang 2 S Sukrittanon 2 C W Tu 2 Weimin M. Chen 1 Irina A. Buyanova 1
1Linkoping University Linkoping Sweden2University of California La Jolla United States
Show AbstractIII-V semiconductor nanowires (NWs) are attracting increasing attention as a building block for future optoelectronics and photonics. A novel III-V material system with a great potential for these applications is GaNP. Adding N to GaP allows one to eliminate lattice mismatch to Si, advantageous for integration of III-V materials with Si. Also, the giant bowing in the bandgap energy and transformation of the band gap character from an indirect band gap in GaP to a quasi-direct one in GaNP increase light emission efficiency of the alloy and provide tuneability in the band gap energy within the technologically challenging amber spectral range. Moreover, the N-induced splitting of the conduction band makes GaNP suitable for applications in innovative intermediated band solar cells.
In this talk we shall show that all these advantages can be realized and even further enhanced in novel coaxial GaNP NWs grown on Si substrates. Based on combined m-photoluminescence (mu;-PL), time-resolved PL, and optically detected magnetic resonance (ODMR) measurements, we identify the optimum structural design leading to the high efficiency of light emission as being Ga(N)P/GaNP/GaNP core/shell/shell structures, where the active inner shell with the highest N content was grown via the step-mediated mode and has a passivated surface. This design allows one to suppress formation of detrimental non-radiative carrier recombination via the complex defect that involves a P atom at its core, as identified from our ODMR studies [1]. We also show that alloying with N makes it possible to realize nano-scale light sources that emit light linearly polarized perpendicularly to the wire axis even in zincblende NWs of various diameters [2]. This anomalous polarization response is attributed to the local strain in the vicinity of the N-related centers participating in the radiative recombination. We also demonstrate that though the bandgap energies of GaNxP1-x alloys lie within the visible spectral range, coaxial GaNP NWs can also harvest infrared light utilizing energy upconversion [3]. The dominant process responsible for this effect is identified as being due to two-step two-photon absorption (TS-TPA) via a deep level. The revealed defect-mediated TS-TPA process can boost efficiency of harvesting solar energy in GaNP NWs, beneficial for applications of this novel material system in third-generation photovoltaic devices. Our finding thus provides a new pathway for further improvement of the optoelectronic and photonic devices by defect engineering.
[1] A. Dobrovolsky, Appl. Phys. Lett. 2012, 101, 163106
[2] S. Filippov, et al Nano Lett., http://dx.doi.org/10.1021/nl502281p (2014)
[3] A. Dobrovolsky, et al Small, DOI: 10.1002/smll.201401342 (2014)
10:00 AM - *S8.04
Formation of AlxGa1-xAs/GaAs and GaPxAs1-x/GaAs Heterostructures in Self-Catalyzed Nanowires
Jean-Christophe Harmand 1 Giacomo Priante 1 Fabrice Oehler 1 Konstantinos Pantzas 1 Gilles Patriarche 1 Frank Glas 1
1CNRS - Laboratoire de Photonique et de Nanostructures Marcoussis France
Show AbstractSelf-catalyzed GaAs nanowires are attractive for several reasons: the absence of foreign catalyst possibly acting as a contaminant, the possibility of consuming or reforming the Ga catalyst droplet at any time of the growth, the easy formation of pure zinc-blende phase.
To go farther with this model system of nanowires, we investigate the formation by MBE of axial heterostructures involving a second group III element (Al) or a second group V element (P). In both cases, we try to fight against the reservoir effect (the catalyst droplet is a reservoir of constituents) which tends to produce composition gradients at the heterointerfaces. Various procedures of vapor flux commutation are tested and the corresponding interfaces are analyzed by TEM. Results on direct and reverse interfaces of both type of heterostructures (GaPxAs1-x/GaAs and AlxGa1-xAs/GaAs) are compared and will be presented.
10:30 AM - S8.05
In Situ Etching for Control over Axial and Radial III-V Nanowire Growth Rates Using HBr
Alexander Berg 1 Kilian Mergenthaler 1 Martin Ek 1 Mats-Erik Pistol 1 Reine Wallenberg 1 Magnus T. Borgstrouml;m 1
1Lund University Lund Sweden
Show AbstractSemiconductor nanowires (NWs) are promising building blocks in future optoelectronic devices because of their geometrical and structural properties as compared to their corresponding bulk materials. For thin film growth, however, parameter tuning such as to favor axial NW growth typically leads to poor crystalline quality due to unintended carbon incorporation. Recently a method using in situ etching of InP [1] and GaP NWs [2] by use of HCl was reported in order to take control over axial and radial growth rates, which is much more promising regarding the efficiency of NW based devices since unintended NW shells and their mixed crystal structures can short-circuit the devices and thus harm the device performance [3]. This opens the field of optimizing NWs with respect to materials quality rather than compromising growth parameters for optimizing morphological properties. However, no reports exist about in situ etching of GaAs NWs.
In this contribution we present an even more general method allowing impeding radial growth on InP, GaP and GaAs NWs by in situ etching using hydrogen bromide (HBr). Transmission electron microscopy characterization reveals a partly transition from wurtzite crystal structure to zincblende upon the use of HBr during growth. For InP, defect related luminescence due to parasitic radial growth is removed by use of HBr. For GaP, the etching with HBr reduced defect related luminescence but no change in peak emission energy was observed. For GaAs, the HBr etching resulted in a shift to lower photon emission energies due to a shift in crystal structure reducing wurtzite segments. The use of HBr is similar to and even more versatile than in situ etching by HCl in order to impede low temperature poor crystal quality layer growth. We believe that this contribution adds significant knowledge to the scientific community, and can lead to higher efficiencies for devices based on GaAs NWs.
[1] Borgström, M. T.;Wallentin, J.;Trägaring;rdh, J.;Ramvall, P.;Ek, M.;Wallenberg, L.;Samuelson, L.; Deppert, K. In situ etching for total control over axial and radial nanowire growth. Nano Research2010, 3, 264-270.
[2] Berg, A.;Lehmann, S.;Vainorius, N.;Gustafsson, A.;Pistol, M.-E.;Wallenberg, L. R.;Samuelson, L.; Borgström, M. T. Growth and characterization of wurtzite GaP nanowires with control over axial and radial growth by use of HCl in-situ etching. Journal of Crystal Growth2014, 386, 47-51.
[3] Regolin, I.;Sudfeld, D.;Lüttjohann, S.;Khorenko, V.;Prost, W.;Kästner, J.;Dumpich, G.;Meier, C.;Lorke, A.; Tegude, F. J. Growth and characterisation of GaAs/InGaAs/GaAs nanowhiskers on (111) GaAs. Journal of Crystal Growth2007, 298, 607-611.
10:45 AM - S8.06
Controlling Nanowire Growth through Electric-Field-Induced Deformation of the Catalyst Droplet
Federico Panciera 4 1 Sardar Bilal Alam 2 Michael M Norton 3 Ole Hansen 2 Haim H Bau 3 Kristian Molhave 2 Stephan Hofmann 4 Frances M. Ross 1
1IBM T. J. Watson Research Center Yorktown Heights United States2TU Denmark Kgs. Lyngby Denmark3Univ of Pennsylvania Philadelphia United States4University of Cambridge Cambridge United Kingdom
Show AbstractControlling the growth behavior of semiconductor nanowires (NWs) provides opportunities to dictate their physical and chemical states and, in turn, their electronic and optical properties. In the vapor-liquid-solid (VLS) mechanism for the growth and modulation of nanowires, the catalyst liquid droplet plays a fundamental role in determining the structure and chemical composition of NWs. For example, by altering the size of the droplet it is possible to modify the diameter of the wire, while changing its chemical composition affects the incorporation of different elements into the NWs. Here we show a novel technique that allows us to modify the droplet shape and consequently the NW growth by applying an external electric field. We grew Au-catalyzed SiNWs inside a capacitor having a spacing of a few micrometers and the capability to apply ±100V. Using in situ transmission electron microscopy (TEM), we record in real time the deformation of the AuSi droplet that occurs when an electric field is generated inside the capacitor. These movies show a rapid response of the droplet to the direction and strength of the applied field. The equilibrium shape of a droplet is determined by balancing the surface tension and the electric field. Surface tension tends to make a droplet spherical, and the electric field typically elongates it along the direction of the field. Through numerical simulation we calculated the electric field around the catalyst droplet and we solved the modified Young-Laplace equation in order to obtain the equilibrium shape of the droplet. By comparing the calculated droplet shape with the TEM images we determined the value of the surface tension and found it to be consistent with other measurements of similar materials. We can use these simulations to define the optimal conditions to induce longitudinal and lateral stretching of the droplet in order to modify the NW growth direction, through controlled kinking, and the NW diameter. We will present video-rate imaging of droplet deformation and NW growth under the electric field and we will show the results of the numerical model. We will finally discuss the possible structures that can be synthesized through this technique and consider potential applications.
11:30 AM - *S8.07
Understanding Polytypism in III-V Nanowires
Jonas Johansson 1
1Lund University Lund Sweden
Show AbstractSeveral III-V nanowire materials systems exhibit features of polytypism, which is a kind of polymorphism, where the polymorphs differ only in the layer stacking sequence. In bulk, all III-V semiconductors, except nitrides, exhibit the zinc blende structure (3C polytype). However, when these materials are grown as nanowires, they often exhibit a seemingly random crystal structure and by tuning the growth parameters, more or less pure 3C or 2H can be fabricated. Sometimes often higher order polytypes, such as 4H and 6H form. In order to use III-V nanowires in electronic and optoelectronic applications, it is of highest importance to control and possibly also take advantage of the polytypism.
In our current investigations, we take a classical nucleation approach to explain the phenomenon of polytypism in metal particle-seeded III-V nanowires, including polytypes up to 6H. In order to describe the formation of higher order polytypes, interaction between the stacked layers, which goes beyond nearest neighbor interactions must be taken into account. For this purpose we use the axial next nearest neighbor Ising (ANNNI) model, which I introduce before I describe our specific approach.
In the ANNNI model, the stacking sequence is treated as a sequence of generalized spins and different sequences give different total energies, depending on the interlayer interaction parameters. The total energies for several polytypes can be calculated by ab initio techniques for any given material. In addition, from the total energy expressions a phase diagram can be constructed, in which the ab initio results can be visualized. Using this approach, it has been shown that 6H is the most stable SiC polytype and it has been verified that the III-V semiconductors are very stable in 3C. Another, more kinetic approach to the ANNNI modeling of polytypism is to keep track of the incremental energy change due to the addition of single layers. This approach has been used to explain the preference of SiC to grow in the 3C polytype during CVD.
In our approach to polytypism in nanowires, we use the ANNNI model to express the interface energy between the forming nucleus and the underlying layers for the 3C, 6H, 4H, and 2H polytypes. I will show how to combine this interface energy with our nucleation theoretical framework and describe how we can use this model to calculate the formation probabilities of these four polytypes as functions of supersaturation. Depending on the interaction parameters, the range of attainable polytypes as a function of supersaturation can vary, and this can be graphically represented. I will introduce such polytype attainability diagrams and discuss their experimental relevance.
12:00 PM - S8.08
Crystal Structure Tuning in Antimonide-based Nanowires: A Generic Approach
Sepideh Gorji Ghalamestani 1 Sebastian Lehmann 1 Kimberly Dick Thelander 1
1Lund University Lund Sweden
Show AbstractIII-V semiconductor nanowires have proven to serve as a great platform for both material science and basic physics studies. From the material scientific point of view it is of specific interest that III-V semiconductor nanowires can be tailored to adopt various crystal structures such as e.g. zinc blende (ZB) and wurtzite (WZ) which is not within reach for their bulk counterparts. Among III-V nanowire material systems, the antimonide-based nanowires are a relatively new class of materials, which offer remarkable properties such as narrow band gaps and high carrier mobilities compared to the other III-V materials. During the last decade, successful epitaxial growth of several antimonide-based nanowires such as InSb [1, 2] and GaSb [3, 4] has been reported by a number of research groups. However, all the studies conducted on the Au-seeded antimonide-based nanowires have shown that those adopt pure ZB crystal structure unlike the other III-V material based nanowires. Only a few exceptions to this behavior can be found in literature [5-8] where stacking defects and-or short WZ segments have been observed using other particle types. However, the controlled formation of antimonide-based nanowires with alternative crystal structures other than ZB has not yet been demonstrated or understood.
In this study, we present a generic approach using Au particle seeded InSb nanowire growth as a test template to form and control alternative crystal structures (other than ZB) in antimonide-based nanowires. Growth was carried out by metalorganic vapor phase epitaxy (MOVPE) on InAs substrates decorated with Au aerosol nanoparticles with various diameters (40-70 nm). Scanning electron and transmission electron microscopy techniques (SEM and TEM) was applied for a detailed characterization of the prepared nanowire samples.
Following our growth approach we can demonstrate the controlled formation of the WZ crystal structure in antimonide-based nanowires. Furthermore, we interpret the formation of WZ segments in our nanowires by considering material-specific properties of Sb as e.g. the low equilibrium vapor pressure. This has potentially strong influence on the nanowire growth process by affecting both supersaturation and interfacial energy terms.
1. Park, H.D., et al. Journal of Crystal Growth, 2007. 304: p. 399-401.
2. Caroff, P., et al. Small, 2008. 4: p. 878-82.
3. Guo, Y.N., et al. Applied Physics Letters, 2006. 89: p. 231917.
4. Jeppsson, M., et al. Journal of Crystal Growth, 2008. 310: p. 5119-5122.
5. Pozuelo, M., et al. Journal of Crystal Growth, 2011. 329: p. 6-11.
6. Mandl, B., et al. Nanotechnology, 2011. 22: p. 145603.
7. Vogel, A.T., et al. Nanotechnology, 2011. 22: p. 015605.
8. Vogel, A.T., et al. Crystal Growth & Design, 2011. 11: p. 1896-1900.
12:15 PM - S8.09
Crystal Phase Control and Composition Tunability of InGaAs Nanowires and Related Heterostructure
Amira Saryati Ameruddin 1 2 Halahapperumage Aruni Fonseka 1 Philippe Caroff 1 Jennifer Wong-Leung 1 3 Hark Hoe Tan 1 Chennupati Jagadish 1
1The Australian National University, Australia Canberra Australia2Universiti Tun Hussein Onn Malaysia Batu Pahat Malaysia3The Australian National University Canberra Australia
Show AbstractTernary compound semiconductor nanowires offer bandgap tunability via controlled composition variation. InGaAs is one of the most interesting III-V ternary alloys as it can be tuned to emit or absorb in a spectral range of 850-3500 nm. However, growth of high quality InGaAs nanowires continues to face challenges such as composition inhomogeneity and crystal phase disorder, linked to the competition between the In and Ga adatom incorporation pathways. Hence it is of interest to gain more knowledge and understanding to control composition and crystal structure for InGaAs nanowires. This will open the way to more advanced but related heterostructures such as core-shell and branched nanostructures.
In this work, we first demonstrate the composition control of InGaAs nanowires in both wurtzite and zinc-blende phases. We relate the dependencies of the composition with the crystal structure based on the results obtained for a large set of growth conditions. 3D-compositional mapping of the InGaAs nanowires was investigated as a function of growth parameters using energy-dispersive X-ray spectroscopy (along the growth direction as well as radially in cross-sections). This offers a deeper understanding of the incorporation pathways of In and Ga, which in turn is used to achieve InGaAs nanowires with higher structural quality and finely tunable composition.
We then proceed with integrating the InGaAs core nanowires with InP shells to passivate the surface states and improve the optical properties. High intensity photoluminescence spectra were obtained from these InGaAs/InP core-shell structures. Finally, formation of “nano-flags”- like heterostructures can be obtained under specific growth conditions. These surprising structures could prove interesting in the future, as the membrane-like “nano-flags” have their crystal structure controlled by that of its pole/nanowire and hence could become a phase-controlled novel building block for hierarchical bottom-up engineering.
12:30 PM - S8.10
Studying the Phase Diagram of the Au-In Nanoalloys Using the CALPHAD Method Combined with DFT Calculations
Masoomeh Ghasemi 1 Sepideh Gorji Ghalamestani 1 Kimberly Dick Thelander 1 Jonas Johansson 1
1Lund University Lund Sweden
Show AbstractPotential and promising applications of semiconductor nanowires for the downscaling of electronic and optoelectronic devices require precise control over the fabrication of nanowires to engineer their properties. The most common growth mechanism is the vapor-liquid-solid process in which the liquid seed particles (often Au) are supersaturated with gaseous growth species (e.g. Ga and As) at elevated temperatures and subsequently, the crystalline phase (GaAs nanowires) is grown from the particle. To optimize the growth of nanowires of a desired materials system, numerous experimental attempts are often needed, being both cost-inefficient and time-consuming. However, using the available computational techniques, it would be possible to model the growth mechanism for each specific materials system. Such an approach would guide successful nanowire fabrication much more efficiently than experimental trial-and-error.
So far, we have been developing thermodynamic databases using the powerful CALPHAD (CALculation of PHAse Diagrams) technique [1] to understand the phase equilibria of relevant materials systems [2-3] which are useful to for understanding the growth of III-V semiconductor nanowires [4-5]. Due to the confined sizes of the systems in nano-scale, studying the phase equilibria of nano-alloys is also of great interest. Thus, the next step is to extend the CALPHAD approach in a way to include the size of the system. To fulfil this aim, the experimental or calculated data on the surface energies of the solution phases and intermetallic phases in a system are required. The surface energies of the phases in an alloy system can be calculated using state-of-the-art Density Functional Theory (DFT) calculations. The calculated energies can then be used as inputs of the CALPHAD method that enables us to investigate the thermodynamics of a nano-scale system.
We are currently studying the phase diagram of Au-In nano-particles, both experimentally and computationally. Preliminary experimental results show that the solubility of In in Au increases by decreasing the size of the nanoparticles. The surface energies will be calculated using the DFT calculations and then the phase equilibria will be computed using the CALPHAD technique. The knowledge on the phase equilibria of this system at nano-scale would help to understand the nucleation mechanism during the growth of Au-seeded In containing nanowires.
[1] Kaufman and Bernstein, Computer calculations of phase diagrams, Academic Press Inc, 1970.
[2] Ghasemi et al., The thermodynamic assessment of the Au-In-Ga system. J Alloys Compd, 600 (2014) 178-85.
[3] Ghasemi and Johansson, Thermodynamic Assessment of the As-Zn and As-Ga-Zn Systems, Submitted to J- Alloys Compd.
[4] Ghalamestani et al., Morphology and composition controlled GaxIn1-xSb nanowires: understanding ternary antimonide growth. Nanoscale, 6 (2014) 1086-92.
[5] Yang et al., Zn-doping of GaAs nanowires grown by Aerotaxy, Submitted to J Cryst Growth.