A1: Large Area and Flexible Processing
Session Chairs
Tuesday PM, April 14, 2009
Room 2004 (Moscone West)
9:30 AM - **A1.1
Large Area PECVD Technology for TFT-LCD and Thin Film Solar Application.
Soo Young Choi 1
1 , AKT, Applied Materials, Santa Clara, California, United States
Show AbstractWith the rapid growth of the flat panel display market, a high productivity PECVD tool has been essential to continue the reduction of cost per area for the display. TFT-LCD industry has been scaling up substrate sizes every 2 years in the past 15 years. In order to enable such a fast evolution in the substrate size increase, AKT has developed multiple generations of PECVD systems as a mass production tool in a timely manner. AKT PECVD systems are capable of processing multiple substrates in a cluster tool for depositing amorphous-Si, doped amorphous-Si, microcrystalline-Si, SiNx, SiON and SiOx films, based on 13.56MHz RF parallel plate reactor. Over the substrate size increase, AKT has maintained similar film properties and throughput to those of the previous generation systems.In this talk, the scale-up challenges in both process and hardware will be discussed, and AKT’s innovative solutions will be described that have led to the successful development of multiple generations AKT PECVD systems including the latest equipment, Gen. 10, AKT-90K PECVD system, which measures approximately about 3 meter by 3 meter scale. It is now more and more evident that as substrate size grows, physical limitations such as transportation limitations, material availability, machining, forging, and welding capabilities will become more serious issues. AKT will continue to focus on innovative and cost effectively solutions to address these issues and to enable customers to reduce cost per area for generation to generation.In the meanwhile, AKT has been successful to adopt the TFT-LCD display PECVD tool for thin film solar cell manufacturing, featured as Applied Materials SunFab™. High efficiency amorphous-Si single junction and amorphous-Si/ microcrystalline tandem junction solar cell modules have been fabricated and several SunFab™ production lines have recently started mass production for the industry largest module measuring up to 5.7 square meter, which is equivalent to Gen.8.5 size in TFT-LCD industry. Thanks to AKT’s experience earned during the scaling of PECVD tools in the display industry, the core technology has been easily transferred to the energy industry, thereby contributing to the reduction of cost per watt for the solar panel.In this talk, the success story of SunFab™ will be briefly discussed including the large area solar module performance. Keywords: PECVD, TFT-LCD, Large Area, Thin Film Solar, Amorphous-Si, Microcrystalline-Si, Cost per Area, Cost per Watt
10:00 AM - A1.2
Multilevel-mold Self-aligned Imprint Lithography of Top-gate Amorphous Silicon Thin-film Transistors.
Elisabeth Lausecker 1 2 , Yifei Huang 1 , Sigurd Wagner 1 , James Sturm 1
1 Department of Electrical Engineering, Princeton University, Princeton, New Jersey, United States, 2 Institute of Semiconductor and Solid State Physics, University of Linz, Linz Austria
Show AbstractWe demonstrate the first top-gate a-Si TFT fabricated by self-aligned imprint lithography (SAIL). Non-uniform dimensional changes of flexible substrates during thin-film transistor (TFT) fabrication cause layer-to-layer misalignment and thus reduce device yield. SAIL circumvents this problem by transferring all geometric information in a single patterning step [1]. We designed and fabricated multilevel imprint molds for the patterning of top-gate TFTs of amorphous silicon (a-Si). Then we combined (i) a self-aligned procedure for mold fabrication with (ii) SAIL for TFT fabrication, and (iii) a process for self-aligning TFT source/drain (S/D) to the gate.Our new 3-level mold replaces the 2 masks normally used in photolithographic patterning of TFTs [2]. We fabricated the mold in a novel approach that uses chromium (Cr) as a hard etch mask, instead of photoresist. The TFT fabrication process starts with the growth, by plasma-enhanced chemical vapor deposition on glass substrates, of the TFT stack that consists of the a-Si active layer, the silicon nitride gate dielectric layer, and the Cr gate metal. Imprinting of the 3-dimensional mold transfers all topographic information for the TFT, i.e., gate, channel, S/D electrodes, and field isolation, to 3 levels of the imprinted etch mask. The pattern is transferred from the imprinted mask to the TFT layers by alternately etching the imprinted mask and the device layers, using reactive-ion etching. The fabrication process is completed by depositing a layer of nickel (Ni) followed by an anneal. The Ni reacts with a-Si to form nickel silicide S/D contacts. Unreacted Ni is removed with a wet etch. A TFT with W/L = 80 μm / 40 μm has a threshold voltage of 3 V, a subthreshold slope of 0.9 V/decade, a saturation mobility of 0.4 cm2/Vs, and an ION/IOFF ratio of 5 x 104. We will discuss imprint mold design and fabrication, the TFT process, and its results. [1] P. Mei, W. B. Jackson, C. P. Taussig, A. Jeans, US Patent #7056834 B2, Jun. 6, 2006.[2] Y. Huang, B. Hekmatshoar, S. Wagner, J. C. Sturm, IEEE Electron Device Letters, Vol. 29, No. 7, July 2008.
10:15 AM - A1.3
Thin Film Transistors with Sol-Gel derived Organosiloxane Based Binary oxides as Gate Insulator
Jae-seok Heo 1 , Jaehyun Kim 1 , Soon Young Min 1 , Jaeyeal Kim 1 , Changdong Kim 1 , In Byeong Kang 1 , In-Jae Chung 1
1 , LG Display R&D Center, Paju-si Korea (the Republic of)
Show AbstractHere we report on the alternative route to solution-processible gate insulators for thin film transistors (TFTs). These materials are synthesized by sol-gel processing with binary oxides, which are controlled by the synergistic effects of organic-inorganic solid acid/base properties. In addition, we have fabricated hydrogenated amorphous silicon (a-Si:H) TFTs with these binary oxides as gate insulators, and attained field-effect mobility as large as 0.48 cm2V-1s-1 with on/off current ratio of 10^7. The characteristics are discussed in more detail with respect to the synergistic effects of the organic groups bonded to silicon in organicsiloxane-metal oxide networks.
10:30 AM - A1.4
Cyclohexasilane (Si6H12) as a Precursor to Silicon Based Ring Oscillators Built With Collimated Aerosol Beam-Direct Write (CAB-DWTM).
Matt Robinson 1 2 , Justin Hoey 1 2 , Drew Thompson 1 2 , Kevin Mattson 1 , Greg Strommen 1 , Syed Ahmad 1 , John Lovaasen 1 , Iskander Akhatov 1 2 , Alan Kallmeyer 2 , Doug Schulz 1 2
1 , Center for Nanoscale Science and Engineering, Fargo, North Dakota, United States, 2 , Mechanical Engineering and Applied Mechanics, Fargo, North Dakota, United States
Show AbstractWithin recent decades, the push toward manipulating high-mobility, polymer-based circuitry in the mesoscopic range has lent itself towards development of various printing technologies such as Ink-jet, Micropen, Maskless Mesoscale Materials Deposition (M3D) and Collimated Aerosol Beam-Direct Write (CAB-DW).1 A commonality between all so termed “direct-write” methods is the necessity of having an appropriate precursor material that yields the desired conductive electrodes, active semiconducting material or dielectric materials. Appropriate coupling of deposition method and ink precursor gives the ability to assemble both passive (i.e., resistors, inductors, capacitors) and active (i.e., semiconductor) components. To demonstrate the performance of printed actives, we have recently developed both cleanroom lithographic and printed routes to ring oscillators. Such a device consists of several interconnected thin film transistors and allows a determination of the true electrical properties of printed transistors.2 In this presentation, we will describe our work toward top gate printed ring oscillators. The initial layer consists of silver source/drain region printed via CAB-DW on a multi-axial precision stage where the printed features are plotted from a CAD file. Following the metal electrode deposition, a semiconducting precursor ink based upon cyclohexasilane (Si6H12) is deposited by CAB-DW. Laser irradiation and/or thermal treatment are required to convert the material into a-Si. The devices are finished by growing a common gate dielectric followed by a printed silver gate. As a consequence of the CAB-DW processing technique, channel length can be reduced to ~5 µm. The approach described herein offers potential advantages such as low material loss due to accurate placement of the materials, the ability for rapid prototyping and the capacity for printing on flexible substrates that require low–temperature (<350 °C) processing.Acknowledgements This material is based on research sponsored by the Defense Microelectronics Activity under agreement number H94003-08-2-0801. The United States Government is authorized to reproduce and distribute reprints for government purposes, notwithstanding any copyright notation thereon.[1] Akhatov, I.S.; Hoey, J.M.; Swenson, O.F.; Schulz, D.L. Aerosol flow through a long micro-capillary: collimated aerosol beam, Microfluid. Nanofluid., 2008, 5, 215-224.[2] IEEE Stnd 1620.1 “IEEE Standard for Test Methods for the Characterization of Organic Transistor–Based Ring Oscillators”.
11:15 AM - **A1.5
a-Si:H TFT Backplanes for Displays and Imagers: Material Challenges and Design Solutions
Reza Chaji 1
1 , Ignis Innovation Inc, Kitchener, Ontario, Canada
Show AbstractHydrogenated amorphous silicon (a-Si:H) technology provides uniformity over large area and benefits from low fabrication cost [1-3], which are critical for active matrix organic light emitting diode (AMOLED) displays and flat-panel imagers. However, the backplane comes with critical shortcomings that need to be addressed to make it deployable for these applications. In most of the large-area applications, the a-Si:H thin film transistor (TFT) is under constant current stress [1], which results in a non-saturating shift in the threshold voltage (VT-shift) [2]. Also, the low mobility and large footprint of the TFT can result in low aperture ratio, low resolution, and high power consumption. In addition, the large parasitic capacitance along with the low mobility leads to settling time constraints particularly in real time applications [3]. More importantly, the high sensitivity of the TFT to temperature variations can affect the performance and cause thermal runaway, particularly in AMOLED displays.This paper presents different biasing techniques that improve the stability of a-Si:H TFTs significantly. For display applications, the technique accommodates a relaxation cycle during the operation of the TFT [5]. As a result, charge trapping and defect creation rates drop due to the limited continuous stress time. Measured lifetime results of TFTs under constant current stress and relaxation biasing show that the VT shift can be reduced by as much as 50%. Here, the VT shift under constant current stress is around 5.5 V after 1400 hours of stress whereas it is 2.5 V for relaxed biasing. For imaging applications, the short-term biasing condition leads to highly stable TFT operation [5], in which there is no observable VT shift for over 2000 hours of operation. Using the aforementioned biasing techniques and driving schemes, we will review design solutions related to settling time, thermal sensitivity, and aperture ratio. These are used in the design of a 2.2-inch AMOLED display and a 2-TFT imaging pixel.[1]A. Nathan, G.R. Chaji, and S.J. Ashtiani, Driving schemes for a-Si and LTPS AMOLED displays, IEEE J. of Display Technology, 1, (Dec. 2005), pp. 267-277.[2]S. Jahinuzzaman, A. Sultana, K. Sakariya, P. Servati, and A. Nathan, Threshold voltage instability of amorphous silicon thin-film transistors under constant current stress, Applied Phys. Lett., 85, (July 2005), pp. 023502 (1-3).[3]S. Ono and Y. Kobayashi, An accelerative current-programming method for AM-OLED, IEICE Trans. Electronics, E88-C, (Feb. 2005), pp. 264-269.[4]G.R. Chaji, S. Alexander, A. Nathan, C. Church, and S.J. Tang, "A low-cost amorphous silicon AMOLED display with full VT- and VOLED-shift compensation," Technical Digest of SID Symposium, Long Beach, US, (May 2007), pp. 1580-1583. [5]G.R. Chaji, N. Safavian, and A. Nathan, "Stable a-Si:H circuits based on short-term stability of amorphous silicon TFTs," J. of Vacuum Science and Technology A, 24,(May 2006), pp. 875-878, May 2006.
11:45 AM - A1.6
100-Year Low-Gate-Field Half-life of Amorphous-Si Thin-Film Transistors with a Plastic-Compatible Modified Gate Nitride Process.
Bahman Hekmatshoar 1 , Kunigunde Cherenack 1 , Yifei Huang 1 , Sigurd Wagner 1 , James Sturm 1
1 Princeton Institute for the Science and Technology of Materials (PRISM) and Department of Electrical Engineering, Princeton University, Princeton, New Jersey, United States
Show AbstractWe report bottom-gate inverted-staggered amorphous silicon (a-Si) thin-film transistors (TFTs) with an extrapolated DC saturation current half-life of longer than 850,000 hours. This TFT half-life is higher than the 250,000 hours of high quality green phosphorescent OLEDs [1], suggesting that these TFTs may be used as drivers in OLED displays without requiring compensation circuits. The OLED half-life is defined as the time the OLED luminance drops to 50% under DC current bias. Similarly we define the TFT half-life as the time the TFT current drops to 50% under DC voltage bias in saturation. The TFTs are grown in a standard plasma-enhanced chemical vapor deposition system on glass substrates, at a maximum process temperature of 300°C which will allow the use of clear plastic substrates [2]. At the low gate fields (< 5×105V/cm) sufficient for driving high quality green phosphorescent OLEDs [2], the TFT threshold voltage shift is dominated by creation of defects in a-Si close to the a-Si/nitride interface [3]. The low-field stability may be improved by increasing the gate nitride deposition temperature, but more significantly by improving the a-Si quality through hydrogen dilution during a-Si growth [4]. Using 300°C gate nitride, improved a-Si and approperiate post-process annealing, we raised the half-life of a-Si TFT’s fabricated on clear plastic substrates from lower than 750 hours to more than 85,000 hours (10 years) [4]. We stress the TFTs for 750 hours and extrapolate in time by fitting a stretched exponential model [3] to the experimental data.We showed recently that the improved a-Si combined with higher nitride deposition temperature (350°C) and improved annealing increases the TFT half-life to 850,000 hours (100 years) [5]. Here we report that the same high lifetime may be achieved without raising the nitride deposition temperature above 300°C for better compatibility of the process with clear plastic substrates. Our results strongly indicate that defect creation in a-Si during device operation is not occurring in the bulk of a-Si, but rather very near the nitride, where the a-Si microstructure may be affected by that of the nitride on which it is grown. We acknowledge the DuPont Company for technical collaboration and the U.S. Display Consortium for support. [1] B. W. D'Andrade, Proc. LEOS Annu. Meet., p. 59, 2007[2] B. Hekmatshoar, et. al. IEEE Elect. Dev. Lett., v. 29, p. 63, 2008[3] M. J. Powell, et. al. Phys. Rev. B, v. 49, p. 4160, 1992 [4] B. Hekmatshoar, et. al. Appl. Phys. Lett. v. 93, p. 032103, 2008[5] B. Hekmatshoar, et. al. to be presented at IEEE Int. Elect. Dev. Meet. Dec. 2008
A4: Defects and Metastability
Session Chairs
Tuesday PM, April 14, 2009
Room 2004 (Moscone West)
4:15 PM - **A4.1
Defect Evolution Kinetics in a-Si:H Thin Films during Atomic Hydrogen Irradiation Studied by Evanescent-Wave Cavity Ring-Down Spectroscopy.
M. van de Sanden 1 , Jie Zheng 2 1 , X. Li 2 , F. Peeters 1 , W. Kessels 1
1 Applied Physics, Eindhoven University of Technology, Eindhoven Netherlands, 2 , Peking University, Peking China
Show AbstractThe evolution kinetics of sub-band gap defects in a-Si:H thin films during atomic hydrogen dosing was studied by monitoring the sub-band gap absorption using Evanescent-Wave Cavity Ring-Down Spectroscopy (EW-CRDS). a-Si:H thin films were grown on the total internal reflection surface of a folded miniature optical resonator by hot-wire decomposition of SiH4, and were subjected to quantified atomic H fluxes at various substrate temperatures. The kinetics of the dosing process was measured with a time resolution of 33 ms and sensitivity up to 0.1 ppm. Through the use of polarizing optics the EW-CRDS signal was split into an s- and p-polarized component, which, combined with electric field calculations, revealed that H-induced defect formation was not limited to the surface but progressed into the bulk with a penetration depth of ~10 nm, independent of film thickness. In addition the dependence on H-flux, substrate temperature and film structure was investigated. The absorption increased when the H flux was turned on and decreased to the baseline after the H flux is switched off, indicating reversible defect creation and healing processes during the H dosing experiments. The steady state absorption increases nonlinearly with H flux and approaches saturation at the highest attainable flux of 2×1014 cm-2s-1. From the temperature dependence of the steady state absorption amplitude an Arrhenius behavior is obtained with a negative activation energy of -0.14 ± 0.02 eV, suggesting that insertion into weak silicon-silicon bonds by atomic hydrogen is less activated than the atomic hydrogen induced repair of these bonds by the inverse process. H dosing on defective films induced higher density of defects due to larger density of weak silicon-silicon bonds. Kinetic models related to the microscopic defect creation and annihilation processes were established to understand the defect evolution process quantitatively. From this model the kinetic constants and their temperature dependence are determined.
4:45 PM - A4.2
Metastability in a-Si: A High-resolution Scanning Kelvin Probe Force Microscopy Study.
Venkat Bommisetty 1 , Pavel Dutta 1 , Sanjoy Paul 1 , David Galipeau 1
1 , SDSU, Brookings, South Dakota, United States
Show AbstractThe origin of metastability in amorphous (a-Si) and nanocrystalline silicon (nc-Si) was under debate for long time [1]. However, fundamental understanding of the physical processes leading to metastability is not clear, due to complex structure of nc-Si. There has been considerable debate on whether the degradation is due to the grain boundaries or due to the amorphous tissue surrounding silicon nanocrystals [2]. Addressing this issue require direct imaging of density of states (DOS) and electronic structure of individual nanocrystals and surrounding amorphous tissue. This report presents high resolution surface potential maps of nc-Si and a-Si and explains changes in electronic properties of individual grains and grain boundaries, (e.g., DOS, charging, depletion region) caused by metastability. Highly doped n-type nc-Si and a-Si thin films were deposited using RF sputter deposition on glass wafers. This report describes results for a-Si while comparative data for nc-Si will be presented. Intermittent contact AFM images of a-Si showed grains, clusters of grains and grain boundaries. Grain size varied between 20 nm to 200 nm and most of the large grains were composed of several smaller grains. Surface potential and topography images were measured simultaneously using a novel AFM based Kelvin probe force microscopy (KFM) tool with ≈ 2 nm resolution. Such high resolution is realized by using dual phase-lock loops under proximal tip conditions and minimizing the influence of topographic features on surface potential. The magnitude of the potential on grain’s surface is independent of size for grains of > 100 nm diameter, whereas smaller grains possess varying potential distribution. Two different types of grain boundaries were observed; boundaries within smaller grains in a cluster of grains and boundaries between grain clusters. The potential across the former was significantly smaller in magnitude than the later. The potential across grain boundaries is always significantly lower than that on the grain surfaces. The areas where the boundaries of more than three grains connect with each other possess lowest potential in the image. These observations are in qualitative agreement with simple 1D simulations made using AMPS-1D. Aluminum bonding pads were deposited on a-Si with about 1 mm gap and a bias of 40 V was applied between the pads for several hours. The sample was exposed to concentrated light from a halogen lamp until 10% decrease in the current was observed. Surface potential maps measured after this treatment resulted in significant increase in the potential across grain boundaries. The width of grain boundaries (or depletion region) was also increased with degradation. Such an increase in surface potential can be attributed to large increase in the defect DOS at grain boundaries and charging of these states. [1] G. Yue et al., J. Mater. Res., 22, 1128 (2007).[2] Meillaud, F et al., J. Appl. Phys. 103, 054504 (2008)
5:00 PM - A4.3
Amorphous Semiconductors Studied by First-principles Simulations: Structure and Electronic Properties.
K. Jarolimek 1 2 , R. A. de Groot 2 , G. A. de Wijs 2 , M. Zeman 1
1 DIMES, Delft University of Technology, Delft Netherlands, 2 ESM, Radboud University, Nijmegen Netherlands
Show AbstractAtomistic models of amorphous solids enable us to study properties that are difficult to address with experimental methods. We present a study of two amorphous semiconductors with a great technological importance, namely a-Si:H and a-SiN:H.We use first-principles density functional theory (DFT), i.e. the interatomic forces are derived from basic quantum mechanics, as only that provides accurate interactions between the atoms for a wide range of chemical environments (e.g. brought about by composition changes). This type of precision is necessary for obtaining the correct short range order. Our amorphous samples are prepared by a cooling from liquid approach. As DFT calculations are very demanding, typically only short simulations can be carried out. Therefore most studies suffer from a substantial amount of defects, making them less useful for modeling purposes. We varied the cooling rate during the thermalization process and found it has a considerable impact on the quality of the resulting structure. A rate of ∼0.02 K/fs proves to be sufficient to prepare realistic samples with low defect concentrations. To our knowledge these are the first calculations that are entirely based on first-principles and at the same time are able to produce defect-free samples. Because of the high computational load also the size of the systems has to remain modest. The samples of a-Si:H and a-SiN:H contain 72 and 110 atoms, respectively. To examine the convergence with cells size, we utilize a large cell of a-Si:H with a total of 243 atoms. As we obtain essentially the same structure as with the smaller sample, we conclude that the use of smaller cells is justified.Although creating structures without any defects is important, on the other hand a small number of defects can give valuable information about the structure and electronic properties of defects in a-Si:H and a-SiN:H. In our samples we observe the presence of both the dangling bond (under-coordinated atom) and the floating bond (over-coordinated atom). We relate structural defects to electronic defect states within the band gap. In a-SiN:H the silicon-silicon bonds induce states at the valence and conduction band edges, thus decreasing the band gap energy. This finding is in agreement with measurements of the optical band gap, where increasing the nitrogen content increases the band gap.
5:15 PM - A4.4
Defect Creation in a-Si:H and its Relation to Hydrogen Bonding and Microstructure.
David Bobela 1 , Howard Branz 1 , Guozhen Yue 3 , Baojie Yan 3 , Craig Taylor 2 , Pauls Stradins 1
1 , National Renewable Energy Laboratory, Golden, Colorado, United States, 3 , United Solar Ovonic LLC, Troy, Michigan, United States, 2 Physics, Colorado School of Mines, Golden, Colorado, United States
Show AbstractHydrogen has long been implicated in the processes leading to stable and metastable defect creation in a-Si:H. In the early days of a-Si:H, Biegelsen et al. found that defect creation and hydrogen evolution were highly correlated, and that SiH2-like configurations were the first to disappear during anneal [1]. Jackson et al. later found many fewer defects than might be expected given the hydrogen content of “re-hydrogenated” samples, an observation that Zafar and Schiff subsequently used to formulate their hydrogen deficit model of defect thermodynamics [2,3]. Stutzman et al. [4], Branz [5], and others have linked H to the light-induced metastable defects and there is some nuclear magnetic resonance support for this proposal [6,7]. Despite the many studies, there is still no clear understanding of the relationship between hydrogen motion, defect creation and defect stability.We are systematically studying the effects of hydrogen effusion on the FTIR, NMR, and ESR spectra of device quality a-Si:H to understand the correlations among hydrogen density, structures and electronic defects. We extend the work of Biegelsen et al. by examining the hydrogen microstructure in detail with proton NMR since we now have the ability to produce the larger sample volumes required for the NMR experiments. These measurements also provide a crucial experimental test of Zafar and Schiff’s hydrogen deficit model, since for the first time, the hydrogen content, defect concentration, and hydrogen bonding structures are observed on the same sample. We first discuss how the FTIR and NMR signatures of the clustered and isolated hydrogen environments evolve under progressive evolution of hydrogen from the sample. We then discuss correlations between those changes in the NMR spectra and the density of newly created defects, measured by continuous wave ESR. We examine the influence of the hydrogen microstructure on the hydrogen effusion and defect creation by extending the study to samples with increasing concentrations of nano-crystalline inclusions.1.Biegelsen, D. K., et al. Phys. Rev. B. 20, 4839 (1979).2.Jackson, W. B., et al. Phys. Rev. Lett. 64, 56 (1990).3.Zafar, S., et al. Phys. Rev. Lett. 66, 1493 (1001).4. Stutzman, M., et al. Phys. Rev. B. 32, 23 (1985).5. Branz, H. Phys. Rev. B. 59, 5498 (1999).6. Kondo, M., J., et al. Non-Cryst. Sols. 164-166 (1993).7. Su, T. et al. Phys. Rev. Lett. 89, 015502 (2002).
5:30 PM - A4.5
Stretched-exponential a-Si:H / c-Si Interface Recombination.
Stefaan De Wolf 1 , Sara Olibet 1 , Christophe Ballif 1
1 IMT, EPFL, Neuchatel Switzerland
Show AbstractPlasma enhanced chemical vapor deposited (PECVD) intrinsic hydrogenated amorphous silicon (a-Si:H) films have attracted in recent years considerable attention for the fabrication of crystalline silicon (c-Si) solar cells. This is related to the fact that such films can provide outstanding electronic surface passivation for crystalline silicon surfaces, which is considered to be a key element for high performance crystalline silicon (c-Si) solar cells. For this, atomically sharp a Si:H / c-Si interfaces, i.e. where no epitaxial Si (epi-Si) film was grown on the wafer during film deposition, are considered to be essential. Previously it was suggested that low-temperature post deposition annealing may be beneficial for the interface passivation quality, provided that neither epi-Si at the interface [1] nor boron-doped overlayer [2] is present. Here, we show that under these conditions electronic passivation relaxation during annealing can be described accurately by so-called stretched-exponentials (SE). SE decay is a characteristic phenomenon that often describes the relaxation of disordered systems towards equilibrium. For bulk a-Si:H, relaxation is governed by release of hydrogen from trap sites. This phenomenon was explained in the past by dispersive hydrogen diffusion, or by retrapping included hydrogen motion. Here, we report that even moderate temperature (below 180°C) annealing can yield an extremely low a-Si:H / c-Si interface recombination activity, also following SE. Carrier injection dependent recombination calculations [3] suggest that the origin of this phenomenon is related to dangling bond reduction at the interface, rather than to a field effect [4]. These findings underline the similarity between a Si:H / c-Si interface recombination and the electronic properties of a-Si:H bulk material. In this article, a physical interpretation of the hydrogen kinetics will be given, yielding the observed SE, which is based on the transfer of mobile hydrogen close the interface between (higher) hydrides in the a-Si:H film and c-Si mono hydride states. To summarize, annealing induced passivation improvement suggests that a low a Si:H / c-Si interface recombination activity and device-grade bulk a-Si:H are largely governed by very similar defects, i.e. their Si dangling bond density respectively at the interface and in the bulk. In addition, the observed SE like behavior may offer insight in the role that hydrogen plays in the interface passivation kinetics.[1] S. De Wolf and M. Kondo, Appl. Phys. Lett. 90, 042111 (2007).[2] S. De Wolf and M. Kondo, Appl. Phys. Lett. 91, 112109 (2007).[3] S. Olibet, E. Vallat-Sauvain, and C. Ballif, Phys. Rev. B 76, 035326 (2007).[4] S. De Wolf, S. Olibet, and C. Ballif, Appl. Phys. Lett. 93, 032101 (2008).
5:45 PM - A4.6
Light Induced Degradation of Nanocrystalline Silicon and Role of Surface States
Niri Mandale 1 , Arman Ahnood 1 , Marius Bauza 1 , Andrei Sazonov 2 , Arokia Nathan 1
1 London Center for Nanotechnology, University College London, London United Kingdom, 2 Electrical and Computer Eng, University of Waterloo, Waterloo, Ontario, Canada
Show AbstractIn the recent years a number of nanocrystalline silicon (nc-Si:H) based thin film transistors (TFTs) have been demonstrated with superior device characteristics such as high field effect mobility and steep sub-threshold slope. It has already been shown that there is a difference between threshold voltage (Vt) shift of nc-Si:H TFTs and that of a-Si:H. However the mechanism of Vt shift in nc-Si:H TFTs has not been fully explored. Given the potential application of nc-Si:H TFTs, understanding of mechanism of instabilities and threshold voltage (Vt) shift of these devices is of critical importance.One method that could be used for identification of causes of threshold shift is investigation of the light induced degradation. In this work we are exploring effect of light soaking of thin nc-Si layers at various conditions. We have systematically investigated the effect of light soaking duration on dark current as a mean of identifying the defect creation mechanism. The origins of the defects were identified by combining investigation of thermal defect's activation energy with effects of sub bandgap light quenching and thermal annealing.The role of surface states in the conduction of thin nc-Si:H film was investigated by examining set of samples with and without oxidised surface (one sample was intentionally oxidised and another sample had oxide layer removed by chemical etching). Both samples exhibit the Persistent Photocurrent (PPC) and Staebler-Wronski (SW) effects. However these effects are dominant at different phases of light soaking for each of the samples. We attribute these effects to the interface charge trapping and defect generation for the nc-Si with an oxide cap layer and for the sample without oxide cap layer, defect generation and previously unseen photo-structural change. This work shows that light induced defect generation in nc-Si:H is unlike that of a-Si:H, which may help to explain the differences in the Vt shift results.
A5: Poster Session: Crystallization
Session Chairs
Tuesday PM, April 14, 2009
Exhibition Hall (Moscone West)
6:00 PM - A5.1
High Temperature Post-deposition Annealing Studies of Layer-by-layer (LBL) Deposited Hydrogenated Silicon Films.
Boon Tong Goh 1 , Saadah Abdul Rahman 1 , Siti Meriam Ab. Gani 1 , Muhamad Rasat Muhamad 1
1 Department of Physics, University of Malaya, Kuala Lumpur Malaysia
Show AbstractHigh temperature post-deposition annealing studies were done on hydrogenated silicon thin films deposited by plasma-enhanced chemical vapour deposition (PECVD) using the layer-by-layer (LBL) deposition technique. The films were annealed at temperatures of 400 °C, 600 °C and 800 °C. The measurement done on the films included X-ray diffraction (XRD), Auger electron spectroscopy (AES), Fourier transform infrared spectroscopy (FTIR) and optical transmission/reflection spectroscopy (ultra-violet to near infrared). The XRD results showed significant presence of crystalline phase in the film annealed at 800 °C and above. Annealing at these temperatures totally removed hydrogen from the film structure as indicated by the complete disappearance of the Si-H wagging and SiH/Si-H2 stretching bands in the FTIR spectra. Both the FTIR and AES measurements complemented each other demonstrating that high temperature annealing had very little effect on the oxide contaminants present in the film structure. These oxides are formed on the substrates immediately after the cleaning process prior to deposition. AES depth profiling results showed that the oxygen atoms from the interface diffused into the film structure and were distributed in a regular periodic manner in the film structure. The optical energy gap of the films decreased with increase in annealing temperature as a result of the formation of the crystalline phase and the evolution of hydrogen from the film structure. Reflection spectroscopy showed that the films with the micro-crystalline phase produced smaller and evenly distributed interference fringes.
6:00 PM - A5.10
Effect of Amorphous Silicon Microstructure Films on the Grain Size of Solid Phase Crystallized Polycrystalline Silicon Films.
Kashish Sharma 1 , Annalisa Branca 1 , Andrea Illiberi 1 , Maria Creatore 1 , Mcm Sanden 1
1 applied physics, Eindhoven university of technology, Eindhoven Netherlands
Show AbstractRecently, thin polycrystalline silicon (poly-Si) films on inexpensive substrates (e.g. glass) are emerging as a promising technology for large scale photovoltaic applications by combining the high efficiency potential of crystalline silicon wafers with a sharp cost reduction. First commercial polysilicon modules have been produced with an efficiency of 8-9% [1]. Obtaining large grain (≥ 1 µm) polycrystalline material extending throughout the film thickness is an essential requirement to further increase the efficiency of polycrystalline silicon solar cells [2]. The low deposition rate (0.1-0.8 nm/s) and long annealing time (24-70 hours) of both hot wire (HW) and plasma enhanced (PE)chemical vapor deposition (CVD) hinders the high throughput of polysilicon solar cells modules based on solid phase crystallization (SPC) of amorphous films.In this contribution a new approach to obtain large grain (in order of the film thickness) polysilicon thin films on glass is described. The approach is based on the high rate deposition (8-20 nm/s) of amorphous silicon (a-Si:H) layer using the expanding thermal plasma chemical vapor deposition (ETP-CVD) technique [3] followed by SPC at 650 C for 10 hours. Large grains with the sizes in the range of film thickness have been achieved on glass substrates at high growth rate (8 nm/s) and annealed in less than 10 hours.The a-Si:H films have a H content of 7 at. % and a microstructure factor R* (R*=IHSM/(IHSM+ILSM)) of around 0.2 as determined using FTIR absorption spectroscopy [4]. The imaginary part of the pseudo-dielectric function as measured using by Spectroscopic Ellipsometry has been used to get insight of into crystallinity after SPC [4]. These results have been confirmed by Raman studies and TEM. We will report on a clear relationship between the microstructure factor (R*) of the as deposited amorphous film and crystallization process.1.M. A. Green et al., Solar energy. 77 (6), 857(2004).2.J. David Zook et al., Appl. Phys. Lett. 37 (2), 224 (1980)3.W. M. M. Kessels et al., J. Appl. Phys. 89, 2404, (2001).4.R. Ruther et al., Thin Solid Films. 310, 67 (1997)5.S. Boultadakis et al., J. Appli. Phys. 72 (8), 3648—3658 (1992).
6:00 PM - A5.11
Amorphous Silicon Crystallization by Laser Processing and Thermal Annealing.
Archie Mahan 1 , Matt Dabney 1 , Phil Parilla 1 , Lynn Gedvilas 1 , Robert Reedy 1 , David Ginley 1
1 , NREL, Golden, Colorado, United States
Show AbstractThe crystallization of a-Si:H by thermal annealing has been extensively studied for over 20 years, and has been found to be accurately described by a classical model of nucleation and grain growth (1). However, because the nucleation rate is so high, the grain sizes of the fully crystallized films have been limited to dimensions on the order of a few µm. In the present work we present the results of a study where we induce nucleation by laser processing in selected areas of an a-Si:H film and, upon thermal anneal, monitor the grain growth of these regions during the (long) crystallization incubation time before the native nucleation centers can incubate and grow. The novelty of this approach, based upon a recent understanding of a nucleation center (2), is not to produce crystallization seeds directly by high fluence laser processing, but to produce nucleation centers using a lower fluence, which will then nucleate and grow upon thermal annealing during the film incubation time. Using laser processing areas large enough to be probed by standard thin film characterization techniques, we report results where we vary the laser processing power density and monitor the H evolution, XRD film structure and optical absorption versus the number of laser shots. Having identified the crystallization threshold, we then anneal the laser processed films and compare EBSD measurements of the final grain size when we operate both above and below this threshold. Measurements of the final grain size for an untreated film will also be included for comparison. (1)R.B. Iverson and R. Reif, J. Appl. Phys. 62 (1987) 1675. (2)A.H. Mahan, S.P. Ahrenkiel, B. Roy, R.E.I. Schropp, H. Li, and D.S. Ginley, Thin Solid Films 529 (2008) 529.
6:00 PM - A5.2
Crystallization or Amorphization of Self-implanted Si under Additional Defect Incorporation: Diffusion Limited Kinetics.
Zengfeng Di 1 , Yongqiang Wang 1 , Michael Nastasi 1
1 , los alamos national lab, Los Alamos, New Mexico, United States
Show AbstractThe evolution of damage in self-implanted silicon under additional defect incorporation has been studied at 77K and at room temperature. Point defects and complex defects generated by He and Si implantation respectively are investigated for comparison. It is demonstrated that point defects added to the damage zone by He implantation at room temperature facilitate the crystallization of damaged Si; defects added by Si implantation at 77K or room temperature or He implantation at 77K result in the growth of the pre-damaged zone. The differences in damage evolutions can be understood in terms of the defect densities that result from He and Si implantation and the diffusion of those defects. In the case of He implantation a dilute distribution of point defects is formed which can migrate to react with pre-existing defect in self-implanted Si at room temperature, thereby stimulating crystallization. However, the diffusion of point defect is effectively restricted at 77K, and the addition point defects created He implantation at 77K add to the existing damage. In the case of Si implantation dense collision cascade leads to the formation of defect clusters which are immobile at 77K or room temperature, which result in the further build up of damage. Our results support the kinetic point defect reaction model of ion-beam-induced crystallization in silicon.
6:00 PM - A5.3
Time-Evolution of the Grain Size Distribution in Random Nucleation and Growth Crystallization Processes.
Andreas Bill 1 , Anthony Teran 1 , Ralf Bergmann 2
1 Physics & Astronomy, California State University Long Beach, Long Beach, California, United States, 2 , Institute for Applied Beam Technology (BIAS), Bremen Germany
Show AbstractThe logarithmic-normal (lognormal) distribution is one of the most frequently observed distributions in nature and describes a large number of physical, biological and even sociological phenomena. The only derivation of this distribution from first principles has been obtained from probability theory originally applied to fragmentation processes. We propose a novel derivation of lognormal-type distributions by introducing a differential equation describing random nucleation and growth crystallization processes. The equation is solved analytically. We discuss the time-evolution of the distribution and show how the lognormal is obtained in the asymptotic limit of large times. The resulting expressions are used to discuss the time-evolution of the grain size distribution during solid-phase crystallization of Si-films.This work is supported by the Research Corporation and by SCAC at CSU Long Beach.
6:00 PM - A5.4
Polysilicon Films Formed on Metal Sheets by Aluminium Induced Crystallization of Amorphous Silicon
Prathap Pathi 1 , Ozge Tuzun 1 , Abdelilah Slaoui 1
1 InESS, CNRS-ULP, Strasbourg France
Show AbstractAmong the alternative approaches for efficient thin film solar cells, polycrystalline silicon (poly-Si) thin films on foreign substrates seem a very promising candidate due to their low cost and high efficiency potential1. However, large sizes and free defects silicon grains are suited to reduce recombination centres. The Aluminium induced crystallization (AIC) method has been successfully used to produce large grains polycrystalline silicon (poly-Si) thin films on glass and ceramic substrates2. In this process, a thin amorphous Si layer on top of an aluminium layer crystallizes at temperatures well below the eutectic temperature of the Al/Si system (Teu= 577 °C). Here we report for the first time on the formation of poly-Si films by AIC technique on metallic sheet substrates. Different metal substrates with different compositions were used to match the thermal expansion coefficient of silicon. The layers of aluminum (Al) and then amorphous silicon (a-Si) were deposited on bare or coated metal sheets by means of an electron beam evaporation and PECVD respectively. The thicknesses are 0.20 μm and 0.23 μm respectively. The bi-layers were annealed in a tube furnace at different temperatures -400-500°C) in a nitrogen atmosphere for different times (1-10hours). Structural analyses were carried out by optical and scanning electron microscopy (SEM) to check the surface morphology of the formed layers. The degree of crystallinity was monitored by Raman and reflectance spectroscopy. The Electron Backscattering Diffraction (EBSD) method was used to determine the grain size, grains orientation and intra-grain defects type.The results show that the AIC on metal sheets produces polycrystalline silicon films with grain size 50 times larger than the film thickness. The grains are (100) preferentially oriented. However the polysilicon film properties are very sensitive to the metal substrate roughness. 1A. Slaoui, P. Siffert, in “Silicon: Evolution and Future of a Technology”, Springer Verlag Ed. , edited by P. Siffert, E.F. Krimmel, (2004), pp.45-652 A. Slaoui, E. Pihan and A. Focsa, Solar Energy Materials and Solar Cells, 90 (10), 2006, p.1542-1552
6:00 PM - A5.5
Low-temperature Fabrication of a Crystallized Si Film Deposited on a Glass Substrate using an Yttria-stabilized Zirconia Seed Layer.
Sukreen Hana Herman 1 , Susumu Horita 1
1 School of Materials Science, Japan Advanced Institute of Science and Technology, Nomi, Ishikawa, Japan
Show AbstractWe have been investigating fabrication of a crystallized Si (c-Si) film deposited on a glass substrate at low temperature, using a poly-yttria-stabilized zirconia (poly-YSZ) film as a seed layer. It can be expected that the crystallographic information of the YSZ layer transmits to the deposited Si film so that it stimulates its crystallization even at lower temperature. To reduce the crystallization temperature further, we focused on the surface treatment of the YSZ layer, in which it was dipped in the HF solution, followed by rinsing with deionized water (DIW) or with ethanol. After depositing the poly-YSZ layer by reactive magnetron sputtering on the quartz glass substrate, the Si film was deposited directly on it by e-beam vacuum evaporation in <10-6 Pa. The Raman spectra of the Si films deposited at 430°C directly on the ethanol-rinsed glass and on the DIW-rinsed YSZ layer showed amorphous phase. However, on the ethanol-rinsed YSZ layer, they showed a strong peak of c-Si of the deposited film, even at 350°C, and indicated the beginning of the crystallization at 320°C. This means that the YSZ layer enhanced the Si film crystallization, and that the ethanol-rinse was effective for low-temperature crystallization. The crystalline fraction increased by increasing the Y2O3 content of the YSZ layer from 4 mol%, but decreased when the Y2O3 content was more than 13 mol%. From the XPS results, we found out that the Y content on the YSZ layer surface was increased by the HF dipping, compared to that of as-deposited film, and that fluorine F were adsorbed on the surface and were bonded with the Y. The Y 3d and F 1s peaks observed from the ethanol-rinsed YSZ were higher than those of the DIW rinse, which suggests that the Y and adsorbed F on the surface were removed by the DIW rinse, but remained even after the ethanol rinse. Based on the Raman and XPS results, we can speculate that the excessive amount of F and Y on the YSZ layer surface prior to the Si film deposition play an important role on the Si film crystallization. We observed the surface of the Secco-etched Si/YSZ films deposited at 320, 350, and 430°C by SEM. The number of crystallized Si grains was denser at higher temperature than that of lower temperature. That is, the Si film deposited at 430°C was crystallized thoroughly on the whole substrate, but some regions of the Si films deposited at 350 and 320°C were amorphous and removed by the Secco etching. The grain sizes were in the range from 20 to 40 nm, and the size at the lower deposition temperature was more uniform than the higher temperature. From SIMS observation, it was found that Zr atoms diffuse from the interface with the YSZ layer. The concentration of Zr atoms in the Si film crystallized at 430°C was in the order of 1018 cm-3 near the Si/YSZ interface, but was less than the ground noise level of about 2×1016 cm-3 in the bulk of the film. The Y concentration was lower than the noise level even at the interface.
6:00 PM - A5.6
Uniformity Improvement Through Controlling a Grain Size of Crystallized Si Films Using Rapid Thermal Annealing for Large Area Electronics.
Yongho Yang 1 2 , Kyung Min Ahn 1 , Seung Mo Kang 1 , Byung Tae Ahn 1
1 Materials Science and Engineering, KAIST, Daejeon Korea (the Republic of), 2 OLED lab, Samsung Electronics co., Yongin, Gyeonggi, Korea (the Republic of)
Show AbstractFor the purpose of improving the grain uniformity of polycrystalline Si (poly-Si) thin films and adapting this technique on a large area substrate, we investigated the properties of poly-Si thin films crystallized by rapid thermal annealing (RTA) and the key factors for lowering a crystallized temperature. Moreover, the effective factors for controlling the quality and the grain distribution in poly-Si thin films were studied. In this study, the amorphous Si (a-Si) thin films were crystallized after only 5-minute using RTA and the grain size in the crystallized Si thin films was about 30 to 70nm. Because of rising to an annealing temperature abruptly and applying a short annealing time, the nucleation rate could increase in the Si thin films, while the grain growth rate could not increase. So, small grains could be obtained. Such small grains could minimize the deviation of grain boundary distribution under thin film transistor’s channel region. A crystallized temperature and grain properties depended on both Si-Hn bond mode type and amount of hydrogen in a-Si thin films. Although a dehydrogenated annealing process was conducted, the a-Si thin films, which was mainly formed a bond stretching mode like Si-H2 or (Si-H2)n, were not crystallized in a short annealing time. If not, however, hydrogenated a-Si thin films can be crystallized at 740°C for 5-minute. Especially, among various conditions, we ascertained that the crystallized temperature could be lowered at 690°C. Although a-Si thin films, a dehydrogenated process was conducted at 400°C, were crystallized by RTA, residual hydrogen could not be removed from Si thin films completely because of very short annealing time. The FTIR’s peak intensity of the bond wagging mode of Si-Hn groups in crystallized Si thin films depended on the deposited condition of a-Si thin films. So, the condition, which could eliminate such peak intensity, showed more solid bond strength in poly-Si thin films than the opposite condition. This research has shown that the deposited properties of a-Si thin films, which affect the Si-Hn groups’ bond type, could have an effect on grain distribution and characterizations of crystallized Si thin films in 5-minute by RTA.
6:00 PM - A5.7
Direct Growth of Poly-Si Film Using Aluminum layer by Hot Wire CVD for Thin Film Silicon Solar Cell.
Kyung Min Ahn 1 , Seung Ryul Lee 1 , Seung Mo Kang 1 , Yong Ho Yang 1 , Byung Tae Ahn 1
1 , Korea Advanced Institute of Science and Technology, Daejeon Korea (the Republic of)
Show AbstractThere has been increasing interest in the formation of polycrystalline Si(poly-Si) at a low temperature below 600°C because of its potential applications in large area electronic devices such as thin film transistors(TFTs) and thin film Si solar cells. Poly-Si thin films are traditionally fabricated by the solid phase crystallization(SPC) of amorphous Si(a-Si) thin films due to larger grains and better crystal quality compared to those of directly deposited poly-Si thin films. Unfortunately, the SPC process requires tens of hours to crystallize a-Si films even at 600°C, which is too high temperature for large-area glass substrates. Therefore, many methods such as metal induced crystallization(MIC), metal induced lateral crystallization(MILC), and vapor induced crystallization(VIC) have been employed to enhance the crystallization of a-Si films. In this paper, direct growth of the poly-Si film by aluminum induced growth and the influence of several process factors on the low-temperature growth of the epitaxial Si has been investigated. The poly-Si layers can be grown on Al films at 450°C by hot-wire chemical vapor deposition (HWCVD), as the catalytic or pyrolytic decomposition of precursor gases such as SiH4 and H2 occurs only on the surface of the heated wire. From TEM analyses, it was confirmed that poly-Si at 450°C was successfully grown on glass substrate and poly-Si films had (111) preferred orientation. But poly-Si film has rough surface morphology. The electrical properties of poly-Si films were investigated by Hall effect measurement. Poly-Si film was p-type layer by residual Al, and it had 2×10^18 /cm^3 carrier concentration and 33.2 cm^2/Vs hall effect mobility.
6:00 PM - A5.9
Improving Silicon Crystallinity by Grain Reorientation Annealing.
Katherine Saenger 1 , Joel de Souza 1 , Daniel Inns 1 , Keith Fogel 1 , Devendra Sadana 1
1 T.J. Watson Research Center, IBM Semiconductor Research and Development Center, Yorktown Heights, New York, United States
Show AbstractDemand for high efficiency, low-cost solar cells has led to strong interest in post-deposition processing techniques that can improve the crystallinity of silicon films deposited at high growth rates. Here we describe a high temperature grain reorientation annealing process that enables the conversion of amorphous silicon (a-Si) or polycrystalline silicon (poly-Si) into a single crystal material having the orientation of an underlying single crystal Si seed layer. Poly-Si films of thickness 0.5 to 1.0 micron were deposited by low pressure chemical vapor deposition (LPCVD) on substrates comprising a surface thermal oxide or a (001) single crystal silicon-on-insulator (SOI) layer. After annealing at 1300 oC for 1 hour, poly-Si on oxide shows very significant grain growth, as expected. In contrast, the poly-Si deposited on SOI showed no grain boundaries after annealing, transforming into a single crystal material with a fairly high density of stacking faults. Possible uses and drawbacks of this approach for solar cell applications will be discussed.
A7: Poster Session: Solar Cells
Session Chairs
Tuesday PM, April 14, 2009
Exhibition Hall (Moscone West)
6:00 PM - A7.1
High Efficiency a-Si:H and a-SiGe:H Multi-junction Solar Cells Using MVHF at High Deposition Rate.
Xixiang Xu 1 , Dave Beglau 1 , Guozhen Yue 1 , Baojie Yan 1 , Ken Lord 1 , Arindam Banerjee 1 , Jeff Yang 1 , Subhendu Guha 1 , Peter Hugger 2 , Dave Cohen 2
1 , United Solar Ovonic LLC, Troy, Michigan, United States, 2 , Department of Physics, University of Oregon, Eugene, Oregon, United States
Show AbstractRF glow discharge technique has been widely used to deposit a-Si:H and a-SiGe:H films, and thin film solar cells based on these materials. One serious limitation of this method is that for high quality materials, the deposition rate is limited to ~1-3 Å/s. High deposition rate usually lowers the material and cell quality. The poor quality is attributed to high defect density in the high-rate intrinsic materials arising from di- and poly-hydride bonds in the films [1-3]. a-Si:H and a-SiGe:H based solar cells made using high rate RF exhibit low efficiency and poor light stability.In order to circumvent the problems associated with high-rate RF, we have developed a Modified Very High Frequency (MVHF) glow discharge technique to deposit good quality a-Si:H and a-SiGe:H thin films, and solar cells, based on these materials using a deposition rate 2-3 times that of typical RF deposition rate. The MVHF-deposited cells demonstrate high efficiency and superior light stability. Such high rates for RF deposition adversely affect film quality, solar cell performance, and light-induced degradation. In this paper, we discuss the results of multi-junction solar cells based on a-Si:H and a-SiGe:H prepared using the high-rate MVHF deposition technique. We designed and developed MVHF deposition hardware including cathode and gas distribution system. The design is based on modeling studies to obtain good film thickness uniformity over large areas (15”x14”). We have optimized the deposition parameters and attained high rate deposited a-SiGe:H films exhibiting hydrogen concentration and defect density similar to or slightly lower than their RF counterparts prepared at lower rate (<3 Å/s). We have incorporated these films into different multi-junction device structures: a-Si:H/a-SiGe:H double-junction, a-Si:H/a-Si:H/a-SiGe:H triple-junction, and a-Si:H/a-SiGe:H/a-SiGe:H triple-junction on Al/ZnO and Ag/ZnO back reflector on stainless steel substrate. We have fabricated small area (0.25 cm2 active area, cut from 15”x14” substrate) and large area (~450 cm2) devices. On Al/ZnO back reflector, the small area cells exhibit initial efficiency ~12% and the large area cells show initial aperture-area efficiency ~11%. We compared initial and stable cell performance and light-induced degradation of devices made using RF and MVHF. Besides high efficiency, the MVHF cells also demonstrate superior light stability, <10%, after 1000 hour of light soak. Detailed results on film properties and solar cell performance made using MVHF will be presented and compared with those made by RF. [1] S. Guha, J. Yang, S. Jones, Y. Chen, and D. Williamson, Appl. Phys. Lett. 61, 1444 (1992).[2] S. J. Jones, Y. Chen, D. L. Williamson, X. Xu, J. Yang, and S. Guha, Mat. Res. Soc. Symp. Proc. Vol. 297, p. 815 (1993).[3] G. Yue, B. Yan, J. Yang, and S. Guha, Mat. Res. Soc. Symp. Proc. Vol. 989, p.359 (2007).
6:00 PM - A7.11
Characterization of Amorphous Silicon Deposited on Vertically Aligned Carbon Nanotubes
Justin Nguyen 1 , Jud Ready 1 , Jack Flicker 1 , Kara Evanoff 1
1 Nanotechnology-EOSL, Georgia Tech Research Institute, Atlanta, Georgia, United States
Show AbstractVertically aligned carbon nanotubes provide a significant advantage by allowing more opportunities for light trapping absorption and increasing dwell time in photovoltaic materials. This allows for the use of less and cheaper material, including amorphous silicon (a-Si). In this study, thermal chemical vapor deposition (CVD) and ion assisted deposition (IAD) techniques are used in achieving a cheaper yet efficient a-Si photovoltaic cell. Utilizing the advantages of a 3D array, a thinner, single junction p-i-n photodiode (p < 20 nm, i < 300 nm, n < 20nm) is tested for increased short circuit current while improving absorption of photons above the 1.9eV energy level. Combined with the high charge carrier density of carbon nanotubes, the use of thinner layers also leads to the possibility for high performance and inexpensive heterojunction solar cells.
6:00 PM - A7.12
Junction Capacitance Study of a-SiGe:H Solar Cells Grown at Several RF and VHF Deposition Rates.
Peter Hugger 1 , Jinwoo Lee 1 , J. David Cohen 1 , Guozhen Yue 2 , Xixiang Xu 2 , Baojie Yan 2 , Jeff Yang 2 , Subhendu Guha 2
1 Physics, University of Oregon, Eugene, Oregon, United States, 2 , United Solar Ovonic LLC, Troy, Michigan, United States
Show AbstractSignificant advances have been made in increasing the deposition rate of hydrogenated amorphous silicon (a-Si:H) and silicon germanium alloys (a-SiGe:H) to rates above 3 Å/s while also maintaining good electronic properties important for its application in photovoltaic devices. One key aspect of this success has been to employ higher excitation frequencies in the glow discharge growth process. This paper reports progress to improve the electronic properties of the a-SiGe:H alloys using higher glow discharge excitation frequencies. We examined the electrical and optical properties of a-SiGe:H alloys grown using either RF or VHF PECVD methods at high deposition rates. The devices were fabricated in two different configurations: (1) SS/n+/i (a-SiGe:H)/thin buffer with semi-transparent Pd Schottky top contacts, and (2) SS/n+/i (a-SiGe:H)/p+/ITO. The second category of devices is similar to the configuration of working p-i-n cells. The electronic properties of the a-SiGe:H i-layers were characterized by drive-level capacitance profiling (DLCP) and by transient photocapacitance measurements in both the annealed and light-soaked degraded states. The latter was obtained using 610 nm filtered ELH light for 100 hours at an intensity of 200 mW/cm2. Both mid-gap alloys with optical gaps near 1.6 eV, and narrow-gap alloys with optical gaps near 1.5 eV were characterized. The results were correlated to the cell performance parameters.Our DLCP measurements for the light-degraded mid-gap RF deposited samples indicated defect densities below 1016 cm-3 when the deposition rate was 1 Å/s, and defect densities increased dramatically when the deposition rate was increased. However the defect densities for the VHF deposited samples increased much slower than the RF deposited samples with increasing deposition rate. The same trends were observed in the narrow-gap materials. In several RF deposited samples that exhibited higher relative defect increases after light soaking we noted that the DLCP profiles exhibited a significant spatial dependence, with higher defect densities near the top junction. Preliminary evidence suggests this is likely an indicator of the 100-200 nm hole diffusion length in these materials. Our sub-band-gap transient photocapacitance measurements revealed the Urbach energies for these alloy samples. In most cases the lower growth rate samples revealed values close to 47 meV and these were found to increase to roughly 50 meV for high rate samples. The relationship of the transient photocapacitance and photocurrent spectra has also been examined to obtain an estimate of the relative hole collection fractions as a function of growth rate and will be discussed.
6:00 PM - A7.13
The Application of Optical Biomimetics and Plasmonics to Thin Film Silicon Photovoltaics.
Darren Bagnall 1 , Tristan Temple 1 , Owain Clark 1 , Stuart Boden 1
1 Electronics and Computer Science, Southampton University, Southampton, Hampshire, United Kingdom
Show AbstractNanotechnology will have a significant role within photovoltaics over the coming years. In particular, the application of biomimetics and/or plasmonic features appear particularly exciting prospects with an number of recent advances and insights. This presentation reviews our work in these two areas of research and provides a critical overview of potential improvements to the efficiency of thin film silicon solar cells. Plasmonics has the potential to affect many types of photovoltaic device. Organic solar cells can benefit from the enhanced absorption that results from massively enhanced localised fields or the direct transfer of electrons from nanoparticle to semiconductor. Inorganic thin film solar cells, particularly thin film silicon solar cells can benefit from plasmonic scattering and enhanced light-trapping. In the third generation, it is possible that plasmonic structures could help to improve the efficiency of non-linear processes, spectral conversion and spectral splitting.Optical biomimetics also has the potential to effect all three generations of photovoltaics, reduced reflection (front surface), enhanced reflection (back surface) and spectral splitting are all possibilities. In addition to the well known “moth-eye” antireflection scheme nature has developed extremes of transparency, broadband specular reflection (mirrors), broadband diffuse reflection (white), iridescent colour and other forms of black. The particular relevance of biomimetics to solar cells is due to the common factor in both systems – the Sun. To deal with the spectral breadth and movement of the Sun the world’s flora and fauna have developed surfaces with broadband optical properties that are relatively independent of polarisation and direction. This is achieved by employing levels of disorder that are very challenging for both plasmonic and photonic technologies to replicate. In this presentation, we will report on some of our own efforts in this regard with particular attention to our efforts to explore the application of these concepts to our amorphous-silicon thin film devices.
6:00 PM - A7.14
Nanosphere Lithography of Nanostructured Metal Films on Amorphous Silicon Solar Cells,
Birol Ozturk 1 , Eric Schiff 1 , Hui Zhao 1 , Subhendu Guha 2 , Baojie Yan 2 , Jeffrey Yang 2
1 Physics Department, Syracuse University, Syracuse, New York, United States, 2 , United Solar Ovonic LLC, Troy, Michigan, United States
Show AbstractNanostructured metal films prepared on top of thin silicon photodiodes films can give surprisingly strong enhancements of the diode photocurrents at some wavelengths. The effect was discovered about ten years ago by Stuart and Hall; it is due to the coupling of surface plasmon excitations in the metal films to planar waveguide modes in the silicon films. The effect is now being assessed by several laboratories for its possible utility in thin-film solar cells; it is an alternative to the use of textured substrates in solar cells, which produce enhanced photocurrents through stochastic light-trapping.We have fabricated periodic nanostructured silver films using the method of nanosphere lithography (NSL). In this technique, a monolayer of periodically arranged latex nanospheres is self-assembled onto a smooth substrate. A metal film is then evaporated through the holes between the spheres, after which the latex nanospheres are removed. Most previous research on plasmonic photocurrent enhancements in photodiode structures has used evaporated silver films that are subsequently annealed to produce irregular nanostructured films. NSL permits direct control of the lateral size and patterning of the silver films, as well as independent control of the film thickness. Patterns can be applied directly onto photodiode structures without the need for subsequent annealing, which makes NSL advantageous as annealing may degrade the amorphous solar cells.NSL is thus useful for assessing plasmonic photocurrent enhancement in amorphous silicon solar cells. We have prepared special amorphous silicon solar cells for this purpose, and will present our results on the quantum efficiencies for a range of metal film nanostructures.This research has been partially supported by the U. S. Department of Energy through the Solar America Initiative (DE-FC36-07 GO 17053).
6:00 PM - A7.15
Fabrication of Photonic Crystal based Back Reflectors for Light Management and Enhanced Absorption in Amorphous Silicon Solar Cells.
Benjamin Curtin 1 , Rana Biswas 1 2 , Vikram Dalal 1
1 Microelectronics Research Center; Dept. of Electrical and Computer Engineering, Iowa State University, Ames, Iowa, United States, 2 Physics & Astronomy; Ames Lab, Iowa State University, Ames, Iowa, United States
Show AbstractPhotonic crystal based back reflectors are an attractive solution for light management and enhancing optical absorption in thin film solar cells, without undesirable losses. We have fabricated prototype photonic crystal back reflectors using projection photolithographic methods and wet etching. The photonic crystal back reflector has triangular lattice symmetry, a thickness of ~100 nm, and a pitch of 2 microns. Scanning electron microscopy images demonstrate high quality long range periodicity. An a-Si:H absorber layer was grown on this back-reflector using standard PECVD techniques. Measurements demonstrate strong diffraction of light and high diffuse reflectance by the photonic crystal back reflector. The photonic crystal back reflector increases the average light absorption of ~8% in the optical range, relative to a test a-Si:H layer with a flat back-reflector. We have synthesized such periodically patterned ZnO/Ag back-reflectors which also demonstrate a weak texturing of the ZnO layer. The solar cell characteristics of single junction a-Si:H solar cells on these back reflectors will be reported. We will describe approaches to reducing the lattice pitch of the photonic crystal to near infrared length scales -where the optical absorption enhancement is optimum, and the solar cell fabrication over large areas. The dependence with lattice pitch will be presented.
6:00 PM - A7.16
The Effect of Boron Doping Level of a-SiC:H p-layer on a-Si:H p-i-n Solar Cell.
Seung-Hee Kuk 1 , Dong-Won Kang 1 , Sang-Geun Park 1 , Seung-Yoon Lee 2 , Kun Ho Ahn 2 , Seh-Won Ahn 2 , Heon-Min Lee 2 , Min-Koo Han 1
1 School of Electrical Engineering, Seoul National University, Seoul Korea (the Republic of), 2 Solar Energy Group, LGE Advanced Research Institute, Seoul Korea (the Republic of)
Show AbstractBoron doped hydrogenated amorphous silicon carbide (p-a-SiC:H) is widely used as window layer of p-i-n hydrogenated amorphous silicon (a-Si:H) solar cells. To improve a-Si:H solar cell efficiency, it is important to fabricate high quality p-a-SiC:H layer. Most of previous works on doping level of p-layer focused to improve open circuit voltage which is related to p-layer band gap and activation energy. The purpose of our work is to report the effect of boron doping level of p-layer on short circuit current of a-Si:H solar cell.We fabricated boron doped hydrogenated amorphous silicon carbide(p-a-SiC:H) using 13.56MHz RF PECVD, and the doping level was changed from 2000ppm to 8000ppm. The doping level was defined as gas ratio, B2H6/SiH4. As doping level was increased, the optical band gap was decreased from 1.885eV to 1.824eV. The dark conductivity was increased from 3.50X10-6 S/cm to 1.61X10-5 S/cm, and photo conductivity was increased from 4.65X10-6 S/cm to 1.92X10-5 S/cm.In order to investigate the effect of boron doping level on the solar cell, we fabricated Glass/SnO2/p-layer (a-SiC:H 10nm)/i-layer (a-Si:H 350nm)/n-layer (a-Si:H 40nm)/ZnO:Al/Ag/Al structured solar cell. All conditions were remained same except for boron doping level. The fabricated solar cell short circuit current density (JSC) was decreased from 13.12mA/cm2 to 12.39 mA/cm2 as boron doping was increased. The external quantum efficiency (E.Q.E.) at 400nm wavelength was decreased from 0.6287 to 0.5668 as boron doping was increased. The experimental results showed that the short circuit current and short wavelength response were decreased as boron doping was increased. There can be two reasons why short circuit current and short wavelength response were decreased as boron doping was increased. First, more doping causes lower optical band gap of p-layer, and it reduces incident photon number. But, p-layer optical absorption coefficient was ranged from 2.939X105/cm to 2.916X105/cm, and p-layer thickness was 10nm. So, absorbed photon number variation is under 0.1%. These results show that optical loss is not the reason why short circuit is decreased. Second, more doping increases recombination rates at p-layer and p/i interface. As the p-layer doping is increased, the valence band tail state is increased, and defect density is increased. Higher defect density makes more recombination centers, and it results in higher recombination rate at p-layer and p/i interface. This means that higher boron doping may cause lower conversion efficiency when we adopt higher doping p-layer in top cell of double junction or triple junction. The optimal boron doping level is 2000ppm in this experiment, but the doping level can be further decreased until the fill factor limits the solar cell conversion efficiency. The experimental results verified with computer simulation.
6:00 PM - A7.17
Passivation of Silicon Surfaces Using Atomic Layer Deposited Metal Oxides.
Jun Wang 1 , Mariyappan Shanmugam 1 , Mahdi Farrokh Baroughi 1 , Siva Sivoththaman 2 , Rohen Samadzadeh 2
1 Electrical Engineering and Computer Science, South Dakota State University, Brookings, South Dakota, United States, 2 Electrical and Computer Engineering, University of Waterloo, Waterloo, Ontario, Canada
Show AbstractPassivation of the back and front surfaces of Si solar cells is of great importance since unpassivated surfaces result in significant recombination of photogenerated carriers and can result in reduced open circuit voltage, short circuit current, and even fill factor of solar cells. Passivation becomes more important in cost effective Si solar cells, which use very thin (100 – 150 µm) Si substrates, because high surface recombination velocity at the back surface results in significant drop in the effective diffusion length of photogenerated carriers and consequently in solar cell performance. Atomic layer deposition of thin dielectrics can efficiently passivate Si surfaces. This technique is attractive because it is conducted at low temperatures and can be applied to very large areas in a fast manner.Al2O3 and HfO2, which are dielectric materials, were deposited on both sides of n-type (100) FZ-Si substrates having resistivity values in the range of 4 – 6 Ω-cm. Tri methyl aluminum (Al(CH3)3) and Hafnium tetra chloride (HfCl4) gases, as the Al and Hf precursors, and water vapor (H2O), as the oxygen precursor, were applied sequentially into the deposition chamber to grow Al2O3 and HfO2 in form of layer by layer at 230 °C. Microwave photoconductivity decay (MWPCD) technique with wafer mapping capability was used to measure average effective excess carrier lifetime (τeff) of the dielectric/n-Si/dielectric structure. In this measurement, a laser with 904 nm wavelength and 1.2x1013 photons/pulse was used for creating excess carriers and a microwave signal with frequency of 10.352 GHz was used to measure the density and dynamics of the excess carriers in the structure. The measured lifetime values were used to calculate the interface (surface) recombination velocities using a symmetrical photoconductivity decay model. Since the excess carrier lifetime of the bulk Si wafers were unknown in this experiment, by assuming an infinite value for them, maximum values for surface recombination velocity were obtained. Metal/oxide/semiconductor test structures were made and characterized by high frequency capacitance-voltage technique to study the density of states at the oxide/Si interface. The measured τeff values for Al2O3 and HfO2 passivated wafers were 302 µs and 347 µs, respectively. Using these τeff values and using the symmetrical PCD model, maximum surface recombination velocity values of 84.5 cm/s and 73.4 cm/s were obtained for Al2O3/Si and HfO2/Si interfaces. Passivated Si surfaces having less than 100 cm/sec recombination velocity can be considered as perfect surfaces for solar cell applications. These results show that the ALD synthesized Al2O3 and HfO2 thin films can be effectively employed for passivation of dangling bonds at Si surfaces.
6:00 PM - A7.19
Highly Transparent and High Haze ZnO:Al Films Fabricated by Controlling Oxygen Flow for Front TCO of a-Si:H and mc-Si:H Solar Cells
Dong-Won Kang 1 , Kwang-Sun Ji 2 , Seung-Hee Kuk 1 , Sang-Geun Park 1 , Young-Ju Eo 2 , Seh-Won Ahn 2 , Heon-Min Lee 2 , Min-Koo Han 1
1 , Seoul National University, Seoul Korea (the Republic of), 2 , SE group, LGE Advanced Research, Seoul Korea (the Republic of)
Show AbstractTo improve the efficiency of silicon thin-film solar cell, various topics related with transparent conductive oxide (TCO) has been investigated. As a front electrode of thin film solar cell, TCO requires high transmittance and low sheet resistance. Furthermore, high haze characteristic is also important to enhance optical absorption in terms of light scattering. ZnO:Al (AZO) film has advantages over Asahi-U type TCO in terms of low cost, low deposition temperature, high stability against hydrogen plasma, high haze values at long wavelength. AZO is a polycrystalline phase material, so the phase of substrate material affects growth of AZO film. The purpose of this work is to apply oxygen-rich AZO seed layer deposition technique to improve the performance of AZO film. We investigated the electrical and optical characteristics of direct current magnetron sputtered AZO films by controlling oxygen flow. AZO films were sputtered on the low cost soda lime glass substrate by using 2 wt% ZnO:Al target. At first, seed layer deposition was performed through mixed gas flow of argon and oxygen. After thin oxygen-rich seed layer deposition, bulk AZO layer was deposited without any oxygen flow. The thickness of deposited AZO films was measured by spectroscopic ellipsometry. And four-point probe method was used to measure the sheet resistance of AZO film. For surface texturing, wet-chemical etching of AZO film was carried out using diluted hydrochloric (HCl) acid. In terms of electrical characteristics, the AZO film with oxygen-rich layer showed lower resistivity (2.66×10-4 Ωcm) and low sheet resistance (3.71Ω/square) than those of conventional AZO film. Also the etching rate of proposed film from HCl was lower than that of conventional film, which means the AZO film could grow denser on oxygen-rich layer. Optical transmittance and specular component were measured by UV-Visible-NIR spectrophotometer. The transmittance of proposed AZO film was 88.1% and 88.9% at wavelength of 550nm and 800nm, where those of conventional film was 83.7% and 82.3%, respectively. The proposed film revealed high transmittance at the critical absorption region for amorphous and microcrystalline silicon solar cells. The introduced oxygen-rich AZO seed layer improved the transmittance through the micro-structural changes of AZO film. Haze values of the proposed AZO film were about 90.6% and 68.1% at wavelength of 550nm and 800nm, where those of conventional film were 58.7% and 22.1% respectively. High quality AZO film with high transmittance and haze characteristics was obtained without sacrificing electrical characteristics for front contact of high efficiency a-Si:H and microcrystalline silicon solar cells.
6:00 PM - A7.2
A Study on Silicon Oxide Anti-reflection Films for Silicon Solar Cells.
Hayato Kohata 1 , Yoji Saito 2
1 Electron Device, Seikei University, Musasino, Tokyo, Japan, 2 Electrical and Mechanical Eng., Seikei University, Musasino, Tokyo, Japan
Show AbstractAnti-reflection coating (ARC) is necessary to reduce reflectance loss and to achieve for high efficiency solar cells. The films with a refractive index near 2 are suitable for ARC on silicon substrates to obtain low reflectance. The bandgap energy of the film should be lager than about 4eV. In this study, SiOx (x<2) films were deposited onto Si substrates. SiO films have appropriate of refractive index of 1.8~1.9, but there are few reports for SiOx films. We investigated on optical properties of the deposited SiOx. We fabricated Si solar cells with the SiOx ARC and measured their electrical properties. SiOx ARC have been prepared by evaporation from granules SiO in a vacuum chamber. The films are analyzed by X-ray photoelectron spectroscopy(XPS). The composition of the deposited films is SiO1.7 from the XPS spectra. The film thickness is measured by Atomic force microscope (AFM), reflectance spectra were measured with a spectrophotometer. We obtained refractive index of 1.65 and the minimum reflectance of about 4.2% at the wavelength of 600nm. The optical bandgap energy of the deposited films were calculated from the absorption spectra of the SiO1.7 films on SiO2 substrates. The optical bandgap energy was obtained to be about 4.5eV, and is sufficient for ARC an ARC film.MOS devices were fabricated using the SiO1.7 films. The fixed charge(Qf) and the density of interface traps(Dit) were measured with the C-V characteristics of the MOS devices. We obtained the Qf of about 2×1011cm-2 and Dit of about 2.7×1012cm-2eV-1. The silicon solar cells with and without the SiO1.7 films were fabricated, and their open circuit voltage(Voc), short circuit current(Isc), fill factor(F.F.) and cell efficiency were measured under the illumination of AM1.5. Isc of solar cells with the SiO1.7 films is 1.34 times as large as that the ARC films. The cell efficiency has been achieved to be 16.8%.
6:00 PM - A7.20
Optics in Nanotextured Thin-film Silicon Solar Cells for Superstrate and Substrate Configurations.
Rahul Dewan 1 , Darin Madzharov 1 , Andrey Raykov 1 , Dietmar Knipp 1
1 School of Engineering and Science, Jacobs University Bremen, Bremen Germany
Show AbstractThe optics of microcrystalline silicon thin-film solar cells with integrated light trapping structures was investigated. Periodic grating couplers were integrated in microcrystalline silicon thin-film solar cells in substrate and superstrate configuration and the influence of the device structure, and the grating dimensions on the short circuit current and the quantum efficiencies was studied. The optical wave propagation was numerically simulated by solving Maxwell’s equations in 2D and 3D using a Finite Difference Time Domain approach. The grating structure leads to scattering and higher order diffraction resulting in an increased absorption of the incident light in the silicon thin-film solar cell. Microcrystalline thin-film solar cells with 1 μm absorber thickness exhibit an increase of the short circuit current by 4.5 mA/cm2 in superstrate configuration, whereas cells in substrate configuration exhibit an increase of 5 mA/cm2. The grating results in an increase of the short circuit current in the red and the infrared part of the optical spectra, whereas the blue part of the spectra is not affected by the grating. The short circuit current of the superstrate cell is maximized for groove height of 300 nm and a period of 700 nm. While for solar cells in substrate configuration the maxima of the short circuit current is shifted to a smaller groove height and period. The different device designs will be compared in terms of the short circuit current and the quantum efficiency.
6:00 PM - A7.21
Controlling Structural Evolution by VHF Power Profiling Technique for High-efficiency Microcrystalline Silicon Solar Cells at High Deposition Rate.
Guofu Hou 1 , Xiaoyan Han 1 , Guijun Li 1 , Zhihua Dai 1 , Changchun Wei 1 , Ying Zhao 1 , Xinhua Geng 1
1 Institute of Photoelectronics, Nankai University, Tianjin, Tianjin, China
Show AbstractBecause of its improved stability and electronic transport properties, microcrystalline silicon (μc-Si:H) is a very suitable material for thin film solar cells. As well known that the high-efficiency μc-Si:H solar cell are usually obtained with an intrinsic layer deposited near the μc-Si:H/a-Si:H transition region. Such transition film is strongly dependent on the substrate and deposition condition, and its microstructure varies dramatically along the growth direction: an amorphous incubation layer regularly forms in the initial growth stage of layers, until fully microcrystalline growth sets in. Then, the crystallinity increases gradually along the increase of thickness. This will cause serious deterioration for the device performance.In this study, Hydrogenated microcrystalline silicon (μc-Si:H) solar cells were deposited with very high frequency plasma enhanced chemical vapor deposition (VHF-PECVD) process at high deposition rates in a high power and high pressure regime. The experiment results demonstrate that in high-rate deposited μc-Si:H films, the structural evolution is much more dramatic than that in low-rate deposited μc-Si:H films. A novel VHF power profiling technique, which was designed by dynamically decreasing the VHF power step by step during the deposition of μc-Si:H intrinsic layers, has been developed for the first time to control the structural evolution along the growth direction. The profiling parameters such as the amount and the rate of change in VHF power were optimized in detail and the experimental results demonstrate that this technique not only controls the microstructure evolution, but also results in reduced ion bombardments on growth surface. Using this method, a significant improvement in the solar cell performance has been achieved. A high conversion efficiency of 9.36% (Voc=542mV, Jsc=25.4mA/cm2, FF=68%) was obtained for a single junction μc-Si:H p-i-n solar cell at a deposition rate of 12 Å/s. Then, the single-junction solar cell was used as a bottom component in a-Si:H/μc-Si:H tandem solar cell, which leads to a efficiency of 11.14 % (Voc=1.367V, Jsc=11.92mA/cm2, FF=69.4%).References[1]Y. Mai, S. Klein, R. Carius, J. Wolff, A. Lambertz, F. Finger, and X. Geng, Journal of Applied Physics, 97, 2005, 114913[2]Corinne Droz, Ph.D Thesis, Institut de Microtechnique, Université de Neuchâtel, Swiss, 2003[3]Guofu Hou, Junming Xue, Qunchao Guo, et al, Chinese Physics, 16 (2), 2007, 553
6:00 PM - A7.3
Improvement of Quantum Efficiency of Thin Film Silicon Solar Cells by Using Nanoporous PMMA Antireflection Coating
Liang Fang 1 , Jong-san Im 1 , Koeng Su Lim 1
1 School of Electrical Engineering and Computer Science, Korea Advanced Institute of Science and Technology, Daejeon Korea (the Republic of)
Show AbstractA flexible optical design for light collection is vital in achieving high performance solar cells. Reduced front contact absorption, improved refractive index matching at the front contact, optimized back reflection and antireflection (AR) structure have the most significant potential for cell current density increase. Antireflection coatings have been widely employed in optical and optoelectronic fields, and most importantly, for solar cells application. Until now antireflection coating for solar cells application has been concentrated on inorganic material. With the current trend of technology moving towards optically transparent polymer media and coatings, nanoporous polymer antireflection films have attracted widely attention because of relatively simple and economical processing compared with inorganic materials, also can be easily coated on large area and flexible substrate. In the case of single layer coating two criteria must be satisfied: a film thickness of a quarter wavelength and refractive index satisfy n0/nf=nf/ns, with n0, nf, and ns being the refractive index of air, film, and substrate respectively. Film thickness can be easily met, however, refractive index poses a problem. Because the ns of glass is around 1.5, based on above equation, the nf of AR film should be 1.23. But the smallest nf of available dielectric material is around 1.36, therefore single layer dense films cannot satisfy this ideal value. Instead of a homogeneous layer, a nanoporous film can be used. If the pore size is much smaller than the visible wavelengths, the effective nf of the nanoporous polymer is given by an average over the film, the challenge is to control the volume ratio of pores to achieve the suitable refractive index needed for AR coating. In this paper we presented a nanoporous poly(methyl methacrylate) (PMMA) AR coating by one step spin coating process. The process is solution based, which is compatible with large scale manufacturing and flexible for integration on various solar cell substrates. It is inherent low cost and energy efficient, without complicated vacuum systems and lithographic techniques. The antireflection film was prepared by spin coating polymer/solvent/nosolvent ternary system, which consists of PMMA solution in chloroform in the presence of a small amount of nonane. During spin coating a dense skin layer was formed because of rapid solvent evaporation, and a phase separated structure formed below this layer. The phase separated structure below the dense skin layer became a porous layer after the complete evaporation of both chloroform and nonane. The glass with the AR coating has high transmittance near 95% from 400-1100nm wavelengths, the solar cells with the nanoporous PMMA AR coating realize an improvement in quantum efficiency at all wavelengths and with an increase up to 4% in 450-650nm spectral regions.
6:00 PM - A7.4
Improving the Built-in Potential of p-i-n Amorphous Silicon Solar Cells.
Domenico Caputo 1 , Giampiero de Cesare 1 , Mario Tucci 2
1 Department of Electronic Engineering, University of Rome Sapienza , Rome Italy, 2 , ENEA Casaccia Research Center, Rome Italy
Show AbstractTo enhance the efficiency of amorphous silicon (a-Si:H) solar cells, p-i-n junctions are used in stacked configuration (tandem and triple junction devices) to obtain high open circuit voltage. The built-in voltage of these stacked structures is almost equal to the sum of the built–in potential of each junction, that in turn is limited by the doping efficiency of the dopant atoms, phosphorus for the n-type material and boron for the p-type one. It is well known that the activation energy of p-doped a-Si:H film is around to 0.34eV, while the corresponding value for the n-type is around 0.17eV. Therefore the maximum obtainable built-in is limited to 1.2eV.In order to increase this value, in this paper we propose a new solar cell structure where layers of chromium silicide (CrSi) material are introduced in both doped films. The idea starts from results of experiments showing that very thin chromium silicide layers, formed at room temperature after evaporation of chromium on a-Si:H doped films, are able to reduce the activation energy to few meV in both kind of doped layers [1]. The structure we are proposing is therefore the following: substrate/bottom electrode/CrSi/n-type a-Si:H/intrinsic type a-Si:H/p-type amorphous silicon carbide/CrSi/ITO/metal grid. Measurement of the current voltage characteristic, in dark condition and under AM1.5G illumination, and of the quantum efficiency curve will be performed for device characterization. Results will be compared with identical structure without any CrSi layer and modeled with a numerical device simulator. [1] D. Caputo, G. de Cesare, A. Nascetti, M. Tucci, L. Meda, M. Losurdo, G. Bruno, Journal of Non Crystalline Solids; vol. 354, 2171–2175, (2008)
6:00 PM - A7.5
Hydrogenated Amorphous Silicon (a-Si:H) Based Solar Cells: Optimization Formalism and Numerical Algorithm.
Anatoli Shkrebtii 1 , Yuriy Kryuchenko 2 1 , Igor Sokolovskyi 1 2 , Anatoliy Sachenko 2 , Franco Gaspari 1 , Anna Kazakevitch 1
1 Faculty of Science, University of Ontario Institute of Technology, Oshawa, Ontario, Canada, 2 , V. Lashkarev Institute of Semiconductor Physics, Kiev Ukraine
Show AbstractThin film hydrogenated amorphous silicon (a-Si:H) is widely used in photovoltaics. In order to get the best possible performance of the a-Si:H solar cell (SC) it is important to optimize the amorphous film and solar cells in terms their parameters such as mobility gap, p-, i- and n-layer doping levels, electron and hole lifetime and their mobilities, resistance of p-, i- and n-layers, contact grid geometry and parameters of the transparent conducting and antireflecting layers, and others. To maximize SC performance we have developed general numerical formalism of photoconversion in the thin a-Si:H film based SCs. The formalisms were applied to the films grown by the Saddle Field Glow Discharge Method. Optimization of the SC has been performed based on the experimental diffusion coefficients, mobilities, parameter of the p-i-n structures and defect distribution inside the gap. Diffusion theory of the photoconversion has been considered. The effect of reduction of the photocurrent due to minority and majority carriers diffusion toward collector for short wavelength part of the solar spectra has been included. Both the black body solar radiation and experimental solar cell spectrum at the Earth’s surface can be used as the numerical program input. The contribution of space charge region (SCR) at p-i(n)-junction into SC characteristics has been taken into account by calculating band bending and adjusting currents and excess electron-hole densities at the SCR boundaries. The parameter of the front - collecting metal grid was optimized with respect to the distributed resistance of the emitter and effect of shadowing by the metal strips. Photoconversion has been maximized in terms of the mobility gap, modification of the light absorption coefficient and related diffusion length. Multiple light reflection in technological layers at SC front surface (protective silicon oxide layer and conducting p-type ITO layer) including multiple reflection from metallic finger electrodes (for oblique light incidence) has also been considered. Generation function inside a-Si:H region also accounts for multiple reflection from rare contact and front surface sublayers. Local orientation of SC in a definite geographical point, changes in the angles of light incidence during a year and daytime have been taken into account, as well as possible changes in the orientation of finger electrodes in the plane of SC. This allows optimizing mean SC efficiency during the long-term period (e.g., year). The type of solar cell considered here is glass/tin oxide/p-i-n Si:H/Al, although the approach proposed can be applied to model other types of a-Si:H thin film solar cells. The corresponding executable files are available on request.This research was supported by the Centre for Materials and Manufacturing/Ontario Centres of Excellence (OCE/CMM) "Sonus/PV Photovoltaic Highway Traffic Noise Barrier" project.
6:00 PM - A7.6
Development of Wide Bandgap p-type Microcrystalline Silicon Oxide using a Mixed of B2H6+TMB Mixed Gas.
Kobsak Sriprapha 1 , Channarong Piromjit 1 , Nopphadol Sitthaiphol 1 , Apichan Moollakorn 1 , Vichit Sangsuwan 1 , Songkiate Kittisontirak 1 , Porponth Sichanugrist 1
1 SOLARTEC, NSTDA, Pathumthani Thailand
Show Abstractp-type hydrogenated microcrystalline silicon oxide (p-µc-SiO:H) was developed in order to improve the conversion efficiency (η) of thin films solar cells. In general, diborane (B2H6) or trimethylboron (B(CH3)3 or TMB) are favored to use as doping gas while carbon dioxide (CO2) or oxygen (O2) gas are preferred as source of oxygen (O) atoms. The advantage of p-µc-SiO:H compared with p-µc-Si:H is wide optical bandgap (Eopt), resulting in the increase in η. However, it is found that, the conductivity of p-µc-SiO:H seems lower than that of p-µc-Si:H at similar Eopt. Therefore, in order to obtain solar cells with high η, it is necessary to improve p-µc-SiO:H with high conductivity while Eopt should be maintained as high as possible. In this work, we propose a novel concept to improve the Eopt and conductivity of p-µc-SiO:H by using a mixed of B2H6 and TMB as doping gas. SiH4 and H2 are used as source gas while CO2 gas is employed as an oxygen source. The p-µc-SiO:H thin films are deposited by a 60 MHz VHF-PECVD on clear glass with the thickness of 500-700 nm. Raman spectroscopy, electrical conductivity, UV/Visible spectrometer and Fourier transform infrared spectroscopy (FTIR) techniques are performed to characterize the film properties.The characterization results reveal that in case of p-µc-SiO:H deposited by using only B2H6 (or pure TMB) as doping gas, the electrical conductivity increases with the increase in B2H6 (or TMB) doping ratio. However, the Eopt is inversely proportional to the value of B2H6 (or TMB) due to the influence of boron (B) atoms. It is found that, at the equal value of Eopt, p-µc-SiO:H which used B2H6 as doping gas shows an increase around one order of magnitude in conductivity than p-µc-SiO:H using TMB. A low conductivity and large Eopt for p-µc-SiO:H using TMB can be attributed to the influence of carbon (C) atoms in p-layer. The optimum Eopt and conductivity for p-µc-SiO:H deposited by B2H6 and TMB as doping gas are 2.13 eV, 1.68x10-1 S.cm-1 and 2.13 eV, 2.30x10-2 S.cm-1, respectively.By using a mixed of B2H6+TMB as doping gas, the conductivity of p-µc-SiO:H can be improved together with Eopt. It is assumed that, the improvement of conductivity is determined by B atoms from B2H6 and TMB while the increase in Eopt is attributed to the influence of C and O atoms. The optimum Eopt and conductivity for p-µc-SiO:H deposited using a mixed of B2H6+TMB are 2.22 eV and 2.81x10-1 S.cm-1, respectively. Moreover, the highest conductivity of 5.27x10-1 S.cm-1 with the Eopt of 2.18 eV can be obtained.By applying a novel concept of p-µc-SiO:H to p-i-n single-junction solar cells, the highest open-circuit voltage (Voc) of 0.97 V, short-circuit current density (Jsc) of 12.59 mA.cm-1, Fill factor (FF) of 0.59 and η of 7.16% could be obtained at the thickness of i-layer of 400 nm. Up to now, the development of thin film-based Si solar cells with a very high Voc (over 1.0 V) is currently in progress.
6:00 PM - A7.7
Hybrid Organic-Inorganic Bulk Heterojunctions with Silicon Nanocrystals for Solar Cells.
Roland Dietmueller 1 , Sabrina Niesar 1 , Andre Stegner 1 , Robert Lechner 1 , Rui Pereira 1 , Martin Brandt 1 , Andre Ebbers 2 , Martin Trocha 2 , Hartmut Wiggers 3 , Martin Stutzmann 1
1 Walter Schottky Institut, Technische Universität München, Garching Germany, 2 , Evonik Degussa GmbH, Marl Germany, 3 Institut für Verbrennung und Gasdynamik and CeNIDE, Center for NanoIntegration Duisburg-Essen, Universität Duisburg-Essen, Duisburg Germany
Show AbstractHybrid organic-inorganic solar cells, which incorporate both, organic and inorganic semiconductors, received a lot of attention during the last years. Such solar cells typically consist of a bulk heterostructure made of organic semiconducting polymers and semiconducting nanocrystals. These hybrid organic-inorganic solar cells can benefit from the solution processing and from the broad spectral absorption range of semiconductor nanocrystals. We have studied hybrid bulk heterojunctions between Silicon nanocrystals (Si-nc), which have been synthesized by decomposition of silane in a microwave plasma reactor, and the organic hole conductor poly(3-hexylthiophene-2,5-diyl) (P3HT). The absorption spectrum of a spin coated composite layer of Si-nc and P3HT exhibits an enhanced absorption in the near infrared, due to the broad absorption range of the Si-nc. Furthermore, in light-induced electron spin resonance (LESR) experiments on hybrid P3HT/Si-nc composites, we could show that an electron transfer from the P3HT to the Si-nc takes place upon illumination, which results in a positive polaron on the P3HT. The fullerene derivate [6,6]-phenyl C-61-butyric acid methyl ester (PCBM), in contrast, acts in composites with Si-nc as an electron acceptor, and after illumination a radical anion of PCBM can be detected via LESR. Additionally, first results on hybrid bulk heterojunction solar cells with P3HT and Si-nc are presented. For these devices, we could prove by spectrally resolved photocurrent measurements that both components of the solar cell, the Si-nc and the P3HT, contribute to the photocurrent. Current-voltage measurements under white light illumination with 100 mW/cm2 show a high open circuit voltage of up to 0.7 V and, therefore, demonstrate the applicability of the P3HT/Si-nc bulk heterostructure for solar cells. However, the low short circuit current of about 0.1 mA/cm2 indicates that the extraction of charge carriers has to be optimized.
6:00 PM - A7.8
Observation of the Evolution of Etch Features on Polycrystalline ZnO Thin-Films.
Jorj Owen 1 , Juergen Huepkes 1 , Eerke Bunte 1
1 IEF5-Photovoltaik, Forschungszentrum Jülich GmbH, Jülich Germany
Show AbstractThe wide bandgap semiconductor ZnO is often used as the window layer in thin-film solar cells because it can be easily doped, making it a Transparent Conducting Oxide (TCO). It can also be used as a source of light trapping, which allows for thinner and more cost effective solar cell fabrication. This light trapping characteristic is frequently introduced by etching the sputter deposited polycrystalline ZnO thin-films in a dilute HCl solution, resulting in craters distributed randomly over the ZnO surface. To gain a better understanding of the etching process on ZnO thin films, we observed the evolution of the HCl etch at the same location between many short etching steps. This was possible by depositing a micrometer scale grid of Ag dots onto the ZnO substrate using photolithographic techniques. The grid allowed for the reproducible positioning of the sample on the micrometer scale in an Atomic Force Microscope (AFM). Images taken between the short etching steps show that stopping and restarting the etching process does not modify the HCl attack and crater formation. This indicates that the points of attack are built into the film as it is grown. Using a combination of AFM and surface profiler measurements, it is further shown that ZnO is etched at a linear rate. It has been noted that the density of the points of attack are affected by the growth conditions of the film. It has been reported that the densities of the points of attack from HCl and KOH on different films coincide, both either increasing or decreasing on a given film, raising the question of whether the points of attack are the same [1]. To further explore the relationship between the points of attack in the basic KOH solution and the acidic HCl solution, we applied as similar process of multistep etching and AFM imaging at the same location. Samples were first etched in KOH long enough for the characteristic etch pits to occur. After AFM imaging, samples were etched in HCl multiple times for very short periods. AFM results show that the most active points of attack from both the acidic and basic solution are the same. From this observation we conclude, that the etch attack is induced by a structural defect causing faster attack rather than a local chemical property.[1] Hüpkes, J.; Rech, B.; Calnan, S.; Kluth, O.; Zastrow, U.; Siekmann, H.; Wuttig, M., Thin Solid Films, 502, (2006) 286-291
6:00 PM - A7.9
In-situ Observation of High Deposition Rate (9Å/s) Hydrogenated Polymorphous Silicon Cell Degradation Through Variable Illumination Method Measurements.
Erik Johnson 1 , Ka Hyun Kim 1 , Pere Roca i Cabarrocas 1
1 LPICM-CNRS, Ecole Polytechnique, Palaiseau France
Show AbstractThe efficiencies of hydrogenated polymorphous silicon (pm-Si:H) solar cells have been previously demonstrated to show superior stability under light-soaking. This stability arises due to the fact that the decrease they show in fill factor (FF) is partially offset by an accompanying increase in open circuit voltage (Voc). Recently, high-deposition rate (9Å/s) pm-Si:H material deposited by standard RF-PECVD at 13.56 MHz has been investigated as the intrinsic layer in photovoltaic modules as it has shown excellent electronic properties. The degradation behaviour of cells made from this high-deposition rate material, however, differs significantly from that of cells from lower deposition rate material. In particular, no beneficial increase in Voc is observed during light soaking for the high-rate cells. We investigate the degradation dynamics of devices made from this high growth rate material using an in-situ Variable Illumination Method (VIM) during light soaking to quantify the changes to these high-rate cells during light-soaking and directly contrast them with those of low-rate (1.5Å/s) cells. In particular, we discuss the importance of bulk recombination effects vs interface quality changes, as well as the dynamics of changes in Voc.
6:00 PM - A7: Solar Cells
A7.10 Transferrred to A10.1
Show Abstract
A8: Novel Device Applications
Session Chairs
Wednesday AM, April 15, 2009
Room 2004 (Moscone West)
9:30 AM - A8.1
Integration of an Amorphous Silicon MEMS Microresonator with a Spin Valve Sensor for on-chip Magnetic Detection of Mechanical Resonance.
Samadhan Patil 1 , Andre Guedes 1 2 , Susana Cardoso 1 2 , Paulo Freitas 1 2 , Virginia Chu 1 , Joao Conde 1 3
1 , INESC Microsistemas e Nanotecnologias and IN - Institute of Nanoscience and Nanotechnology, Lisbon Portugal, 2 Dept. of Physics, Instituto Superior Técnico, Lisbon Portugal, 3 Dept. of Chemical and Biological Engineering, Instituto Superior Técnico, Lisbon Portugal
Show AbstractThe fabrication of thin film amorphous silicon (a-Si:H) microelectromechanical systems (MEMS) is made using surface micromachining techniques. The use of low-temperature a-Si:H structural layer deposition (~110°C) allows the fabrication of MEMS on glass and plastic substrates and is CMOS compatible. Low temperature processing also allows integration of magnetoresistive sensors with the thin-film MEMS. We describe a hybrid magnetoresistive/a-Si:H MEMS paddle structure device that allows the on-chip detection of the MEMS motion and its resonance frequency using a spin-valve (SV) sensor.The SV was microfabricated underneath one of the edges of the micro-paddle. A thin film permanent magnet (PM) of CoCrPt was placed on top of the a-Si:H/Al paddle. The micro-paddle was electrostatically actuated with an ac voltage through a gate placed asymmetrically underneath the paddle. Electrostatic actuation of this paddle structure results in torsional and flexural mode deflections. Deflection of the paddle creates a change in the magnetic field that can be sensed by the SV sensor.Two applications of this hybrid MR/MEMS device will be discussed:(1)The first application is the use of the SV sensor to provide on-chip electrical detection of the mechanical resonance of a-Si:H MEMS resonators. The resonance frequency of the structure in the MHz range is detected using this approach.(2)The second application is the use of this hybrid device as a dc to ac magnetic field transformer, which would enable the detection of dc magnetic fields in the high frequency thermal noise regime, where the 1/f noise is typically two orders of magnitude lower.This work demonstrates the possibility of functional devices based on the integration of amorphous silicon and spintronics. In addition, it also demonstrates that SV sensors can be used to detect the mechanical motion of MEMS structures. The motion sensitivity, frequency range, and miniaturization capabilities of the on-chip magnetic detection of mechanical motion will be discussed.
9:45 AM - **A8.2
Nokia Morph – Future Transformable Mobile Device.
Tapani Ryhanen 1
1 Nokia Research Centre, Eurolab (Cambridge and Lausanne), Cambridge United Kingdom
Show AbstractDuring the last few years Nokia has been presenting its deep interest in radical materials, novel manufacturing solutions, energy sources and increased memory and computing capacity to be used to enhance the capabilities of mobile devices. Furthermore, we have discussed the role of nanotechnologies in embedding intelligence into human environments and to enhance human sensory capabilities. Building on these highly relevant messages the role of nanotechnologies in creating new paradigms for sensing, computing and communication will be discussed. In February 2008 Nokia Research Center presented a concept device called Morph that is based on this kind of new capabilities. At the same time Morph has illustrated the use and benefit of nanotechnologies in real life applications. The Morph device concept, new interaction paradigms and its key technical challenges will be discussed.Nanotechnologies and related manufacturing solutions will have deep impact in the electronics industry, and they will enable new applications that are not feasible today. Tailoring of electronics and products for various markets of different scale will be enabled by novel manufacturing solutions based on nanotechnologies. Responsible introduction of nanotechnologies into applications related to human everyday life would require attention by all the different players. Nanotechnologies can lead towards more environmentally sustainable products but potential risks in introducing the new materials must be serious taken into account. The business landscape of nanotechnologies will be discussed based on intellectual property created by various players and on the maturity of the technologies. Finally, Nokia's approach to nanoscience research in close collaboration with top universities is presented.
10:15 AM - A8.3
Voltage Controlled Amorphous Si/SiC Phototransistors and Photodiodes as Wavelength Selective Devices: Theoretical and Electrical Approaches.
Manuel Vieira 1 3 , Manuela Vieira 1 2 , Miguel Fernandes 1 , Alessandro Fantoni 1 2 , Paula Louro 1 2 , Manuel Barata 1 2
1 DEETC, ISEL, Lisbon Portugal, 3 Traffic , CML, Lisbon Portugal, 2 CTS, UNINOVA, Monte da Caparica Portugal
Show AbstractAmorphous Si/SiC phototransistors and photodiodes acting as photo-sensing or wavelength sensitive devices have been widely studied and presented in literature. Wavelength multiplexing devices have to accomplish the transient colour recognition of two or more input channel in addition to their capacity of combining them onto a single output signal without losing any specificity (wavelength and transmission speed). In these paper single and stacked structures composed by p-i-n based a SiC: H cells that can be used as wavelength selective devices, in the visible range, are analysed. Electrical models for the devices are also established for simulation purposes and to compare output signals with experimental data.Light wavelength discrimination depends on the structure of the sensor, thickness of each p-i-n cell, and on the selected sequence of the cells in the multilayer structure. Different two terminal heterojunctions are analyzed, ranging from p-ií-n to p-i-n-p-i’-n configurations. Three terminals multiple staked junctions with transparent contacts in-between are also considered to increase wavelength discrimination. The color discrimination and transmission speed recognition was achieved by ac photocurrent measurement under different externally applied bias. Experimental data on visible and infrared transmittance, spectral response analysis and current –voltage characteristics will be reported.A theoretical analysis and an electrical simulation procedure are performed to support the wavelength selective behaviour. The theoretical analysis uses simple phototransistor and photodiode equations in order to explain the required effects, under different optical input channels, and to derive the multiplexed output signal. The circuit for electrical simulation includes elements trying to capture several aspects of the devices and the observed data: photodiodes for p-i-n structures; two phototransistors connected back to back to model, respectively the a-SiC:H p-i’-n-p and a-Si:H n-p-i-n sequences, and ac current sources for photocurrent conditions and to discriminate transmission speed at each wavelength. Extra capacitors to simulate the transient capacitance due to the minority carrier trapped in both p-i-n junctions will be also included. Electrical simulations were performed for the different transducer configurations and several transmission speeds, and they were compared with the experimental data. A good agreement between experimental and simulated data was achieved. Results have shown that by adjusting the values of some input parameters (current generators, modulated frequency, transistor and capacitor parameters), it was possible to explain the voltage controlled wavelength discrimination, aiming to explain their use for multiplexing and demultiplexing application in the visible spectrum.
10:30 AM - A8.4
Enzymatic Biosensors with Integrated Thin Film a-Si:H Photodiodes.
Ana Pereira 1 2 , Virginia Chu 1 , Duarte M. Prazeres 2 3 , Joao Conde 1 3
1 , INESC Microsistemas e Nanotecnologias and IN- Institute of Nanoscience and Nanotechnology , Lisboa Portugal, 2 Centro de Engenharia Biológica e Química, IBB – Institute of Biotechnology and Bioengineering, Instituto Superior Técnico, Lisboa Portugal, 3 Dept. of Chemical and Biological Engineering, Instituto Superior Técnico, Lisboa Portugal
Show AbstractBiosensors are analytical devices that combine a biological component with a suitable transducer, that converts a biological event into an electric signal. We propose coupling the high sensitivity of thin-film amorphous silicon (a-Si:H) photodiodes with the capabilities of the enzyme horseradish peroxidase (HRP) both as a label and sensor.The 100 μm x 100 μm a-Si:H p-i-n photodiodes were microfabricated by photolithography. Dark I-V measurements are used to obtain the shunt resistance, 0.13 TΩ, the saturation current density, 8.65 pA/cm2, and the ideality factor, 1.73. A photo-to-dark current ratio of ~104 is obtained using λ = 425 nm incident light at a photon flux of 3.13 × 1013 cm-2s-1 under zero external bias. The low dark current measured allows detection of low intensity light such as that emitted by chemiluminescent reactions (minimum photon flux detected ~108 cm-2s-1). The responsivity of the photodiode is 0.2 A/W, which corresponds to an external quantum efficiency (electrons collected per incident photon) of 0.6, mostly limited by reflection losses. HRP is one of the most widely used enzymes in analytical applications and as an enzymatic label in medical diagnostics. Being capable of reducing H2O2 and also some organic peroxides, HRP-based biosensors are used to monitor peroxides in several industries (e.g. pharmaceutical, dairy). Coupling HRP with H2O2-producing oxidases results in a system sensitive to the oxidase substrate, enabling monitoring of a wide range of analytes such as glucose, ethanol, cholesterol, lactate, uric acid, pyruvate, and amino acids.In this paper, an a-Si:H photodiode is used to detect chemiluminescent and colorimetric HRP enzymatic reactions using a solution volume of 50 μL in polystyrene microwells. Detections limits of 1 nM and 1 pM of HRP are obtained for chemiluminescent and colorimetric measurements, respectively. Surface-adsorbed HRP can be detected with a limit of 1 fmol.cm-2 by both detection methods. Immunoassays were performed using HRP-labeled antibodies and specific antibody-antigen recognition is demonstrated. Applications of this a-Si:H/HRP system will be extended by coupling HRP with oxidase enzyme systems for glucose and cholesterol sensing and the sensitivity achieved will be reported.The results obtained in the 50 μL volume in microfluidic format can be replicated in a miniaturized microfluidic format and lower sensitivity limits, as well as faster reactions and smaller reagent used, are being pursued. The miniaturized detection system uses a polydimethylsiloxane (PDMS) microchannel, where the enzymatic reaction takes place, aligned on top of the integrated a-Si:H photodiode on a glass substrate. Microchannels 20 μm thick and 200 μm wide are fabricated by soft lithography using an SU-8 mold. A flat 500 μm thick PDMS slab was used as the channel bottom. The use of all-polymer channels results in a disposable microfluidic system while the more expensive photodiode array can be reused.
10:45 AM - A8: Novel Device
BREAK
A10: Solar Cells
Session Chairs
Wednesday PM, April 15, 2009
Room 2004 (Moscone West)
2:30 PM - A10.1
Hybrid Solar Cells from Polymer and Silicon Nanocrystals.
Chin-Yi Liu 1 , Uwe Kortshagen 1
1 Mechanical Engineering, University of Minnesota, Minneapolis, Minnesota, United States
Show AbstractHybrid organic-inorganic solar cells have become attractive for low-cost and large-scale manufacturing. A hybrid solar cell consisting of organic and inorganic materials may be able to combine the advantages of both types of materials. Here, we report hybrid devices which use silicon nanocrystals (Si NCs) as the inorganic phase. Si is an attractive photovoltaic material due to its nontoxicity, stability, and abundance.Si NCs of different sizes were synthesized in a non-thermal RF plasma via dissociation of a silane precursor, and dispersed in dichlorobenzene (DCB) without further surface functionalization. A conjugated polymer, poly-3(hexylthiophene) (P3HT), was then dissolved in the DCB solution which contained a known quantity of Si NCs. The properties of hybrid Si NCs/P3HT devices made by spin-coating Si NCs and P3HT onto substrates pre-coated with poly(3,4-ethylenedioxy thiophene)/poly(styrenesulfonate) (PDOT:PSS) on indium tin oxide (ITO) on glass were studied as a function of Si NC size and Si NC/P3HT ratio. The open-circuit voltage and short-circuit current are observed to depend on the Si NC size due to changes in the bandgap and surface-area-to-volume ratio. Efficient exciton dissociation can be achieved at the interface of P3HT and Si NCs due to the relative alignment of their energy band edges. To enhance carrier mobility in the P3HT, various heating temperatures and times were applied to optimize crystallization of the P3HT. Under Air Mass (A.M.) 1.5 direct solar conditions (100mW/cm2), cells with power conversion efficiencies exceeding 1 % are routinely obtained. For instance, devices made by spin-coating a solution of 50% 3-5nm Si NCs (by weight) showed 1.48% power conversion efficiency and 0.47 fill factor after annealing at 150 C for 3 hours. These devices had ~3.8 mA/cm2 short circuit current density and ~0.8 V open circuit voltage. The absorption spectrum of Si NCs/P3HT hybrid devices indicates that Si NCs contribute to the light absorption for wavelengths below 450 nm. Scanning electron microscopy shows that Si NCs form connected networks in the film, but are not uniformly distributed throughout the film. We believe that this non-uniform morphology limits the efficiency of the solar cells. This work was supported by NSF under NIRT grant CBET-0506672, MRSEC grant DMR-0212302, and IREE grant LG-C5-2005.
2:45 PM - A10.2
The Influence of Impurities and Defects on the Performance of Thin Film Polycrystalline Silicon Solar Cells Obtained by Aluminium Induced Crystallization and Epitaxy.
Dries Van Gestel 1 , Ivan Gordon 1 , Yu Qui 1 , Srisaran Venkatachalam 1 , Guy Beaucarne 1 , Jef Poortmans 1
1 SOLO/SCT, IMEC, Leuven Belgium
Show AbstractThe relatively new thin-film polycrystalline-silicon (0.1-100 µm) solar cell on foreign substrate technology aims at low-cost devices with energy conversion efficiencies above 12 %. An increased performance is expected with increasing grain size. Larger grains decrease the number of efficient recombination centers (present at grain boundaries) and therefore increase the open-circuit voltage. Direct deposition of Si on a non-silicon substrate results in amorphous or small-grained material. To fulfill the demand of relatively large grains, different methods to (re)crystallize Si are being investigated. A very promising technique is aluminum-induced crystallization (AIC). Since as-formed AIC layers have an intrinsic aluminum doping density of ~1018 cm-3 and can not be made thick enough, they can not be used directly as the absorber layer for a solar cell. Absorber layers can however be obtained by epitaxial thickening of the AIC seed layers. So far, we have reached energy conversion efficiencies of up to 8% with the AIC technique.For this work, we made pc-Si layers with variable grain size by changing the crystallization temperature of the AIC process. In contrast to what is expected, solar cells based on these layers showed a performance independent of the grain size. Defect etching and Electron Beam Induced Current (EBIC) measurements showed the presence of a high density of electrically active intragrain defects. These defects are the main reason for the grain-size-independent device performance. Besides dislocations and stacking faults, Σ3 boundaries were also found to be electrically active as shown by combining Electron Backscattered Diffraction (EBSD) with EBIC measurements. The electrical activity of the defects is therefore probably triggered by impurity decoration. Plasma hydrogenation changed the electrical behavior of the defects, as seen by photoluminescence, but the defects were not completely passivated as shown by EBIC measurements. Cross-section TEM measurements showed that the intragrain defects are already present in the AIC seed layer and get copied into the epitaxial layer during epitaxial growth. The same types of intragrain defects were found in layers made on different substrates (alumina ceramic, glass ceramic, oxidized silicon wafer) from which it is clear that the intragrain defects are not simply caused by the relatively rough alumina ceramic substrates often used in combination with high temperature epitaxy. We conclude that intragrain defects in combination with (metal) impurities limit our pc-Si device performance. Further improvement of the material quality, and hence device performance is therefore not simply achieved by increasing the grain size, but the intragrain quality of the material also needs to be taken into account. For pc-Si layers based on AIC and epitaxial growth, the seed layer has a crucial impact on the intragrain defect formation.
3:00 PM - A10.3
Photoelectron Spectroscopy Measurements of Valence Band Discontinuities for a-Si:H/c-Si Heterojunction Solar Cells.
Tetsuya Kaneko 1 , Michio Kondo 1 2
1 Innovative and Engineered Materials, Tokyo Institute of Technology, Yokohama, Kanagawa Japan, 2 the Research Center for Photovoltaics, National Institute of Advanced Industrial Science and Technology (AIST), Tsukuba, Ibaraki Japan
Show AbstractHeterojunction solar cells consisting of thin a-Si:H layers on c-Si substrate such as “HIT” solar cells [1] have an advantage of higher potential efficiency over conventional c-Si solar cells as already proven by high open circuit voltage and its low temperature coefficient under operation. Although it has been suggested that the device characteristics are affected by the band alignment of a-Si:H and c-Si at the heterointerface, no consensus has been established for the value of the band-offset in spite of a variety of measurements using different methods. The purpose of the present study is to evaluate the valence band discontinuity at the heterojunction between a thin a-Si:H layer and c-Si substrate as employed in actual “HIT” devices using photoelectron spectroscopy.Sample used in this study has an identical structure to a HIT device, where n-type c-Si wafer is covered by a 5 nm thick intrinsic a-Si:H layer. The excellent interface quality has been confirmed by solar cell performance as described elsewhere. [2] Ultraviolet photoelectron spectroscopy (UPS) in combination with XPS was used to determine the valence band discontinuity. The core level shift measured by XPS was utilized to correct the shifts of UPS spectra after UV light illumination. For film deposition, the PECVD system operated at radio frequency was used. The a-Si:H intrinsic layer was deposited on n-type c-Si substrates using pure SiH4. The a-SiO:H intrinsic layer was also deposited using SiH4 and CO2 mixture gases. Before the film deposition, the c-Si substrates were rinsed by ammonium fluoride after modified RCA cleaning processes for surface passivation.The valence band discontinuity of 0.11 eV and 0.27 eV were obtained from the a-Si:H/c-Si and a-SiO:H/c-Si heterojunctions, respectively, meaning the major band offset occurs in the conduction band by taking into account the band gap of a-Si:H (1.7~1.8 eV). For the solar cell application using the n-type substrate, smaller valence band discontinuity is preferable to minimize hole trap at the valence band. Thus, the result indicates that a-Si:H is a good material for the fabrication of n-type c-Si-based heterojunction solar cells. The systematic study for a variety of heterogeneous materials and the evaluation of band-offset are under progress. The value of band-offset is discussed in comparison with those in literature and is related to device parameters.[1] Y. Tsunomura, et al., Sol. Energy Mater. Sol. Cells (2008), doi:10.1016/j.solmat.2008.02.037[2] H. Fujiwara, T. Kaneko, and M. Kondo, Appl. Phys. Lett. 91, 133508 (2007)
3:15 PM - A10.4
Device Physics of HIT Solar Cells.
Ana Kanevce 1 2 , Wyatt Metzger 1
1 , National Renewable Energy Laboratory, Golden, Colorado, United States, 2 Physics Department, Colorado State University, Fort Collins, Colorado, United States
Show AbstractHeterojunction with intrinsic thin layer (HIT) solar cells have achieved conversion efficiencies higher than 22%. Yet, many questions concerning the device physics governing these cells remain unanswered. The HIT cell structure starts with a 70-nm n+-ITO layer, followed by 5 nm of p- or n-type amorphous silicon (a-Si), 5 nm of intrinsic a-Si, several hundred microns of n- or p-type single-crystalline silicon (c-Si), and 10 nm of a-Si layers at the back contact. If the c-Si layer is n- or p-type, the ITO/a-Si/c-Si layers in essence form either a n+/p/n or n+/n/p structure, respectively. Previous modeling treats the ITO as a metallic contact, thereby reducing the above structures to simple n/p junctions, which is easier for computing. We included ITO in the structure; this significantly varies the device physics and how material parameters impact device performance. In addition, we incorporated interband and intraband tunneling as possible transport mechanisms and investigated how they affect cell performance.For cells with n-type c-Si (n-HIT cells), incorporating the ITO as an n-type semiconductor creates the n+/p/n structure. Most device simulations do not work with this structure. Our modeling indicates that the n+/p/n device often produces irregular S-shaped current density - voltage (J-V) curves, which have been observed experimentally but were not previously understood. However, if tunneling is included, there are specific conditions where the n/p/n structure performs as a robust solar cell with efficiencies exceeding 20%. Additional analysis examines voltage-dependant carrier collection in n-HIT cells, and material and interface properties that limit fill factor.In p-HIT cells, modeling the ITO layer as a semiconductor rather than a metallic contact significantly reduces the impact of a-Si layer parameters on device performance. In p-HIT cells, the a-Si layers adjacent to the ITO layer play the role of a buffer that reduces interface recombination at the a-Si/c-Si interface and prevents tunneling of electrons from the ITO layer to the c-Si absorber. The p-HIT performance is sensitive to the quality of the interface between c-Si and a-Si layers and the c-Si defect density. Tunneling through the a-Si layers adjacent to the back contact is important to attain regular J-V curves. Incorporating tunneling and ITO as an n-type semiconductor is required to properly understand the complex device physics of HIT solar cells. This abstract is subject to government rights.
3:30 PM - A10.5
High Efficiency Amorphous and Nanocrystalline Silicon Based Multi-junction Solar Cells Deposited at High Rates on Textured Ag/ZnO Back Reflectors.
Guozhen Yue 1 , Laura Sivec 1 , Baojie Yan 1 , Jeffrey Yang 1 , Subhendu Guha 1
1 , United Solar Ovonic LLC, Troy, Michigan, United States
Show AbstractHydrogenated nanocrystalline silicon (nc-Si:H), used as the intrinsic layers in middle and bottom cells of multi-junction cells, has been intensively studied because of its low-light induced degradation and high photocurrent density. However, the low absorption coefficient due to its indirect optical transition in crystalline phase requires a thick intrinsic layer to obtain a sufficient short-circuit current density (Jsc). Therefore, high deposition rates are required for production. Moreover, an effective light trapping from textured back reflectors is also necessary to obtain a high Jsc. It has been found that nc-Si:H materials deposited on textured surfaces normally have a high defect density due to crystallites collision, which reduces the fill factor (FF) of the solar cells. An optimized surface morphology of the back reflectors is needed to minimize this reduction.In this paper, we report our current progress of nc-Si:H single-junction, a-Si:H/nc-Si:H double-junction, and a-Si:H/nc-Si:H/nc-Si:H triple-junction cells made at high deposition rates of 10-15 Å/s. Solar cells with nip structures were deposited on flat stainless steel (SS) and Ag/ZnO coated SS substrates. The texture of the Ag/ZnO was varied by the deposition parameters and post-deposition treatments. The nc-Si:H intrinsic layers were deposited using a modified very high frequency technique in a multi-chamber system. The deposition parameters were optimized for achieving high efficiencies. We studied the effect of substrate texture on nc-Si:H single-junction solar cell performance. We found that nc-Si:H single-junction cells made on a bare stainless steel (SS) have good FF of ~0.76, while it decreased to ~0.65 when the cells were deposited on textured Ag/ZnO back reflectors. We have systematically studied the cause of the difference in FF using electrical, optical, and microscopic measurements. The light intensity dependence of solar cell performance showed that the reduced FF for nc-Si:H cells is not due to the increased Jsc. The dark current-voltage characteristic measurements showed that the reverse saturated current was increased by a factor of ~30 when normal textured Ag/ZnO back reflectors were used. These experimental results suggested that the deterioration of FF in nc-Si:H solar cells on textured Ag/ZnO was caused by a poor nc-Si:H quality. We have optimized the texture of Ag/ZnO with the consideration of light trapping and its influence on the quality of nc-Si:H materials. Details about material analysis such as defect density from drive-level-capacitance profiling and space-charge-limited-current measurements and structures obtained from Raman, XRD and X-TEM will be presented. We used the improved Ag/ZnO and high-quality nc-Si:H component cells and achieved an initial active-area efficiency of 13.4% in an a-Si:H/nc-Si:H/nc-Si:H triple-junction cell deposited at ~10 Å/s. We will also present the stability results of the triple-junction cells.
3:45 PM - A10.6
Superlattice Solar Cells.
Vikram Dalal 1
1 Electrical and Computer Engr., Iowa State University, Ames, Iowa, United States
Show AbstractWe report on superlattice solar cells, composed of alternative layers of amorphous Si and nanocrystalline Si, fabricated using VHF plasma deposition techniques. Superlattices in nanocrystalline Si offer an opportunity to improve the properties of solar cells without the need for hydrogen profiling. In our work, we systematically vary the relative thicknesses of the amorphous and nanocrystalline layers composing the superlattice. We report on how the fundamental properties of the cells, such as grain size, carrier mobility, minority carrier lifetimes, recombination center densities and minority carrier diffusion lengths change as the relative thicknesses of the layers comprising the superlattice are varied. It is shown that for very thin nanocrystalline layers, the <220> grains do not grow to their full dimensions and the defect densities are high, and correspondingly, the recombination lifetimes and diffusion lengths are low. Very thick amorphous layers lead to transport problems, showing distinctive kink in I-V curves and a decrease in effective diffusion length, presumably due to mismatches in positions of valence band edges between amorphous and crystalline Si. When the superlattice thicknesses are right, the resulting cells have high fill factors (>70%) without the need for any hydrogen profiling. An interesting result is that increasing the thickness of the amorphous Si layer in the superlattice leads to increasing voltages in the cell.
A12: Crystallization
Session Chairs
Thursday AM, April 16, 2009
Room 2004 (Moscone West)
9:30 AM - **A12.1
High Performance n- and p-channel Strained Single Grain Silicon TFTs using Excimer Laser.
Alessandro Baiano 1 , Ryoichi Ishihara 1 , Kees Beenakker 1
1 DIMES - ECTM, TU Delft, Delft Netherlands
Show AbstractStrained silicon technology has been becoming an important area since it leads to high carrier mobility, relaxing CMOS scaling issue [1]-[3]. The prevalent technique used for forming tensile strained silicon is obtained by growing epitaxially silicon layer on relaxed-SiGe [1]. However, this technique is performed at high thermal budget (> 700 °C), which limits the application to microelectronics only, as oppose to 3D integrated circuits, flexible electronics or flat panel display. It is well known that poly-Si crystallized by excimer laser has tensile strain [4]-[6] due to the different thermal expansion coefficients between substrate and poly-Si. However, mobility enhancement in the poly-Si TFTs induced by tensile strain has never been reported. That is mainly due to the presence of random grain boundaries in the channel, high density of state at Si/SiO2 interface, and elevated roughness of Si/SiO2 interface. In this study, we achieved high mobilities for both electron and hole in single-grain Si TFTs using the µ-Czochralski process [7]-[8]. Concerning n-channel SG-TFTs, we achieved a mean field-effect mobility value of 883 cm2/Vs, with a peak of 1200 cm2/Vs. Concerning p-channel SG-TFTs, we achieved a mean field-effect mobility value of 320 cm2/Vs, with a peak value of 500 cm2/Vs. Reasons for the high mobilities are suggested as follows. The carrier mobility into SG-TFT channel depends on tensile strain, roughness of the surface, and density of state at grain boundaries and Si/SiO2 interface. We have investigated that the defects in the SG-TFT are only CSL grain boundaries (Σ3 and Σ9), which are not electrically active [9]. Consequently, absence of random grain boundaries avoids mobility reduction. Using high laser energies, the silicon surface roughness decreases down to 9 nm RMS value. Thus, the carrier mobility is less affected by roughness scattering at interface. The use of inductively coupled PECVD as gate oxide also improves mobilities due to its low density of interface states (2x1010 cm-2) [10]. In addition, we have observed tensile strain in the crystallized Si layer by Raman spectroscopy. The Raman shift measured for single grain achieves 4.45 cm-1 at higher laser energy (1500 mJ/cm2), while the FWHM is slightly wider than the crystalline-silicon, confirming the excellent crystal quality of the single silicon grain.
10:00 AM - A12.2
Ultra-high Crystalline-quality Silicon Pillars formed by Millimeter-wave Annealing of Amorphous Silicon on Glass.
Fude Liu 1 , Kim Jones 1 , Yueqin Xu 1 , William Nemeth 1 , John Lohr 2 , Jeff Neilson 3 , Manuel Romero 1 , Mowafak Al-Jassim 1 , David Young 1
1 National Center for Photovoltaics, National Renewable Energy Laboratory, Golden, Colorado, United States, 2 , General Atomics, San Diego, California, United States, 3 , Lexam Research, Redwood City, California, United States
Show AbstractWe investigated a unique crystalline silicon structure---silicon pillars---formed by melt crystallization using millisecond pulses of 110-GHz radiation of amorphous Si thin films deposited on glass by hot-wire chemical vapor deposition. We found that these pillars usually contain 1–2 randomly oriented grains with growth direction and grain boundaries perpendicular to the substrate surface. The grains in the Si pillars have ultra-high crystalline quality with grain sizes up to 20 μm. We attribute the formation mechanism of the Si pillars to the extremely high heating/cooling rates of Si on a glass substrate using millimeter-wave radiation and the important roles played by wetting and capping layers during the annealing process. Such understandings may enable us to prepare ultra-high-quality, large-grained poly-Si on inexpensive foreign substrates at large scale and low cost. We believe that such a capability will have a significant impact on developing Si-based devices such as solar cells and high-mobility Si transistors.
10:30 AM - A12.4
Fabrication and Characterization of Low Temperature Polycrystalline Silicon Thin Film Transistors by Ink-Jet Printed Nickel-Mediated Lateral Crystallization on Large-Area Glass Substrates
Min-Sun Kim 2 , Dongjo Kim 3 , Jooho Moon 3 , Seung-Ki Joo 2 , Jang-Sik Lee 1
2 School of Materials Science and Engineering, Seoul National University, Seoul Korea (the Republic of), 3 Department of Materials Science and Engineering, Yonsei University, Seoul Korea (the Republic of), 1 School of Advanced Materials Engineering, Kookmin University, Seoul Korea (the Republic of)
Show AbstractThere has been tremendous effort aimed at developing high-performance thin film transistors (TFTs) at low temperatures for display applications. The continuous demands for high-performance TFTs with high carrier mobility requires polycrystalline Si (poly-Si) as a substitute for amorphous Si (a-Si) as the active layer because poly-Si TFTs have much higher carrier mobility than a-Si TFTs. Therefore, a great deal of attention has been focused on crystallization techniques at temperatures less than the warping temperature of glass substrates. Among the many crystallization techniques metal-induced lateral crystallization (MILC) has many advantages such as a potential low temperature process (≤450oC), smoother surface, higher degree of crystalline uniformity, etc. MILC utilizes the catalytic activity of some metals such as Ag, Au, Al, Sb, or In, which form eutectic compounds with Si, or metals such as Pd, Ti, or Ni, which form silicides with Si. These metals have been added to a-Si to enhance the nucleation rate. However, MILC should use well-defined thin metal layers for the crystallization of a-Si through a catalytic reaction of the metal layers. This means that additional fabrication processes, such as photolithography, deposition, and etching steps, are needed. In semiconductor devices, there is a need to reduce the number of process steps in order to improve the production cost and time. Direct writing of specific elements or materials using an ink-jet printing is one of the most promising techniques for the preparation of functional electronic devices with the advantages of flexibility, component reduction, simplified manufacturing, capability of direct fabrication from the design software, efficient use of materials, etc. In this study, a method was developed to fabricate high-quality poly-Si thin films at low temperatures using ink-jet printed nickel as a catalyst, and the electrical performance of the fabricated poly-Si TFTs was characterized. The method presented here is a combination of bottom-up and top-down approaches and has potential use in existing poly-Si TFT fabrication. Direct printed Ni dots were used to crystallize a-Si into poly-Si for use in the channel region of TFT devices. Nickel(II) nitrate hexahydrate was used as the nickel precursor. The solvent mixture used to prepare the nickel precursor ink consisted of de-ionized water and ethylene glycol. The prepared Ni precursor ink was printed using an ink-jet printer onto a-Si layers at room temperature. The printer set-up consists of a drop-on-demand piezoelectric ink-jet nozzle. The fabricated poly-Si TFTs showed high field-effect mobility (36 cm2/V.s) and on-off ratio (>4×105) that were comparable to the TFTs prepared using conventional semiconductor processes. The TFTs produced by an ink-jet printing technique do not require additional mask steps and have good electrical characteristics. Therefore, they can be adopted easily in future display technology applications.
A13: Light Trapping in Solar Cells II
Session Chairs
Thursday PM, April 16, 2009
Room 2004 (Moscone West)
11:30 AM - **A13.1
Light Trapping effects in Thin Film Silicon Solar Cells.
Franz-Josef Haug 1 , Thomas Soderstrom 1 , Didier Domine 1 , Christophe Ballif 1
1 Institute of Microtechnology, University of Neuchatel, Neuchatel Switzerland
Show AbstractLight scattering at textured interfaces has become a decisive feature for high efficiency thin film silicon solar cells. It allows using thinner absorber layers because the scattering enhances the effective light path in the films. While this is important for production throughput, light trapping is also mandatory because of inherent material properties; in case of a-Si the impact of light induced degradation can be reduced in thinner films, in μc-Si it can compensate the low absorption of the indirect band gap. In a-Si solar cells the light scattering strategies aim at enhancing the absorption in the wavelength range between 600 and 750 nm, typically by texturing of the electric contact layers. For p-i-n devices the transparent front contacts are either directly grown under conditions that favour preferential growth and faceting, or they are structured by etching after growth. Similar concepts are followed in n-i-p devices for achieving textured metallic back contacts. Typical textures for a-Si cells have an rms surface roughness in the range from 50 to 90 nm, and a lateral feature size between 300 and 500 nm. For μc-Si cells, the light trapping range lies between 800 and 1100 nm because of its lower band gap compared to amorphous cells. Empirical data suggest that the feature size should be in the range from 1000 to 1400 nm while higher rms roughness than in the amorphous case is not necessarily beneficial for the solar cell efficiency. The latter finding might be related to the growth mechanism of μc-Si which often results in defective material above steep, V-shaped depressions. We present our latest results of μc-Si n-i-p cells on a comparatively shallow 2D grating with an equivalent rms roughness of only 70 nm. In micromorph tandem cells where a μc-Si and an a-Si absorber are combined in the same device, it becomes a challenging task to devise light scattering strategies that can effectively serve the different spectral ranges of the two individual cells. The first step to achieve this goal is the introduction of an intermediate reflector layer between the two cells because light trapping in the amorphous top cell is quite simply impossible without reflection of light at its back surface. A possible second step is the implementation different length scales, either in the same interface by overcoating a large structure with smaller features, or by varying the texture between the individual interfaces. We discuss options for structuring of the front and intermediate interfaces for the case of p-i-n cells, and of the back and intermediate interfaces for n-i-p cells because it must also be taken into account how a given surface structure evolves during the growth of a-Si and μc-Si absorber layers, respectively. Implementing these approaches in cells, we achieved initial efficiencies of 13.3 and 11.2% for p-i-n cells on glass, and n-i-p cells on plastic substrates, respectively.
12:00 PM - **A13.2
Light Trapping in Hydrogenated Amorphous and Nano-crystalline Silicon Thin Film Solar Cells.
Jeff Yang 1 , Baojie Yan 1 , Guozhen Yue 1 , Subhendu Guha 1
1 R&D, United Solar Ovonic LLC, Troy, Michigan, United States
Show AbstractThe light trapping effect in hydrogenated amorphous silicon (a-Si:H) and nano-crystalline silicon (nc-Si:H) thin film solar cells deposited on stainless steel substrates with and without back reflectors will be reviewed. We will first discuss the light trapping method used in our current production line incorporating an a-Si:H/a-SiGe:H/a-SiGe:H triple-junction structure, where Al/ZnO back reflector is used. Using relatively thin Al and ZnO layers, the short circuit current density, Jsc, is increased by ~20-30% compared to bare stainless steel. In the laboratory, we use Ag/ZnO back reflector for higher Jsc and efficiency. The gain in Jsc is about ~30-40% for an a-SiGe:H single-junction cell used in the bottom cell of a triple-junction structure, leading to initial and stable active-area cell efficiencies of 14.6% and 13.0%, respectively, using an a-Si:H/a-SiGe:H/a-SiGe:H configuration [1]. Light trapping is more critical for the nc-Si:H solar cell. Because of the low absorption coefficient, a much thicker nc-Si:H intrinsic layer is needed. Textured Ag/ZnO back reflectors are commonly used to facilitate effective light trapping. However, nc-Si:H materials deposited on a textured surface result in high defect densities due to crystallite collisions [2]. Therefore, optimization of the textured surface for both Ag and ZnO layers are important for nc-Si:H solar cells. We have carried out a systematic study on the effect of texture for Ag and ZnO. We found that for a thin ZnO layer, a textured Ag layer is necessary to increase Jsc even though the plasmon absorption is higher at the Ag and ZnO interface due to the textured Ag. However, a flat Ag can be used for a thick ZnO to reduce plasmon absorption, and the light scattering is provided by the textured ZnO [3]. The average gain in Jsc for nc-Si:H solar cells on Ag/ZnO back reflectors is ~65% compared to cells deposited on bare stainless steel, which is much larger than the enhancement observed for a-SiGe:H cells. The highest total current density achieved in an a-Si:H/nc-Si:H/nc-Si:H triple-junction structure on Ag/ZnO back reflector is 28.6 mA/cm2, while it is 26.9 mA/cm2 for a high efficiency a-Si:H/a-SiGe:H/a-SiGe:H triple-junction cell [1]. Comparison of solar cell performance on various back reflector configurations will be presented.[1]J. Yang, A. Banerjee, and S. Guha, Appl. Phys. Lett. 70, 2975 (1997).[2]Y. Nasuno, M. Kondo, and A. Matsuda, Proc. of 28th IEEE PVSC (Anchorage, Alaska, 2000), p. 142.[3]B. Yan, G. Yue, C.-S. Jiang, Y. Yan, J. M. Owens, J. Yang, and S. Guha, Mater. Res. Soc. Symp. Proc. 1101E (2008).
12:30 PM - A13.3
Characterization and in-situ Ellipsometry Monitor of Silicon Nanowires Grown on TCO Substrates for Photovoltaic Applications.
Linwei Yu 1 , Benedict Odonnell 1 , Pierre-Jean Alet 1 2 , Pere Roca i Cabarrocas 1
1 , Laboratoire de Physique des Interfaces et des Couches Minces (LPICM), Ecole Polytechnique, CNRS, 91128 Palaiseau, France, Palaiseau, Paris France, 2 , Laboratoire de chimie des surfaces et interfaces (LCSI), CEA/DSM/DRECAM/SPCSI/LCSI, Centre de Saclay, 91191 Gif-sur-Yvette, France, Palaiseau, Paris France
Show Abstract We present a morphological and structural investigation of the vapor-liquid-solid growth mode silicon nanowires (SiNWs) on TCO/Corning glass substrate, and demonstrate a prototype for SiNWs-based solar cells. The SiNWs are guided by nano-sized indium or tin catalyst drops, which are formed by a well-controlled H2 plasma treatment of the TCO (ITO or SnO2, respectively) substrates.[1,2] The morphology, size and density of the SiNWs can be effectively tuned by changing the deposition temperature and H2 dilution conditions. High resolution electron transmission microscopy characterization has been used to reveal the inner crystalline structure of the SiNWs grown at different temperature and dilution conditions. The EDX measurements indicate that the catalyst remnant content in the SiNWs (mainly tin) can be effectively controlled and the catalyst drops on top can be totally removed during plasma treatment. Strongly enhanced light trapping and absorption effects have been achieved by growing long SiNWs on top of the TCO substrates. Moreover, the growth and evolution of the SiNWs on TCO substrate has been be monitored in real time by using an in-situ MM-16 ellipsometer. It shows that the greatly enhanced absorption of the SiNWs sample can be exclusively attributed to the growth of long, sharp and straight SiNWs. The absorption edge, as estimated from the ellipsometry measurement, shifts to shorter wavelengths with the increasing of the length of SiNWs. Prototype SiNWs solar cell devices, based on the SiNWs grown at low and high temperatures, will be presented. References:[1]. Linwei Yu, Pierre-Jean Alet, Gennaro Picardi, Isabelle Maurin and Pere Roca Cabarrocas, Synthesis, morphology and compositional evolution of silicon nanowires directly grown on SnO2 substrates, Nanotechnology, proof corrected and Provisionally scheduled for November 2008.[2]. Pierre-Jean Alet, Linwei Yu, Gilles Patriarche, Serge Palacin, and Pere Roca i Cabarrocasb, In-situ generation of indium catalysts to grow crystalline silicon nanowires at low temperature on ITO, Journal of Materials Chemistry, 18, 5187-5189 (2008)
A15: Transport
Session Chairs
Thursday PM, April 16, 2009
Room 2004 (Moscone West)
4:15 PM - **A15.1
Carrier Drift-mobilities in Amorphous and Microcrystalline Silicon: Measurements and Implications for Solar Cells.
Steluta Dinca 1 , Eric Schiff 1 , Subhendu Guha 2 , Baojie Yan 2 , Jeff Yang 2
1 Physics, Syracuse University, Syracuse, New York, United States, 2 , United Solar Ovonic LLC, Troy, Michigan, United States
Show AbstractHole drift mobilities in hydrogenated amorphous silicon (a-Si:H) solar cells are in the range of 10-3 to 10-2cm2/Vs. While this magnitude has been known for years, the understanding of its importance to solar cell efficiencies is much more recent. In this paper we shall briefly review the physics of the hole drift-mobility in amorphous and microcrystalline silicon as well as the device physics which makes the low magnitude important to solar cell efficiencies. We then discuss two current issues in hole drift-mobility research.The first issue is the puzzling physics of how hole drift-mobilities improve as amorphous silicon is transformed into microcrystalline silicon (μc-Si:H). Hole drift-mobilities in μc-Si:H are substantially larger than in a-Si:H, which is the fundamental reason that absorber layers can be much thicker in μc-Si:H cells. The physics of the hole drift-mobilities is superficially similar in the two materials, and is controlled by a disorder-induced tail of localized trap states just above the valence band edge. While the narrowing of this tail in μc-Si:H is unsurprising, an equally significant effect is not understood. This is that the trap emission "attempt frequencies" ν are about a thousand times smaller in microcrystalline silicon than in amorphous silicon. We present an analysis related to entropy effects on trap emission that may account for this difference; it involves both the reduction in the bandedge density-of-states in μc-Si:H and a possible "Meyer-Neldel" distribution of attempt frequencies, as proposed some years ago by Chen, Hamel, and Yelon.†The second issue is the relatively primitive state of hole drift-mobility measurements. The most reliable technique remains the photocarrier "time-of-flight" method that has been in use for five decades. The method is cumbersome in practice, and as a consequence there is surprisingly little information on how deposition methods affect drift-mobilities in silicon materials, and there is essentially no information on technically significant issues such as the profile of the hole drift-mobility within an absorber layer. We shall present alternative hole-mobility techniques that have the potential to transform drift-mobility measurements into an everyday tool in the device laboratory.This research has been partially supported by the U. S. Department of Energy through the Solar America Initiative (DE-FC36-07 GO 17053).†W.-C. Chen, L.-A. Hamel, and A. Yelon, J. Non-Cryst. Solids 200, 254 (1997).
4:45 PM - A15.2
Bulk-heterojunction Based on Blending of Red and Blue Luminescent Silicon Nanocrystals and P3HT Polymer.
Vladimir Svrcek 1 , Michio Kondo 1
1 Research Center for Photovoltaics, National Institute of Advanced Industrial Science and Technology (AIST), Tsukuba Japan
Show AbstractIncreased energy demand is growing and therefore new energy material development for photovoltaic applications, as one durable energy source, is needed as well. In particular silicon nanocrystals (Si-ncs) due to the quantum confinement effect, carrier multiplication, low-toxicity and photovoltaic technologies compatibility emerged to be very promising photovoltaic material. Si-ncs and polymer blends might provide low cost, and perspective solution for low cost solar cells fabrication. We investigate formation of bulk-heterojunction by blending of freestanding Si-ncs with conjugated polymers. Difference in electron affinity and ionization potential between the nanocrystal and polymer provide a driving force for dissociating of excitons resulting to a photoconductivity generation in the blends. Regardless of that having electron into the nanocrystal and the hole into the polymer prevents the blend degradation. Even more, an excitation transfer energy from conjugated polymer to the nanocrystal leads in a red-shift and a photoluminescence (PL) intensity decrease. In this contribution we present the study on the blending of red (~2 eV) and wide-gap blue (2.9 eV) photoluminescent Si-ncs with poly(3-hexylthiophene (P3HT) polymer. The room temperature luminescent and ambient conditions stable Si-ncs prepared by electrochemical etching and laser ablation in liquid media are used for fabrication of bulk-heterojunctions. An influence of nanocrystals energy band gap on the heterojunction optoelectronic properties is investigated and discussed in details. Contrary to blue-shifted emission from pure polymer films, a systematic temperature-dependent PL studies show, a red shift (40 nm) of PL emission for films containing Si-ncs. Dexter energy transfer is employed to interpret obtained results through the excitonic energy transfer from P3HT polymer to silicon nanocrystal. It is observed that after the energy transfer the blend luminescence is attributed to zero-phonon electron–hole recombination in Si-ncs due to strong enhancement of the quantum confinement effect. At the same time, when both types of nanocrystals (red and blue luminescent) are introduced in the P3HT polymer, an improvement in photo-stability and photoconductivity response is recorded. The ratio between photo- and dark-conductivity is rather the same, however, more important increase in transport properties is measured for the films containing the red lumienscent nanocrystals with narrower energy band gap. The results indicate that conjugated polymers blended with freestanding Si-ncs owing a quantum confinement effects provide potential for development of environmental compatible and low-cost photovoltaic devices.
5:00 PM - A15.3
Imaging Electron Transport across Grain Boundaries in an Integrated Electron and Atomic Force Microscopy Platform: Application to Polycrystalline Silicon Solar Cells.
Manuel Romero 1 , Chun-Sheng Jiang 1 , Fude Liu 1 , Mowafak Al-Jassim 1
1 , National Renewable Energy Laboratory, Golden, Colorado, United States
Show AbstractSilicon remains the leading semiconductor in terrestrial solar energy applications and will most certainly dominate the photovoltaic industry in the next decade. Although both expansion of the silicon production and advances in solar-grade silicon will drive down the cost of the feedstock, the added costs from processing wafers will continue at current levels. A wafer-replacement proposal such that provided by c-Si thin films is therefore of great interest for a cost-effective, next-generation photovoltaics.In thin-film c-Si solar cells, one of if not the most significant source of degradation is recombination at grain boundaries. Mitigation of their adverse impact is commonly achieved through hydrogen passivation, in combination with RTA processing. Another approach is getting control over the orientation of the c-Si film, which results in low-angle grain boundaries. The impact of boundary misorientation on efficiency is not yet well understood, besides the obvious fact that less misorientation translates to less disruptive boundaries, which benefits performance.In this contribution, we report on atomic force microscopy (AFM)-based measurements of the electron transport across individual grain boundaries in polycrystalline silicon solar cells. This innovative approach to imaging electron transport is based on the combination of AFM and electron microscopy. The AFM sensor consists of an ultrasharp metallic tip attached to a self-sensing and -actuating piezoelectric tuning fork. Under operation, the tip establishes a local Schottky diode, sensing the electron-beam-induced current generated by the electron beam.Using this integrated AFM platform and different contact schemes for the c-Si thin films, local I-V characteristics, collection efficiency, local estimates of the diffusion length, and electron transport across grain boundaries (including measurements of electron resistivity and trapping) are all accesible with high resolution.